JP2004075862A - Polishing method and polishing composition used therein - Google Patents

Polishing method and polishing composition used therein Download PDF

Info

Publication number
JP2004075862A
JP2004075862A JP2002238596A JP2002238596A JP2004075862A JP 2004075862 A JP2004075862 A JP 2004075862A JP 2002238596 A JP2002238596 A JP 2002238596A JP 2002238596 A JP2002238596 A JP 2002238596A JP 2004075862 A JP2004075862 A JP 2004075862A
Authority
JP
Japan
Prior art keywords
polishing
polishing composition
composition
acid
conductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002238596A
Other languages
Japanese (ja)
Other versions
JP4083502B2 (en
Inventor
Kenji Sakai
酒井 謙児
Kazumasa Tamai
玉井 一誠
Atsuki Kawamura
河村 篤紀
Takeshi Matsuda
松田 剛
Tatsuhiko Hirano
平野 達彦
Katsuyoshi Ina
伊奈 克芳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujimi Inc
Original Assignee
Fujimi Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujimi Inc filed Critical Fujimi Inc
Priority to JP2002238596A priority Critical patent/JP4083502B2/en
Priority to US10/642,929 priority patent/US20040084414A1/en
Publication of JP2004075862A publication Critical patent/JP2004075862A/en
Application granted granted Critical
Publication of JP4083502B2 publication Critical patent/JP4083502B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a polishing method which can control the occurrence of dishing and erosion, and a polishing composition used therein. <P>SOLUTION: The polishing method is used for a structure having a barrier layer 14 formed on an insulator layer 11 provided with recesses 12 on the surface, and a conductor layer 13 formed on the barrier layer 14 so as to completely bury at least the recesses 12. It includes: a first step of polishing the conductor layer 13 by using a polishing composition containing hydrogen peroxide and a main polishing composition containing an abrasive A, a polishing accelerator B and water; a second step of polishing the conductor layer 13 by using a polishing composition containing the main polishing composition, an organic compound C, a corrosion inhibitor D, and hydrogen peroxide until the barrier layer 14 is exposed; and a third step of polishing the barrier layer 14 and the part of the conductor layer 13 corresponding to the recesses 12 by using a polishing composition containing the abrasive A, an acid E or an alkali F, the corrosion inhibitor D, and water until the insulator layer 11 is exposed. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置等における配線構造を形成するための研磨方法及びそれに用いられる研磨用組成物に関するものである。より詳しくは、ディッシング及びエロージョンの発生を抑制することができる研磨方法及びそれに用いられる研磨用組成物に関するものである。
【0002】
【従来の技術】
従来、半導体装置等の配線構造は、CMP(Chemical Mechanical Polishing)法を用いた方法等によって形成されている。CMP法を用いた配線構造の形成方法では、まず表面に凹部が形成された絶縁体層上に、タンタルや窒化タンタル等のタンタル含有化合物により形成されているバリア層を形成した後、銅を含有する金属材料により形成されている導体層を、少なくとも凹部内が完全に埋まるようにバリア層上に形成する。次いで、凹部以外の箇所の絶縁体層が露出するまで導体層及びバリア層を研磨し、凹部内に配線部を形成する。
【0003】
従来の研磨方法では、まず導体層を高効率で研磨することができる研磨用組成物を用い、凹部以外の箇所のバリア層が露出するまで導体層を高い研磨速度で研磨する。次いで、主にバリア層を高効率で研磨することができる研磨用組成物を用い、凹部以外の箇所の絶縁体層が露出するまでバリア層を高い研磨速度で研磨することにより、凹部内に配線部が形成されていた(第1の従来構成)。
【0004】
また、バリア層上に導体層を形成した後、導体層を高効率で研磨することができる研磨用組成物を用い、凹部以外の箇所のバリア層が露出する前に研磨が終了するように、導体層を高い研磨速度で研磨する。次いで、導体層及びバリア層を高効率で研磨することができる研磨用組成物を用い、凹部以外の箇所の絶縁体層が露出するまでバリア層を研磨することにより、凹部内に配線部が形成されていた(第2の従来構成)。
【0005】
【発明が解決しようとする課題】
ところが、第1の従来構成においては、導体層を高い研磨速度で研磨するために、導体層が過剰に研磨されることによって絶縁体層の表面に比べて配線部の表面が内方へ後退する現象、即ちディッシング及びエロージョンが発生するという問題があった。一方、第2の従来構成においては、導体層及びバリア層を研磨するときに、導体層とバリア層との間で電気化学的な反応が進行して導体層が選択的に研磨される。このため、ディッシングが発生するという問題があった。
【0006】
本発明は、上記のような従来技術に存在する問題点に着目してなされたものである。その目的とするところは、ディッシング及びエロージョンの発生を抑制することができる研磨方法及びそれに用いられる研磨用組成物を提供することにある。
【0007】
【課題を解決するための手段】
上記の目的を達成するために、請求項1に記載の発明の研磨方法は、表面に凹部が設けられた絶縁体層上に形成されているバリア層と、銅を含有する金属材料により少なくとも凹部内が完全に埋まるようにバリア層上に形成されている導体層とを有し、バリア層が露出する前に研磨を終了するように導体層を研磨する第1の工程と、バリア層が露出するまで導体層を研磨する第2の工程と、バリア層を研磨する第3の工程とを備え、前記第1の工程において、二酸化ケイ素及び酸化アルミニウムから選ばれる少なくとも一種の研磨材A、グリシン及びα−アラニンから選ばれる少なくとも一種の研磨促進剤B及び水を含有する主研磨用組成物と、過酸化水素とを含む研磨用組成物を用いて導体層を研磨し、第2の工程において、研磨材Aと、研磨促進剤Bと、ポリエチレンオキサイド、ポリプロピレンオキサイド、ポリオキシエチレンアルキルエーテル、ポリオキシプロピレンアルキルエーテル、ポリオキシエチレンポリオキシプロピレンアルキルエーテル及び下記一般式(1)で表される炭素三重結合(C≡C)を有するポリオキシアルキレン付加重合体から選ばれる少なくとも一種の有機化合物Cと、ベンゾトリアゾール及びその誘導体から選ばれる少なくとも一種の腐食防止剤Dと、過酸化水素と、水とを含む研磨用組成物を用いて導体層を研磨し、第3の工程において、研磨材Aと、硝酸、塩酸、乳酸、リン酸、硫酸、酢酸、シュウ酸、クエン酸、酒石酸、マロン酸、コハク酸、マレイン酸及びフマル酸から選ばれる少なくとも一種の酸E、又は水酸化カリウム、水酸化アンモニウム及び水酸化ナトリウムから選ばれる少なくとも一種のアルカリFと、腐食防止剤Dと、水とを含む研磨用組成物を用いてバリア層を研磨するものである。
【0008】
【化2】

Figure 2004075862
(但し、式中のR〜Rは水素原子又は炭素数1〜10のアルキル基をそれぞれ表し、X及びYはエチレンオキシ基又はプロピレンオキシ基をそれぞれ表し、m及びnは1〜20の数をそれぞれ表す。)
請求項2に記載の発明の研磨方法は、請求項1に記載の発明において、前記主研磨用組成物と、有機化合物C、腐食防止剤D及び水を含有する第1副組成物と、酸E又はアルカリF、腐食防止剤D及び水を含有する第2副組成物とを別々に調製し、第2の工程で用いられる研磨用組成物は、主研磨用組成物と、第1副組成物と、過酸化水素とを含有し、第3の工程で用いられる研磨用組成物は、主研磨用組成物と、第2副組成物とを含有するものである。
【0009】
請求項3に記載の発明の研磨方法は、請求項1又は請求項2に記載の発明において、前記第3の工程で用いられる研磨用組成物は、さらに過酸化水素を含有するものである。
【0010】
請求項4に記載の発明の研磨用組成物は、請求項1に記載の第1の工程で用いられ、前記主研磨用組成物を含有し、用いられるときには過酸化水素が混合されるものである。
【0011】
請求項5に記載の発明の研磨用組成物は、請求項1に記載の第2の工程で用いられ、前記主研磨用組成物を含有するとともに、有機化合物Cと、腐食防止剤Dと、水とを含む副組成物を含有し、用いられるときには過酸化水素が混合されるものである。
【0012】
請求項6に記載の発明の研磨用組成物は、請求項1に記載の第3の工程で用いられ、前記主研磨用組成物を含有するとともに、酸E又はアルカリFと、腐食防止剤Dと、水とを含む副組成物を含有するものである。
【0013】
請求項7に記載の発明の研磨用組成物は、請求項6に記載の発明において、用いられるときには過酸化水素が混合されるものである。
【0014】
【発明の実施の形態】
(第1の実施形態)
以下、本発明の第1の実施形態を図面に基づいて詳細に説明する。
【0015】
半導体装置の配線構造を形成するときには、図1(a)に示すように、まず図示しない半導体基板上の絶縁体層11の表面に、回路設計に基づく所定のパターンの凹部12を形成する。この凹部12の形成は、公知のリソグラフィ技術及びエッチング技術によって行われる。絶縁体層11の具体例としては、TEOS(テトラエトキシシラン)等を用いたCVD(Chemical Vapor  Deposition)法によって形成されるSiO膜、SiOF膜、SiOC膜等が挙げられる。凹部12が形成される前の絶縁体層11の表面はできるだけ平坦であることが望ましい。
【0016】
次に、後述する導体層13中の銅が絶縁体層11に拡散するのを防止するために、スパッタリング法等によって、凹部12が形成された絶縁体層11上にバリア層14を一定の厚みで形成する。このとき、バリア層14の表面において、凹部12に対応する箇所は凹部12によって凹状に形成される。このバリア層14は、タンタルや窒化タンタル等のタンタル含有化合物により形成される。続いて、少なくとも凹部12内が完全に埋まるように、銅を含有する金属材料により形成される導体層13をバリア層14上に形成する。
【0017】
銅を含有する金属材料の具体例としては、銅、銅−アルミニウム合金、銅−チタン合金等が挙げられる。導体層13の表面において、凹部12に対応する箇所には、一般に初期段差と呼ばれる凹部12由来の初期凹溝15がそれぞれ形成される。そして、凹部12以外の箇所の絶縁体層11が露出するまで、CMP法によって導体層13及びバリア層14を研磨して凹部12内に配線部を形成する。
【0018】
次に、研磨方法について説明する。
本実施形態の研磨方法では、図1(b)に示すように、まず第1の工程として導体層13を研磨する。第1の工程における導体層13に対する研磨速度は、研磨時間を短縮するために、好ましくは5000〜10000Å/min、より好ましくは7000〜9000Å/minである。5000Å/min未満では研磨時間が長くなりやすい。一方、10000Å/minを超えると、研磨速度を制御しにくい。第1の工程における研磨は、バリア層14が露出する前に終了される。研磨が終了したときには初期凹溝はほとんど完全に解消されるのが好ましく、具体的には導体層13の表面における各凹溝16の深さは、好ましくは500Å以下、より好ましくは200Å以下である。
【0019】
次に、図1(c)に示すように、第2の工程として、凹部12以外の箇所のバリア層14が露出するまで導体層13を研磨する。第2の工程における導体層13に対する研磨速度は、研磨時間を短縮するために、好ましくは1000〜4000Å/min、より好ましくは2000〜3000Å/minである。1000Å/min未満では、研磨時間が長くなりやすい。一方、4000Å/minを超えると、ディッシング及びエロージョンが発生しやすい。
【0020】
また、第2の工程においては、露出したバリア層14が研磨されないように研磨速度を調整するのが好ましい。具体的には、導体層13に対する研磨速度は、バリア層14に対する研磨速度に対して好ましくは100〜10000倍、より好ましくは200〜800倍である。100倍未満ではディッシング及びエロージョンが発生しやすい。一方、10000倍を超えると、第2の工程に用いる研磨用組成物を調製しにくい。
【0021】
第2の工程における研磨が終了したときには、例えば10μm幅の配線部におけるディッシング量は、好ましくは500Å以下、より好ましくは300Å以下である。ここで、図2(a)に示すように、ディッシング量d1とは、バリア層14の凹部12以外の箇所の表面と導体層13の表面との深さ方向の距離(高さの差)のことである。
【0022】
さらに、例えば90%の高密度配線部におけるエロージョン量は、好ましくは500Å以下、より好ましくは300Å以下である。ここで、図2(b)に示すように、エロージョンとは、凹部12が密に形成された領域において、隣り合う凹部12間のバリア層14及び導体層13が研磨されることによって、他の領域のバリア層14の凹部12以外の表面に比べて該領域の表面が内方へ後退する現象をいう。そして、エロージョン量e1とは、凹部12が密に形成された領域の表面と、他の領域のバリア層14の凹部12以外の箇所の表面との深さ方向の距離(高さの差)のことである。また、90%の高密度配線部とは、例えば9μm幅の凹部12が1μmの間隔毎に凹設されている領域等、凹部12の面積が領域全体の面積の90%を占める領域のことをいう。
【0023】
続いて、図1(d)に示すように、第3の工程として、凹部12以外の箇所の絶縁体層11が露出するまでバリア層14を研磨する。第3の工程における研磨速度は、露出した絶縁体層11が研磨されるのを抑制するために、バリア層14に対しては、好ましくは500〜1500Å/min、より好ましくは700〜1000Å/minである。また、絶縁体層11に対しては、好ましくは100Å/min以下、より好ましくは50Å/min以下である。バリア層14に対して500Å/min未満では、研磨時間が長くなりやすい。一方、1500Å/minを超えると、研磨速度を制御しにくい。また、絶縁体層11に対して100Å/minを超えると、露出した絶縁体層11が研磨されやすい。
【0024】
さらに、第2の工程で除去すべき導体層13が完全に除去されている場合には、導体層13を研磨する必要がないために、第3の工程で用いられる研磨用組成物は過酸化水素を含有しない。その場合、導体層13に対しては、好ましくは100Å/min以下、より好ましくは50Å/min以下である。導体層13に対して100Å/minを超えると、ディッシング及びエロージョンが発生しやすい。
【0025】
また、第2の工程で除去すべき導体層13が完全に除去されていない場合には、導体層13を研磨する必要があるために、第3の工程で用いられる研磨用組成物は過酸化水素を含有するのが好ましい。その場合、導体層13に対しては、好ましくは100〜300Å/min、より好ましくは150〜250Å/minである。導体層13に対して100Å未満では、除去すべき導体層13が十分に研磨されないおそれがある。一方、導体層13に対して300Å/minを超えると、ディッシング及びエロージョンが発生しやすい。
【0026】
第3の工程における研磨が終了したときには、図3(a)に示すように、ディッシング量d2は、絶縁体層11の凹部12以外の箇所の表面と導体層13の表面との深さ方向の距離を示す。一方、図3(b)に示すように、エロージョン量e2は、凹部12が密に形成された領域の表面と、他の領域の絶縁体層11の凹部12以外の箇所の表面との深さ方向の距離を示す。
【0027】
各工程での研磨時間は、凹部12内に配線部17を形成する効率を向上させるために、それぞれ同程度であることが好ましい。よって、例えば第1及び第2の工程において、各工程での研磨時間を合わせるために、研磨される導体層13の量又は研磨速度をそれぞれ調整するのが好ましい。また、第3の工程でバリア層14を研磨するのに要する研磨時間から、各工程における研磨速度を調整するのが好ましい。
【0028】
第1の工程で用いられる研磨用組成物(以下、第1研磨用組成物ともいう)には、二酸化ケイ素及び酸化アルミニウムから選ばれる少なくとも一種の研磨材A、グリシン及びα−アラニンから選ばれる少なくとも一種の研磨促進剤B及び水を含む主研磨用組成物と、過酸化水素とが含有されている。
【0029】
研磨材Aは、その機械的研磨作用により、被研磨面を研磨するために含有される。研磨材Aの中でも、安定性が高いことから二酸化ケイ素が好ましい。二酸化ケイ素には、コロイダルシリカ、フュームドシリカ等の製造方法や結晶形態が異なる種々のものが知られているが、これらの中でも被研磨面に欠陥が発生するのを抑制することができることから、コロイダルシリカが好ましい。
【0030】
研磨材Aの粒子径は、十分な研磨速度を維持するとともに被研磨面に欠陥が発生するのを抑制するために、BET法により測定した表面積から求められる平均粒子径で好ましくは3〜100nm、より好ましくは5〜60nm、さらに好ましくは10〜50nmである。3nm未満では十分な研磨速度が得られにくい。一方、100nmを超えると被研磨面に欠陥が発生しやすい。
【0031】
研磨材Aの含有量は、十分な研磨速度を維持するために、第1研磨用組成物に対して、好ましくは1〜100g/リットル、より好ましくは2〜50g/リットルである。1g/リットル未満では、研磨材Aの量が少ないために十分な研磨速度が得られにくい。一方、100g/リットルを超えると、第1研磨用組成物における研磨材Aの濃度が高くなるために、研磨材Aが凝集しやすくなり、組成物の調製が困難になりやすい。さらに、研磨後の被研磨面に傷等の欠陥を生じさせるおそれがある。
【0032】
研磨促進剤Bは、研磨中に導体層中の銅とキレート結合することによって研磨を促進するために含有される。研磨促進剤Bの中でも、良好な研磨面とする効果が高いことから、α−アラニンが好ましい。研磨促進剤Bの含有量は、研磨を促進するために、第1研磨用組成物に対して、好ましくは2〜30g/リットル、さらに好ましくは5〜20g/リットルである。2g/リットル未満では研磨を促進しにくい。一方、30g/リットルを超えると、研磨が促進されすぎることによって導体層に対する研磨速度が高くなりすぎるために研磨を制御しにくい。
【0033】
水は、研磨材A及び研磨促進剤Bを分散又は溶解させるために含有される。水は、研磨材A等の作用を阻害するのを防止するために不純物をできるだけ含有しないものが好ましい。具体的には、イオン交換樹脂にて不純物イオンを除去した後にフィルターを通して異物を除去したものや蒸留水が好ましい。
【0034】
過酸化水素は、導体層に対する研磨速度を向上させるために含有される。過酸化水素の含有量は、導体層に対する研磨速度を向上させるために、第1研磨用組成物に対して、好ましくは1〜20g/リットル、より好ましくは3〜10g/リットルである。1g/リットル未満では、導体層に対して十分な研磨速度が得られにくい。一方、20g/リットルを超えると、導体層に対する研磨速度は促進されずにむしろ抑制されるため、不経済であるばかりか、導体層に対する十分な研磨速度が得られないおそれがある。
【0035】
第2の工程で用いられる研磨用組成物(以下、第2研磨用組成物ともいう)は、さらに有機化合物Cと、腐食防止剤Dとを含有し、研磨促進剤Bの含有量が第2研磨用組成物に対して好ましくは2〜20g/リットル、さらに好ましくは3〜10g/リットルである。さらに、過酸化水素の含有量が第2研磨用組成物に対して好ましくは1〜15g/リットル、より好ましくは2〜10g/リットルである以外は、第1研磨用組成物と同じである。
【0036】
有機化合物Cは、ディッシング及びエロージョンの発生を抑制するために含有される。この有機化合物Cは、ポリエチレンオキサイド、ポリプロピレンオキサイド、ポリオキシエチレンアルキルエーテル、ポリオキシプロピレンアルキルエーテル、ポリオキシエチレンポリオキシプロピレンアルキルエーテル及び下記一般式(1)で表される炭素三重結合(C≡C)を有するポリオキシアルキレン付加重合体から選ばれる少なくとも一種である。
【0037】
【化3】
Figure 2004075862
(但し、式中のR〜Rは水素原子又は炭素数1〜10のアルキル基をそれぞれ表し、X及びYはエチレンオキシ基又はプロピレンオキシ基をそれぞれ表し、m及びnは1〜20の数をそれぞれ表す。)
上記一般式(1)で表される炭素三重結合(C≡C)を有するポリオキシアルキレン付加重合体は、ディッシング及びエロージョンの発生を抑制する効果が高いことから、下記一般式(2)で表されるジアルキルジメチルブチンジオールポリオキシエチレングリコールエーテルが好ましい。下記一般式(2)で表されるジアルキルジメチルブチンジオールポリオキシエチレングリコールエーテルは、銅表面への化学的エッチングに対する表面保護作用に優れている。
【0038】
【化4】
Figure 2004075862
(但し、式中のR及びRは炭素数1〜10のアルキル基をそれぞれ表し、m及びnの値は1〜20の数をそれぞれ表す。)
さらに、銅表面への化学的エッチングに対する表面保護作用により優れているために、有機化合物Cは、上記一般式(2)で表されるジアルキルジメチルブチンジオールポリオキシエチレングリコールエーテルと、ポリオキシエチレンポリオキシプロピレンアルキルエーテルとを含むのがより好ましい。
【0039】
ここで、ポリエチレンオキサイドは一般的にはポリエチレングリコールと呼ばれ、下記一般式(3)で表される。また、ポリプロピレンオキサイドは一般的にはポリプロピレングリコールと呼ばれ、下記一般式(4)で表される。尚、下記一般式(3)及び一般式(4)において、式中のnはエチレングリコールの数平均重合度を表し、mはプロピレングリコールの数平均重合度を表す。
【0040】
H−(OCHCH−OH …(3)
H−(OCH(CH)CH−OH …(4)
ポリエチレンオキサイド及びポリプロピレンオキサイドの分子量は、ディッシング及びエロージョンの発生を抑制するとともに、水に容易に溶解されるために、平均分子量で好ましくは100〜10000、より好ましくは200〜1000である。100未満ではディッシング及びエロージョンの発生を抑制しにくい。一方、10000を超えると水に溶解されにくい。
【0041】
ポリオキシエチレンアルキルエーテルは、直鎖状又は分岐状の高級アルコールに酸化エチレンを付加重合させることにより得られ、下記一般式(5)で表される。また、ポリオキシプロピレンアルキルエーテルは、直鎖状又は分岐状の高級アルコールに酸化プロピレンを付加重合させることにより得られ、下記一般式(6)で表される。尚、下記一般式(5)及び(6)において、式中のRはアルキル基を表す。さらに、nはエチレングリコールの数平均重合度を表し、mはプロピレングリコールの数平均重合度を表す。
【0042】
R−O−(CHCHO)−H …(5)
R−O−(CHCH(CH)O)−H …(6)
ポリオキシエチレンポリオキシプロピレンアルキルエーテルは、直鎖状又は分岐状の高級アルコールに酸化プロピレン及び酸化エチレンを付加重合させることにより得られ、下記一般式(7)で表される。尚、下記一般式(7)において、Rはアルキル基を表す。さらに、nはエチレングリコールの数平均重合度を表し、mはプロピレングリコールの数平均重合度を表す。
【0043】
R−O−(CHCH(CH)O)−(CHCHO)−H …(7)
ポリオキシエチレンアルキルエーテル及びポリオキシプロピレンアルキルエーテルは、ディッシング及びエロージョンの発生を抑制する効果が高いことから、分子内における親水基、即ちエチレンオキサイドの割合はそれぞれ好ましくは10〜80%である。さらに、ポリオキシエチレンポリオキシプロピレンアルキルエーテル及び上記一般式(1)で表される炭素三重結合(C≡C)を有するポリオキシアルキレン付加重合体も上述と同様に、分子内における親水基、即ちエチレンオキサイドの割合はそれぞれ好ましくは10〜80%である。10%未満及び80%を超えると、ディッシング及びエロージョンの発生を抑制しにくい。
【0044】
ポリオキシエチレンアルキルエーテル及びポリオキシプロピレンアルキルエーテルの分子量は、ディッシング及びエロージョンの発生を抑制するとともに水に容易に溶解されるために、平均分子量で好ましくは1000〜30000、より好ましくは2000〜20000である。さらに、ポリオキシエチレンポリオキシプロピレンアルキルエーテル及び上記一般式(1)で表される炭素三重結合(C≡C)を有するポリオキシアルキレン付加重合体の分子量も上述と同様に、平均分子量で好ましくは1000〜30000、より好ましくは2000〜20000である。1000未満ではディッシング及びエロージョンの発生を抑制しにくい。一方、30000を超えると水に溶解されにくい。
【0045】
有機化合物Cの含有量は、ディッシング及びエロージョンの発生を抑制するために、第2研磨用組成物に対して、好ましくは2〜30g/リットル、より好ましくは4〜20g/リットルである。2g/リットル未満では、有機化合物Cの量が少ないためにディッシング及びエロージョンの発生を抑制しにくい。一方、30g/リットルを超えると、研磨が抑制されるために十分な研磨速度が得られにくい。
【0046】
腐食防止剤Dは、研磨中及び研磨後の銅表面を保護してその腐食を防止するとともに、有機化合物Cと同じ機能発現のために含有される。この腐食防止剤Dは、ベンゾトリアゾール及びその誘導体から選ばれる少なくとも一種である。ベンゾトリアゾール及びその誘導体は下記一般式(8)で表される。
【0047】
【化5】
Figure 2004075862
上記一般式(8)において、R〜R10は水素原子又はアルキル基をそれぞれ表し、R11は水素原子、又は水酸基若しくはカルボキシル基を有してもよいアルキル基を表す。また、4位、5位、6位又は7位の炭素原子を窒素原子に置換してもよいし、3位の窒素原子を炭素原子に置換してもよい。
【0048】
ベンゾトリアゾールの誘導体の具体例としては、1−(2,3−ジヒドロキシプロピル)ベンゾトリアゾール、1−[N,N−ビス(ヒドロキシエチル)アミノメチル]ベンゾトリアゾール、1−(ヒドロキシメチル)ベンゾトリアゾール、1−(1,2−ジカルボキシエチル)ベンゾトリアゾール等が挙げられる。ベンゾトリアゾール及びその誘導体の中でも、銅表面を保護することによって銅が腐食されるのを防止する効果が高いことから、ベンゾトリアゾールが好ましい。
【0049】
腐食防止剤Dの含有量は、ディッシング及びエロージョンの発生を抑制するために、第2研磨用組成物に対して、好ましくは0.01〜0.1g/リットル、より好ましくは0.02〜0.06g/リットルである。0.01g/リットル未満ではディッシング及びエロージョンの発生を抑制しにくい。一方、0.1g/リットルを超えると、銅に対する研磨が抑制されるため、導体層に対して十分な研磨速度が得られにくい。また、研磨が不均一になりやすい。
【0050】
第3の工程で用いられる研磨用組成物(以下、第3研磨用組成物ともいう)は、研磨材Aと、酸E又はアルカリFと、腐食防止剤Dと、水とを含有する。さらに、腐食防止剤Dの含有量が第3研磨用組成物に対して好ましくは0.01〜0.1g/リットル、より好ましくは0.02〜0.06g/リットルである以外は、第1研磨用組成物と同じである。
【0051】
酸E又はアルカリFは、バリア層を研磨するために含有される。酸Eは、硝酸、塩酸、乳酸、リン酸、硫酸、酢酸、シュウ酸、クエン酸、酒石酸、マロン酸、コハク酸、マレイン酸及びフマル酸から選ばれる少なくとも一種である。これら酸Eの中でも、バリア層中のタンタル含有化合物を高効率で研磨することができることから、乳酸又は硝酸が好ましい。一方、アルカリFは、水酸化カリウム、水酸化アンモニウム及び水酸化ナトリウムから選ばれる少なくとも一種である。これらのアルカリFの中でも、バリア層中のタンタル含有化合物を高効率で研磨することができることから、水酸化カリウムが好ましい。
【0052】
酸E及びアルカリFの含有量は、バリア層に対する研磨速度を向上させるために、第3研磨用組成物に対してそれぞれ好ましくは1〜20g/リットル、より好ましくは2〜10g/リットルである。1g/リットル未満ではバリア層に対して十分な研磨速度が得られにくい。一方、20g/リットルを超えると、第3研磨用組成物のpHが低くなるために、またpHが高くなるために、第3研磨用組成物の取り扱いに注意が必要になりやすい。
【0053】
第3研磨用組成物は、上述の機能発現のために、第1研磨用組成物と同様に研磨促進剤Bを含有するのが好ましい。第3研磨用組成物のpHは、第3研磨用組成物の取り扱いを容易にするために、酸Eを含有するときには好ましくは2〜4であり、アルカリFを含有するときには好ましくは9〜11である。第3研磨用組成物のpHが2未満又は11を超えると、第3研磨用組成物のpHが高すぎる、又は低すぎるために取り扱いに注意が必要になりやすい。一方、pHが4を超えると又は9未満では、バリア層に対して十分な研磨速度が得られにくい。
【0054】
第3研磨用組成物は、第3の工程において、第2の工程で除去すべき導体層の一部が残っているときには、残っている導体層を研磨するために、過酸化水素を含有するのが好ましい。第3研磨用組成物に対する過酸化水素の含有量は、導体層に対する研磨速度を向上させるために、第3研磨用組成物に対して、好ましくは0.5〜20g/リットル、より好ましくは1〜10g/リットルである。0.5g/リットル未満では導体層に対して十分な研磨速度が得られにくい。一方、20g/リットルを超えると、導体層に対する研磨速度が高くなりすぎるため、ディッシング及びエロージョンが発生しやすい。
【0055】
第2研磨用組成物において、調製を容易にするとともに管理を容易にするために、研磨材A、研磨促進剤B及び水を含有する主研磨用組成物と、有機化合物C、腐食防止剤D及び水を含有する第1副組成物とを別々に調製するのが好ましい。一方、第3研磨用組成物においても、第3研磨用組成物が研磨促進剤Bを含有するときには、主研磨用組成物と、酸E又はアルカリF、腐食防止剤D及び水を含有する第2副組成物とを別々に調製するのが好ましい。そして、第2研磨用組成物が研磨に用いられるときには、主研磨用組成物と、第1副組成物と、過酸化水素とを混合するように構成するのが好ましい。一方、第3研磨用組成物が研磨に用いられるときには、主研磨用組成物と、第2副組成物とを混合するように構成するのが好ましい。
【0056】
さらに、主研磨用組成物及び各副組成物は、それらの管理を容易にするとともに輸送コストを低減するために、濃縮された状態で保管されるとともに、研磨に用いられるときには水が混合されて希釈されるように構成されるのが好ましい。ここで、過剰の濃縮では、研磨材Aの分散安定性や各成分の溶解安定性が低下しやすい。このため、第1研磨用組成物においては、濃縮された主研磨用組成物と混合される水との体積比は、好ましくは濃縮された主研磨用組成物:混合される水=1:2〜20、より好ましくは濃縮された主研磨用組成物:混合される水=1:5〜15である。
【0057】
一方、第2研磨用組成物においては、濃縮された主研磨用組成物と、濃縮された第1副組成物と、混合される水との体積比は、好ましくは濃縮された主研磨用組成物:濃縮された第1副組成物:水=1:1〜9:1〜10程度である。また、第3研磨用組成物においては、濃縮された主研磨用組成物と、濃縮された第2副組成物と、混合される水との体積比は、好ましくは濃縮された主研磨用組成物:濃縮された第2副組成物:水=1:1〜7:1〜16程度である。
【0058】
各研磨用組成物は、上述の体積比よりも少ない量の水が混合されるように構成されるときには、希釈に用いる水の量が少ないために、濃縮の度合いが低くなりやすい。一方、上述の体積比よりも多い量の水が混合されるように構成されるときには、希釈に用いる水の量が多いために、希釈後に濃度を均一にするのに時間がかかりやすい。
【0059】
以上詳述した本実施形態によれば、次のような効果が発揮される。
・ 第1の実施形態の研磨方法においは、第2研磨用組成物は、有機化合物C及び腐食防止剤Dを含有する。一方、第3研磨用組成物は腐食防止剤Dを含有する。ここで、有機化合物Cはノニオンタイプであり、第2研磨用組成物の電気伝導度が高くなるのを抑制することができる。このため、第2研磨用組成物が電解質として働くのを抑制し、導体層とバリア層との間で電気化学的な反応が進行して導体層が選択的に研磨されるのを抑制することができる。また、腐食防止剤Dは、銅に対する過剰の研磨を抑制することができる。従って、導体層を高い研磨速度で研磨するためにディッシング及びエロージョンが発生する従来の研磨方法に比べて、ディッシング及びエロージョンの発生を抑制することができる。
【0060】
・ 第1及び第2研磨用組成物は、研磨材Aと研磨促進剤Bとを含有する。このため、研磨材Aによる機械的研磨作用と、研磨促進剤Bの促進作用とが相乗的に作用することにより、導体層に対する研磨速度をそれぞれ向上させることができる。
【0061】
・ 第3研磨用組成物は、酸E又アルカリFを含有する。酸E及びアルカリFは、化学的研磨作用によってタンタル含有化合物を高効率でそれぞれ研磨することができるために、バリア層に対する研磨速度を向上させることができる。
【0062】
・ 第3研磨用組成物が研磨促進剤Bを含有するときには、主研磨用組成物と、各副組成物とを別々に調製し、必要に応じて主研磨用組成物に第1又は第2副組成物を混合するのが好ましい。このため、保存するときの管理が煩雑な研磨材Aを含有する主研磨用組成物を一つにすることができる。よって、主研磨用組成物に第1又は第2副組成物が混合された状態で保存するときに比べて、管理する主研磨用組成物の数を減らすことができる。このため、各研磨用組成物の調製を容易にすることができるとともに管理を容易にすることができる。
【0063】
・ 主研磨用組成物及び各副組成物は、濃縮された状態で保管されるとともに、研磨に用いられるときには水が混合されて希釈されるように構成されるのが好ましい。このため、それらの管理を容易にすることができるとともに輸送コストを低減することができる。
【0064】
・ 第1及び第2研磨用組成物は過酸化水素をそれぞれ含有する。また、第3研磨用組成物は、第3の工程において第2の工程で除去すべき導体層の一部が残っているときには、過酸化水素を含有するのが好ましい。過酸化水素は酸化剤として作用し、銅を酸化することによって銅に対する研磨速度を向上させることができる。このため、導体層に対する研磨速度を向上させることができる。
【0065】
・ 前記一般式(1)で表される炭素三重結合(C≡C)を有するポリオキシアルキレン付加重合体は、上記一般式(2)で表されるジアルキルジメチルブチンジオールポリオキシエチレングリコールエーテルが好ましい。このため、ディッシング及びエロージョンの発生をより確実に抑制することができる。
(第2の実施形態)
次に、本発明の第2の実施形態について説明する。
【0066】
第2の実施形態の第1研磨用組成物は、第1の実施形態の研磨方法での第1の工程に係るものであり、主研磨用組成物を含有し、研磨に用いられるときには過酸化水素が混合される。従って、第2の実施形態の第1研磨用組成物においては、研磨材Aによる機械的研磨作用と、研磨促進剤Bによる研磨の促進作用とが相乗的に作用するとともに、過酸化水素が混合されることにより、導体層に対する研磨速度を向上させることができる。
(第3の実施形態)
次に、本発明の第3の実施形態について説明する。
【0067】
第3の実施形態の第2研磨用組成物は、第1の実施形態の研磨方法での第2の工程に係るものであり、主研磨用組成物と、第1副組成物とを含有し、研磨に用いられるときには過酸化水素が混合される。従って、第3の実施形態の第2研磨用組成物においては、有機化合物C及び腐食防止剤Dを含有することによりディッシング及びエロージョンの発生を抑制することができる。さらに、過酸化水素が混合されることにより、導体層に対する研磨速度を向上させることができる。
(第4の実施形態)
次に、本発明の第4の実施形態について説明する。
【0068】
第4の実施形態の第3研磨用組成物は、第1の実施形態の研磨方法での第3の工程に係るものであり、主研磨用組成物と、第2副組成物とを含有する。そして、研磨に用いられるときには過酸化水素が混合されるのが好ましい。従って、第4の実施形態の第3研磨用組成物においては、酸E又はアルカリFを含有することにより、バリア層に対する研磨速度を向上させることができる。
【0069】
なお、前記実施形態を次のように変更して構成することもできる。
・ 各実施形態の研磨方法において、主研磨用組成物は腐食防止剤Dを含有してもよい。このとき、主研磨用組成物が第1研磨用組成物に含有されるときには、銅に対する研磨速度が著しく損なわれない範囲内の量で含有される。一方、第2又は第3研磨用組成物が腐食防止剤Dを含む主研磨用組成物を含有するときには、各研磨用組成物における腐食防止剤Dの含有量は、主研磨用組成物に含有される腐食防止剤Dの含有量と各副組成物に含有される腐食防止剤Dの含有量との合計となる。このように構成した場合は、第1の工程において、銅に対する過剰の研磨を抑制することができるために、導体層が主研磨用組成物に長時間曝されたときにも、導体層が過剰に研磨されるのを抑制するこができる。
【0070】
【実施例】
次に、実施例及び比較例を挙げて前記実施形態をさらに具体的に説明する。
<第1の工程>
(実施例1〜11及び比較例1〜3)
実施例1においては、まず研磨材Aとしての平均粒子径が35nmのコロイダルシリカと、研磨促進剤Bとしてのα−アラニンと、腐食防止剤Dとしてのベンゾトリアゾールと、水とを混合して主研磨用組成物を調製した。次いで、主研磨用組成物と、水と、濃度が30%の過酸化水素の水溶液とを混合して第1研磨用組成物を調製した。主研磨用組成物における各成分の含有量、主研磨用組成物と水との体積比、及び第1研磨用組成物における各成分の含有量を表1に示す。
【0071】
実施例2〜11及び比較例1〜3においては、各成分の含有量及びの主研磨用組成物に対する体積比を表1に示すように変更した以外は、実施例1と同様にして第1研磨用組成物を調製した。ここで、実施例9〜11においては、α−アラニンをグリシンに変更した。
【0072】
実施例1〜11及び比較例1〜3の各例の第1研磨用組成物を用いて、銅ブランケットウエハを下記の研磨条件1で1分間研磨した。そして、銅ブランケットウエハの研磨前と研磨後の膜厚を、シート抵抗機(VR−120;国際電気システムサービス株式会社製)を用いて測定し、膜厚差を算出した後にその値から銅ブランケットウエハに対する研磨速度を求めた。
【0073】
一方、各例の第1研磨用組成物を用いて、銅パターンウエハを下記の研磨条件1で初期膜厚の80%(8000Å)を研磨した後、接触式の表面測定装置であるプロフィラ(HRP340;ケーエルエー・テンコール社製)を用いて90%の高密度配線部の凹溝の深さを測定した。それらの結果を表1に示す。尚、表1において、α−アラニンをAで示すとともに、グリシンをGで示す。
【0074】
<研磨条件1>
研磨機:片面CMP用研磨機(Mirra;アプライドマテリアルズ社製)、被研磨物:銅ブランケットウエハ(電解メッキ法により銅を成膜された8インチシリコンウエハ)又は銅パターンウエハ(SEMATECH社製、854マスクパターン、成膜厚さ10000Å、初期凹溝8000Å)、研磨パッド:ポリウレタン製の積層研磨パッド(IC−1000/Suba400;ロデール社製)、研磨加工圧力:2.5psi(=約17.3kPa)、定盤回転数:90rpm、研磨用組成物の供給速度:200ml/min、キャリア回転数:90rpm
【0075】
【表1】
Figure 2004075862
表1に示すように、実施例1〜実施例11においては、銅ブランケットウエハに対する研磨速度及び凹溝の深さにおいて優れた値となった。一方、比較例1〜3においては、研磨材A、研磨促進剤B又は過酸化水素を含有しないために銅ブランケットウエハに対する研磨速度が小さい値となった。さらに、銅パターンウエハの膜厚を80%以上研磨することができず、凹溝の深さを測定することができなかった。
<第2の工程>
(実施例12〜23及び比較例4〜6)
実施例12においては、まず実施例1と同様にして主研磨用組成物を調製するとともに、有機化合物Cと、ベンゾトリアゾールと、水とを混合して第1副組成物を調製した。ここで、有機化合物Cは、下記式(9)で表すジイソブチルジメチルブチンジオールポリオキシエチレングリコールエーテル(A)とポリオキシエチレンポリオキシプロピレンアルキルエーテル(B;分子量8000)とが重量比でA:B=2:1の割合で混合されたものを用いた。
【0076】
【化6】
Figure 2004075862
次いで、主研磨用組成物と、第1副組成物と、水と、濃度が30%の過酸化水素の水溶液とを混合して第2研磨用組成物を調製した。主研磨用組成物及び第1副組成物における各成分の含有量、主研磨用組成物と第1副組成物と水との体積比及び第2研磨用組成物における各成分の含有量を表2に示す。実施例13〜23及び比較例4〜6においては、主研磨用組成物及び第1副組成物における各成分の含有量、主研磨用組成物と第1副組成物と水との体積比及び第2研磨用組成物における過酸化水素の含有量を表2に示すように変更した以外は、実施例12と同様にして第2研磨用組成物を調製した。
【0077】
以上の実施例12〜23及び比較例4〜6の各例の第2研磨用組成物を用いて、銅ブランケットウエハを下記の研磨条件2で1分間研磨した。また、各例の第2研磨用組成物を用いて、タンタルブランケットウエハ又は酸化ケイ素ブランケットウエハを下記の研磨条件2で1分間研磨した。ここで、研磨条件2については、研磨条件1と異なる条件のみを記載する。
【0078】
次いで、上述と同様にして銅ブランケットウエハ及びタンタルブランケットウエハに対する研磨速度を求めた。また、酸化ケイ素ブランケットウエハの研磨前と研磨後の膜厚を、光学式膜厚測定器(VM−2030;大日本スクリーン株式会社製)を用いて測定し、膜厚差を算出した後にその値から酸化ケイ素ブランケットウエハに対する研磨速度を求めた。
【0079】
一方、各例の第2研磨用組成物を用いて、銅パターンウエハを下記の研磨条件2で、エンドポイントシグナルが開始してから時間にして50%オーバーの研磨を実施した。そして、接触式の表面測定装置であるプロフィラ(HRP340;ケーエルエー・テンコール社製)を用いて、10μm幅の孤立配線部におけるディッシング量及び90%の高密度配線部におけるエロージョン量の測定を行った。それらの結果を表3に示す。
【0080】
<研磨条件2>
被研磨物:銅ブランケットウエハ(電解メッキ法により銅を成膜された8インチシリコンウエハ)、タンタルブランケットウエハ(スパッタリング法によりタンタルを成膜された8インチシリコンウエハ)、酸化ケイ素ブランケットウエハ(CVD法により酸化ケイ素を成膜された8インチシリコンウエハ)又は銅パターンウエハ(SEMATECH社製、854マスクパターン、第1の工程の研磨として、表3に示す各例の研磨用組成物を用いるとともに研磨条件1で約8000Åの銅膜が研磨されており、約2000Åの銅の残膜がある状態。)、研磨パッド:ポリウレタン製の積層研磨パッド(IC−1400;ロデール社製)、研磨加工圧力:2psi(=約13.8kPa)
【0081】
【表2】
Figure 2004075862
【0082】
【表3】
Figure 2004075862
表3に示すように、実施例12〜23においては、各研磨速度、ディッシング量及びエロージョン量において優れた値となった。このため、実施例12〜23の研磨用組成物を用いると、ディッシング及びエロージョンの発生を抑制することができる。
【0083】
一方、比較例4及び比較例5においては、有機化合物C又は腐食防止剤Dを含有しないために、ディッシング量及びエロージョン量が高い値となった。このため、比較例4及び比較例5の研磨用組成物を用いると、ディッシング及びエロージョンが発生する。比較例6においては、過酸化水素を含有しないために研磨速度が小さく、銅パターンウエハを研磨することができなかった。このため、ディッシング量及びエロージョン量を測定することができなかった。
<第3の工程>
(実施例24〜45、比較例7〜10)
実施例24においては、まず実施例1と同様にして主研磨用組成物を調製するとともに、酸Eとしての乳酸と、ベンゾトリアゾールと、水とを混合して第2副組成物を調製した。次いで、主研磨用組成物と、第2副組成物と、水とを混合して第3研磨用組成物を調製した。主研磨用組成物及び第2副組成物における各成分の含有量、主研磨用組成物と第2副組成物と水との体積比及び第3研磨用組成物における各成分の含有量を表4に示す。
【0084】
実施例25〜45及び比較例7〜10においては、主研磨用組成物及び第2副組成物における各成分の含有量、主研磨用組成物と第2副組成物と水との体積比を表4に示すように変更した以外は、実施例24と同様にして第3研磨用組成物を調製した。ここで、実施例33、実施例34、実施例44及び実施例45においては、主研磨用組成物と、第2副組成物と、水と、濃度が30%の過酸化水素の水溶液とを混合して第3研磨用組成物を調製した。実施例35〜45及び比較例10においては、乳酸をアルカリFとしての水酸化カリウムに変更した。
【0085】
実施例24〜45及び比較例7〜10の各例の第3研磨用組成物を用いて、銅ブランケットウエハを下記の研磨条件3で1分間研磨した。また、各例の第3研磨用組成物を用いて、タンタルブランケットウエハ又は酸化ケイ素ブランケットウエハを下記の研磨条件3で1分間研磨した。ここで、研磨条件3については、研磨条件1と異なる条件のみを記載する。
【0086】
次いで、上述と同様にして銅ブランケットウエハ、タンタルブランケットウエハ及び酸化ケイ素ブランケットウエハに対する各研磨速度を求めた。一方、各例の第3研磨用組成物を用いて銅パターンウエハを下記の研磨条件3で研磨した。ここで、研磨時間については、タンタルブランケットウエハに対する研磨速度から換算し、タンタル膜厚の2倍の厚みが研磨できる時間とした。そして、上述と同様にして10μm幅の孤立配線部におけるディッシング量及び90%の高密度配線部におけるエロージョン量の測定を行った。それらの結果を表5に示す。
【0087】
<研磨条件3>
被研磨物:銅ブランケットウエハ(電解メッキ法により銅を成膜された8インチシリコンウエハ)、タンタルブランケットウエハ(スパッタリング法によりタンタルを成膜された8インチシリコンウエハ)、酸化ケイ素ブランケットウエハ(CVD法により酸化ケイ素を成膜された8インチシリコンウエハ)又は銅パターンウエハ(SEMATECH社製、854マスクパターン、第1工程の研磨として、表5に示す各例の研磨用組成物を用いるとともに研磨条件1で研磨された後、第2工程の研磨として、表5に示す各例の研磨用組成物を用いるとともに研磨条件2で除去すべき銅膜が全て研磨されている状態。)
【0088】
【表4】
Figure 2004075862
【0089】
【表5】
Figure 2004075862
表5に示すように、実施例24〜45においては、各研磨速度、ディッシング量及びエロージョン量において優れた値となった。このため、実施例24〜45の研磨用組成物を用いると、ディッシング及びエロージョンの発生を抑制することができる。
【0090】
一方、比較例7及び比較例8においては、酸E又はアルカリFを含有しないためにタンタルブランケットウエハに対する研磨速度が小さく、銅パターンウエハを研磨することができなかった。このため、ディッシング量及びエロージョン量を測定することができなかった。比較例9及び比較例10においては、腐食防止剤Dを含有しないために、ディッシング量及びエロージョン量が高い値となった。このため、比較例9及び比較例10の研磨用組成物を用いると、ディッシング及びエロージョンが発生する。
(比較例11)
比較例11においては、1種類の研磨用組成物のみを用いて、第1及び第2の工程を連続して行った。具体的には、実施例2の研磨用組成物を用いて、銅パターンウエハを下記の研磨条件4で、エンドポイントシグナルが開始してから時間にして50%オーバーの研磨を実施した。そして、上述と同様にして10μm幅の孤立配線部におけるディッシング量及び90%の高密度配線部におけるエロージョン量の測定を行った。この結果、実施例2の研磨用組成物は有機化合物Cを含有していないために、ディッシング量及びエロージョン量が650Åという高い値となった。このため、比較例11の研磨用組成物を用いると、ディッシング及びエロージョンが発生する。ここで、研磨条件4については、研磨条件2と異なる条件のみを記載する。
【0091】
<研磨条件4>
被研磨物:銅パターンウエハ(SEMATECH社製、854マスクパターン、成膜厚さ10000Å、初期凹溝8000Å)
次に、前記実施形態から把握できる技術的思想について以下に記載する。
【0092】
・ 請求項1に記載の研磨方法に用いられ、主研磨用組成物と、有機化合物C、腐食防止剤D及び水を含有する第1副組成物と、酸E又はアルカリF、腐食防止剤D及び水を含有する第2副組成物とがそれぞれ濃縮された状態で別々に調製され、第1の工程で用いられるときには、濃縮された主研磨用組成物に過酸化水素及び水が混合されて構成され、第2の工程で用いられるときには、濃縮された主研磨用組成物及び第1副組成物に、過酸化水素及び水が混合されて構成され、第3の工程で用いられるときには、濃縮された主研磨用組成物及び第2副組成物に水が混合されて構成されることを特徴とする研磨用組成物。この構成によれば、主研磨用組成物及び各副組成物を濃縮することにより、管理及び輸送コストを低減することができる。
【0093】
【発明の効果】
本発明は、以上のように構成されているため、次のような効果を奏する。
請求項1に記載の発明の研磨方法によれば、ディッシング及びエロージョンの発生を抑制することができる。
【0094】
請求項2に記載の発明の研磨方法によれば、請求項1に記載の発明の効果に加え、各研磨用組成物の調製を容易にすることができるとともに管理を容易にすることができる。
【0095】
請求項3に記載の発明の研磨方法によれば、請求項1又は請求項2に記載の発明の効果に加え、導体層に対する研磨速度を向上させることができる。
請求項4に記載の発明の研磨用組成物によれば、導体層に対する研磨速度を向上させることができる。
【0096】
請求項5に記載の発明の研磨用組成物によれば、ディッシング及びエロージョンの発生を抑制することができるとともに、導体層に対する研磨速度を向上させることができる。
【0097】
請求項6に記載の発明の研磨用組成物によれば、バリア層に対する研磨速度を向上させることができる。
請求項7に記載の発明の研磨用組成物によれば、請求項6に記載の発明の効果に加え、導体層に対する研磨速度を向上させることができる。
【図面の簡単な説明】
【図1】(a)から(d)は第1の実施形態の研磨方法を模式的に示すための要部拡大端面図。
【図2】(a)は第2の工程における研磨が終了したときのディッシングを模式的に示すための要部拡大端面図、(b)は第2の工程における研磨が終了したときのエロージョンを模式的に示すための要部拡大端面図。
【図3】(a)は第3の工程における研磨が終了したときのディッシングを模式的に示すための要部拡大端面図、(b)は第3の工程における研磨が終了したときのエロージョンを模式的に示すための要部拡大端面図。
【符号の説明】
11…絶縁体層、12…凹部、13…導体層、14…バリア層。[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a polishing method for forming a wiring structure in a semiconductor device and the like, and a polishing composition used for the method. More specifically, the present invention relates to a polishing method capable of suppressing the occurrence of dishing and erosion, and a polishing composition used therein.
[0002]
[Prior art]
2. Description of the Related Art Conventionally, a wiring structure of a semiconductor device or the like is formed by a method using a CMP (Chemical Mechanical Polishing) method or the like. In a method of forming a wiring structure using a CMP method, first, a barrier layer made of a tantalum-containing compound such as tantalum or tantalum nitride is formed on an insulator layer having a concave portion on its surface, and then contains copper. A conductive layer made of a metal material is formed on the barrier layer such that at least the inside of the recess is completely filled. Next, the conductor layer and the barrier layer are polished until the insulator layer other than the concave portion is exposed, and a wiring portion is formed in the concave portion.
[0003]
In a conventional polishing method, first, a polishing composition that can polish a conductor layer with high efficiency is used, and the conductor layer is polished at a high polishing rate until a barrier layer other than a concave portion is exposed. Next, by using a polishing composition that can mainly polish the barrier layer with high efficiency, the barrier layer is polished at a high polishing rate until the insulator layer other than the concave portion is exposed, so that the wiring in the concave portion is formed. Part was formed (first conventional configuration).
[0004]
Also, after forming the conductor layer on the barrier layer, using a polishing composition that can polish the conductor layer with high efficiency, so that the polishing is completed before the barrier layer is exposed in a portion other than the concave portion, The conductor layer is polished at a high polishing rate. Next, by using a polishing composition that can polish the conductor layer and the barrier layer with high efficiency, the wiring layer is formed in the concave portion by polishing the barrier layer until the insulator layer other than the concave portion is exposed. (The second conventional configuration).
[0005]
[Problems to be solved by the invention]
However, in the first conventional configuration, since the conductor layer is polished at a high polishing rate, the conductor layer is excessively polished, so that the surface of the wiring portion recedes inward as compared with the surface of the insulator layer. There was a problem that phenomena, namely dishing and erosion, occurred. On the other hand, in the second conventional configuration, when the conductor layer and the barrier layer are polished, an electrochemical reaction proceeds between the conductor layer and the barrier layer, and the conductor layer is selectively polished. Therefore, there is a problem that dishing occurs.
[0006]
The present invention has been made by paying attention to the problems existing in the prior art as described above. An object of the present invention is to provide a polishing method capable of suppressing the occurrence of dishing and erosion and a polishing composition used for the polishing method.
[0007]
[Means for Solving the Problems]
In order to achieve the above object, the polishing method according to the first aspect of the present invention provides a polishing method, comprising: forming a barrier layer formed on an insulator layer provided with a concave portion on a surface; A first step of polishing the conductor layer so as to complete polishing before the barrier layer is exposed, and a first step of polishing the conductor layer so that polishing is completed before the barrier layer is exposed; And a third step of polishing the barrier layer, wherein at least one abrasive A selected from silicon dioxide and aluminum oxide, glycine and A main polishing composition containing at least one polishing accelerator B selected from α-alanine and water and a polishing composition containing hydrogen peroxide are used to polish the conductor layer, and in a second step, Abrasive material A, Polishing accelerator B, polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and a carbon triple bond (C 三 C) represented by the following general formula (1) A polishing composition comprising at least one organic compound C selected from a polyoxyalkylene addition polymer having the formula (I), at least one corrosion inhibitor D selected from benzotriazole and its derivatives, hydrogen peroxide, and water. In the third step, the polishing material A is mixed with nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid and At least one acid E selected from fumaric acid, or potassium hydroxide, The barrier layer is polished using a polishing composition containing at least one alkali F selected from monium and sodium hydroxide, a corrosion inhibitor D, and water.
[0008]
Embedded image
Figure 2004075862
(However, R in the formula 1 ~ R 6 Represents a hydrogen atom or an alkyl group having 1 to 10 carbon atoms, X and Y each represent an ethyleneoxy group or a propyleneoxy group, and m and n each represent a number of 1 to 20. )
A polishing method according to a second aspect of the present invention is the polishing method according to the first aspect, wherein the main polishing composition, a first sub-composition containing an organic compound C, a corrosion inhibitor D and water, and an acid. A second sub-composition containing E or alkali F, a corrosion inhibitor D and water is separately prepared, and the polishing composition used in the second step is a main polishing composition and a first sub-composition. The polishing composition used in the third step, which contains a polishing composition and a hydrogen peroxide, contains the main polishing composition and the second sub-composition.
[0009]
According to a third aspect of the present invention, in the polishing method of the first or second aspect, the polishing composition used in the third step further contains hydrogen peroxide.
[0010]
The polishing composition of the invention according to claim 4 is used in the first step according to claim 1 and contains the main polishing composition, and when used, hydrogen peroxide is mixed. is there.
[0011]
The polishing composition of the invention according to claim 5 is used in the second step according to claim 1, and contains the main polishing composition, an organic compound C, a corrosion inhibitor D, It contains a sub-composition containing water and is mixed with hydrogen peroxide when used.
[0012]
The polishing composition of the invention according to claim 6 is used in the third step according to claim 1, contains the main polishing composition, and contains an acid E or an alkali F and a corrosion inhibitor D. And a sub-composition containing water.
[0013]
The polishing composition according to the seventh aspect of the present invention is the polishing composition according to the sixth aspect, wherein hydrogen peroxide is mixed when used.
[0014]
BEST MODE FOR CARRYING OUT THE INVENTION
(1st Embodiment)
Hereinafter, a first embodiment of the present invention will be described in detail with reference to the drawings.
[0015]
When forming a wiring structure of a semiconductor device, first, as shown in FIG. 1A, a concave portion 12 having a predetermined pattern based on a circuit design is formed on a surface of an insulator layer 11 on a semiconductor substrate (not shown). The formation of the recess 12 is performed by a known lithography technique and etching technique. As a specific example of the insulator layer 11, SiO 2 formed by a CVD (Chemical Vapor Deposition) method using TEOS (tetraethoxysilane) or the like is used. 2 Film, SiOF film, SiOC film and the like. It is desirable that the surface of the insulator layer 11 before the concave portion 12 is formed is as flat as possible.
[0016]
Next, in order to prevent copper in the conductor layer 13 to be described later from diffusing into the insulator layer 11, a barrier layer 14 having a predetermined thickness is formed on the insulator layer 11 in which the concave portions 12 are formed by a sputtering method or the like. Formed. At this time, a portion corresponding to the concave portion 12 is formed in a concave shape on the surface of the barrier layer 14 by the concave portion 12. This barrier layer 14 is formed of a tantalum-containing compound such as tantalum or tantalum nitride. Subsequently, a conductor layer 13 made of a metal material containing copper is formed on the barrier layer 14 so that at least the inside of the concave portion 12 is completely filled.
[0017]
Specific examples of the metal material containing copper include copper, a copper-aluminum alloy, a copper-titanium alloy, and the like. On the surface of the conductor layer 13, an initial concave groove 15 derived from the concave portion 12, which is generally called an initial step, is formed at a position corresponding to the concave portion 12. Then, the conductor layer 13 and the barrier layer 14 are polished by the CMP method until the insulating layer 11 other than the concave portion 12 is exposed, thereby forming a wiring portion in the concave portion 12.
[0018]
Next, a polishing method will be described.
In the polishing method according to the present embodiment, as shown in FIG. 1B, first, the conductor layer 13 is polished as a first step. The polishing rate for the conductor layer 13 in the first step is preferably 5000 to 10000 / min, more preferably 7000 to 9000 / min, in order to shorten the polishing time. If it is less than 5000 ° / min, the polishing time tends to be long. On the other hand, if it exceeds 10,000 ° / min, it is difficult to control the polishing rate. The polishing in the first step is completed before the barrier layer 14 is exposed. When the polishing is completed, it is preferable that the initial grooves are almost completely eliminated. Specifically, the depth of each groove 16 on the surface of the conductor layer 13 is preferably 500 ° or less, more preferably 200 ° or less. .
[0019]
Next, as shown in FIG. 1C, as a second step, the conductor layer 13 is polished until the barrier layer 14 other than the recess 12 is exposed. The polishing rate for the conductor layer 13 in the second step is preferably 1000 to 4000 / min, more preferably 2000 to 3000 / min, in order to shorten the polishing time. If it is less than 1000 ° / min, the polishing time tends to be long. On the other hand, if it exceeds 4000 ° / min, dishing and erosion are likely to occur.
[0020]
In the second step, the polishing rate is preferably adjusted so that the exposed barrier layer 14 is not polished. Specifically, the polishing rate for the conductor layer 13 is preferably 100 to 10000 times, more preferably 200 to 800 times the polishing rate for the barrier layer 14. If it is less than 100 times, dishing and erosion tend to occur. On the other hand, when it exceeds 10,000 times, it is difficult to prepare the polishing composition used in the second step.
[0021]
When the polishing in the second step is completed, the dishing amount in the wiring portion having a width of, for example, 10 μm is preferably 500 ° or less, more preferably 300 ° or less. Here, as shown in FIG. 2A, the dishing amount d1 is the distance (height difference) in the depth direction between the surface of the barrier layer 14 other than the recess 12 and the surface of the conductor layer 13. That is.
[0022]
Further, the erosion amount in the high-density wiring portion of, for example, 90% is preferably 500 ° or less, more preferably 300 ° or less. Here, as shown in FIG. 2 (b), erosion is caused by polishing the barrier layer 14 and the conductor layer 13 between the adjacent concave portions 12 in a region where the concave portions 12 are densely formed. This refers to a phenomenon in which the surface of the region recedes inward as compared with the surface of the barrier layer 14 other than the concave portion 12 of the region. The erosion amount e1 is defined as the distance (height difference) in the depth direction between the surface of the region where the concave portions 12 are densely formed and the surface of the barrier layer 14 other than the concave portions 12 in other regions. That is. The 90% high-density wiring portion refers to a region where the area of the concave portion 12 occupies 90% of the entire area, such as a region in which concave portions 12 having a width of 9 μm are provided at intervals of 1 μm. Say.
[0023]
Subsequently, as shown in FIG. 1D, as a third step, the barrier layer 14 is polished until the insulator layer 11 other than the concave portion 12 is exposed. The polishing rate in the third step is preferably 500 to 1500 ° / min, more preferably 700 to 1000 ° / min with respect to the barrier layer 14 in order to suppress the exposed insulator layer 11 from being polished. It is. Further, it is preferably 100 ° / min or less, more preferably 50 ° / min or less, for insulator layer 11. If it is less than 500 ° / min with respect to the barrier layer 14, the polishing time tends to be long. On the other hand, if it exceeds 1500 ° / min, it is difficult to control the polishing rate. If the thickness exceeds 100 ° / min with respect to the insulator layer 11, the exposed insulator layer 11 is easily polished.
[0024]
Further, when the conductor layer 13 to be removed in the second step is completely removed, there is no need to polish the conductor layer 13, so that the polishing composition used in the third step becomes a peroxide. Contains no hydrogen. In that case, it is preferably 100 ° / min or less, more preferably 50 ° / min or less with respect to the conductor layer 13. If it exceeds 100 ° / min with respect to the conductor layer 13, dishing and erosion are likely to occur.
[0025]
If the conductor layer 13 to be removed in the second step is not completely removed, the conductor layer 13 needs to be polished. It preferably contains hydrogen. In that case, it is preferably 100 to 300 ° / min, more preferably 150 to 250 ° / min with respect to the conductor layer 13. If the angle is less than 100 ° with respect to the conductor layer 13, the conductor layer 13 to be removed may not be sufficiently polished. On the other hand, if it exceeds 300 ° / min with respect to the conductor layer 13, dishing and erosion are likely to occur.
[0026]
When the polishing in the third step is completed, as shown in FIG. 3A, the dishing amount d2 is the depth of the surface of the insulator layer 11 other than the recess 12 and the surface of the conductor layer 13 in the depth direction. Indicates the distance. On the other hand, as shown in FIG. 3B, the erosion amount e2 is the depth between the surface of the region where the concave portions 12 are densely formed and the surface of the insulator layer 11 other than the concave portions 12 in other regions. Indicates the distance in the direction.
[0027]
The polishing time in each step is preferably substantially the same in order to improve the efficiency of forming the wiring portion 17 in the concave portion 12. Therefore, for example, in the first and second steps, it is preferable to adjust the amount of the conductive layer 13 to be polished or the polishing rate in order to match the polishing time in each step. Further, it is preferable to adjust the polishing rate in each step from the polishing time required for polishing the barrier layer 14 in the third step.
[0028]
The polishing composition used in the first step (hereinafter, also referred to as a first polishing composition) includes at least one abrasive A selected from silicon dioxide and aluminum oxide, and at least one selected from glycine and α-alanine. It contains a main polishing composition containing a kind of polishing accelerator B and water, and hydrogen peroxide.
[0029]
The polishing material A is contained for polishing the surface to be polished by the mechanical polishing action. Among the abrasives A, silicon dioxide is preferable because of its high stability. Silicon dioxide, colloidal silica, various morphological methods such as fumed silica and different crystalline forms are known, but among these, since it is possible to suppress the occurrence of defects on the surface to be polished, Colloidal silica is preferred.
[0030]
The particle diameter of the abrasive A is preferably 3 to 100 nm as an average particle diameter obtained from a surface area measured by a BET method, in order to maintain a sufficient polishing rate and suppress generation of defects on a surface to be polished. More preferably, it is 5 to 60 nm, further preferably 10 to 50 nm. If it is less than 3 nm, it is difficult to obtain a sufficient polishing rate. On the other hand, if it exceeds 100 nm, defects are likely to occur on the surface to be polished.
[0031]
The content of the abrasive A is preferably 1 to 100 g / liter, more preferably 2 to 50 g / liter, based on the first polishing composition, in order to maintain a sufficient polishing rate. If the amount is less than 1 g / liter, it is difficult to obtain a sufficient polishing rate because the amount of the abrasive A is small. On the other hand, if it exceeds 100 g / liter, the concentration of the polishing material A in the first polishing composition becomes high, so that the polishing material A easily aggregates and the preparation of the composition tends to be difficult. Furthermore, there is a possibility that defects such as scratches may be generated on the polished surface after polishing.
[0032]
The polishing accelerator B is included to promote polishing by forming a chelate bond with copper in the conductor layer during polishing. Among the polishing accelerators B, α-alanine is preferred because it has a high effect of providing a favorable polishing surface. The content of the polishing accelerator B is preferably 2 to 30 g / liter, more preferably 5 to 20 g / liter, based on the first polishing composition, in order to promote polishing. If it is less than 2 g / liter, it is difficult to promote polishing. On the other hand, if it exceeds 30 g / liter, the polishing is too accelerated and the polishing rate for the conductor layer becomes too high, so that it is difficult to control the polishing.
[0033]
Water is contained for dispersing or dissolving the abrasive A and the polishing accelerator B. It is preferable that the water does not contain impurities as much as possible in order to prevent the action of the abrasive A or the like from being hindered. Specifically, it is preferable to use an ion-exchange resin after removing impurity ions and then removing foreign matter through a filter or distilled water.
[0034]
Hydrogen peroxide is included to improve the polishing rate for the conductor layer. The content of hydrogen peroxide is preferably 1 to 20 g / liter, more preferably 3 to 10 g / liter, based on the first polishing composition, in order to improve the polishing rate for the conductor layer. If it is less than 1 g / liter, it is difficult to obtain a sufficient polishing rate for the conductor layer. On the other hand, if it exceeds 20 g / liter, the polishing rate for the conductor layer is not promoted but rather suppressed, which is not only uneconomical but also may not provide a sufficient polishing rate for the conductor layer.
[0035]
The polishing composition used in the second step (hereinafter also referred to as a second polishing composition) further contains an organic compound C and a corrosion inhibitor D, and the content of the polishing accelerator B is the second. The amount is preferably 2 to 20 g / l, more preferably 3 to 10 g / l, based on the polishing composition. Furthermore, it is the same as the first polishing composition, except that the content of hydrogen peroxide is preferably 1 to 15 g / L, more preferably 2 to 10 g / L with respect to the second polishing composition.
[0036]
Organic compound C is contained to suppress the occurrence of dishing and erosion. The organic compound C includes polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and a carbon triple bond (C≡C) represented by the following general formula (1). ) Is at least one selected from polyoxyalkylene addition polymers having
[0037]
Embedded image
Figure 2004075862
(However, R in the formula 1 ~ R 6 Represents a hydrogen atom or an alkyl group having 1 to 10 carbon atoms, X and Y each represent an ethyleneoxy group or a propyleneoxy group, and m and n each represent a number of 1 to 20. )
The polyoxyalkylene addition polymer having a carbon triple bond (C≡C) represented by the general formula (1) has a high effect of suppressing the occurrence of dishing and erosion. The dialkyldimethylbutyne diol polyoxyethylene glycol ether used is preferred. Dialkyldimethylbutynediol polyoxyethylene glycol ether represented by the following general formula (2) has an excellent surface protection effect against chemical etching on the copper surface.
[0038]
Embedded image
Figure 2004075862
(However, R in the formula 5 And R 6 Represents an alkyl group having 1 to 10 carbon atoms, and the values of m and n each represent a number of 1 to 20. )
Further, since the organic compound C is superior in the surface protection effect against the chemical etching on the copper surface, the organic compound C is composed of the dialkyldimethylbutynediol polyoxyethylene glycol ether represented by the general formula (2) and the polyoxyethylene polyether. More preferably, it contains oxypropylene alkyl ether.
[0039]
Here, polyethylene oxide is generally called polyethylene glycol, and is represented by the following general formula (3). Further, polypropylene oxide is generally called polypropylene glycol, and is represented by the following general formula (4). In the following general formulas (3) and (4), n represents the number average degree of polymerization of ethylene glycol, and m represents the number average degree of polymerization of propylene glycol.
[0040]
H- (OCH 2 CH 2 ) n -OH ... (3)
H- (OCH (CH 3 ) CH 2 ) m -OH (4)
The molecular weight of polyethylene oxide and polypropylene oxide is preferably 100 to 10,000, more preferably 200 to 1,000, in terms of average molecular weight, in order to suppress the occurrence of dishing and erosion and to be easily dissolved in water. If it is less than 100, it is difficult to suppress the occurrence of dishing and erosion. On the other hand, when it exceeds 10,000, it is difficult to be dissolved in water.
[0041]
The polyoxyethylene alkyl ether is obtained by subjecting a linear or branched higher alcohol to addition polymerization of ethylene oxide, and is represented by the following general formula (5). The polyoxypropylene alkyl ether is obtained by subjecting a linear or branched higher alcohol to addition polymerization of propylene oxide, and is represented by the following general formula (6). In the following general formulas (5) and (6), R in the formulas represents an alkyl group. Further, n represents the number average degree of polymerization of ethylene glycol, and m represents the number average degree of polymerization of propylene glycol.
[0042]
RO- (CH 2 CH 2 O) n -H (5)
RO- (CH 2 CH (CH 3 ) O) m -H ... (6)
The polyoxyethylene polyoxypropylene alkyl ether is obtained by subjecting a linear or branched higher alcohol to addition polymerization of propylene oxide and ethylene oxide, and is represented by the following general formula (7). In the following general formula (7), R represents an alkyl group. Further, n represents the number average degree of polymerization of ethylene glycol, and m represents the number average degree of polymerization of propylene glycol.
[0043]
RO- (CH 2 CH (CH 3 ) O) m − (CH 2 CH 2 O) n -H (7)
Polyoxyethylene alkyl ethers and polyoxypropylene alkyl ethers have a high effect of suppressing the occurrence of dishing and erosion. Therefore, the proportion of the hydrophilic group, that is, ethylene oxide in the molecule is preferably 10 to 80%, respectively. Further, similarly to the above, polyoxyethylene polyoxypropylene alkyl ether and polyoxyalkylene addition polymer having a carbon triple bond (C 上 記 C) represented by the general formula (1) also have a hydrophilic group in the molecule, that is, The proportion of ethylene oxide is preferably each 10 to 80%. If it is less than 10% or more than 80%, it is difficult to suppress the occurrence of dishing and erosion.
[0044]
The molecular weight of the polyoxyethylene alkyl ether and the polyoxypropylene alkyl ether is preferably 1000 to 30,000, more preferably 2000 to 20,000 in average molecular weight, because it suppresses dishing and erosion and is easily dissolved in water. is there. Further, the molecular weights of the polyoxyethylene polyoxypropylene alkyl ether and the polyoxyalkylene addition polymer having a carbon triple bond (C≡C) represented by the general formula (1) are also preferably in terms of average molecular weight, as described above. It is 1,000 to 30,000, more preferably 2,000 to 20,000. If it is less than 1,000, it is difficult to suppress the occurrence of dishing and erosion. On the other hand, if it exceeds 30,000, it is difficult to dissolve in water.
[0045]
The content of the organic compound C is preferably 2 to 30 g / liter, more preferably 4 to 20 g / liter, based on the second polishing composition, in order to suppress the occurrence of dishing and erosion. If the amount is less than 2 g / liter, the amount of the organic compound C is small, so that it is difficult to suppress the occurrence of dishing and erosion. On the other hand, if it exceeds 30 g / liter, it is difficult to obtain a sufficient polishing rate because polishing is suppressed.
[0046]
The corrosion inhibitor D protects the copper surface during and after polishing to prevent the corrosion, and is contained for the same function as the organic compound C. The corrosion inhibitor D is at least one selected from benzotriazole and its derivatives. Benzotriazole and its derivatives are represented by the following general formula (8).
[0047]
Embedded image
Figure 2004075862
In the general formula (8), R 7 ~ R 10 Represents a hydrogen atom or an alkyl group, respectively; 11 Represents a hydrogen atom or an alkyl group which may have a hydroxyl group or a carboxyl group. Further, the carbon atom at the 4-, 5-, 6- or 7-position may be substituted with a nitrogen atom, or the nitrogen atom at the 3-position may be substituted with a carbon atom.
[0048]
Specific examples of the benzotriazole derivative include 1- (2,3-dihydroxypropyl) benzotriazole, 1- [N, N-bis (hydroxyethyl) aminomethyl] benzotriazole, 1- (hydroxymethyl) benzotriazole, 1- (1,2-dicarboxyethyl) benzotriazole and the like. Among benzotriazole and its derivatives, benzotriazole is preferable because it has a high effect of protecting copper surface to prevent corrosion of copper.
[0049]
The content of the corrosion inhibitor D is preferably 0.01 to 0.1 g / liter, more preferably 0.02 to 0 g / l with respect to the second polishing composition in order to suppress the occurrence of dishing and erosion. 0.06 g / liter. If it is less than 0.01 g / liter, it is difficult to suppress the occurrence of dishing and erosion. On the other hand, if it exceeds 0.1 g / liter, polishing on copper is suppressed, so that it is difficult to obtain a sufficient polishing rate on the conductor layer. In addition, polishing tends to be uneven.
[0050]
The polishing composition used in the third step (hereinafter, also referred to as a third polishing composition) contains an abrasive A, an acid E or an alkali F, a corrosion inhibitor D, and water. Furthermore, except that the content of the corrosion inhibitor D is preferably 0.01 to 0.1 g / l, more preferably 0.02 to 0.06 g / l, based on the third polishing composition. Same as the polishing composition.
[0051]
Acid E or alkali F is included for polishing the barrier layer. The acid E is at least one selected from nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid and fumaric acid. Among these acids E, lactic acid or nitric acid is preferable because the tantalum-containing compound in the barrier layer can be polished with high efficiency. On the other hand, the alkali F is at least one selected from potassium hydroxide, ammonium hydroxide and sodium hydroxide. Among these alkali F, potassium hydroxide is preferable because the tantalum-containing compound in the barrier layer can be polished with high efficiency.
[0052]
The contents of the acid E and the alkali F are preferably 1 to 20 g / liter, more preferably 2 to 10 g / liter, respectively, with respect to the third polishing composition in order to improve the polishing rate for the barrier layer. If it is less than 1 g / liter, it is difficult to obtain a sufficient polishing rate for the barrier layer. On the other hand, when the amount exceeds 20 g / liter, the pH of the third polishing composition becomes low, and the pH becomes high, so that care is required in handling the third polishing composition.
[0053]
The third polishing composition preferably contains a polishing accelerator B, similarly to the first polishing composition, in order to exhibit the above-mentioned functions. The pH of the third polishing composition is preferably 2 to 4 when the acid E is contained, and preferably 9 to 11 when the alkali F is contained, in order to facilitate the handling of the third polishing composition. It is. If the pH of the third polishing composition is less than 2 or exceeds 11, the pH of the third polishing composition is too high or too low, so that care is required in handling. On the other hand, if the pH exceeds 4 or is less than 9, it is difficult to obtain a sufficient polishing rate for the barrier layer.
[0054]
In the third step, when part of the conductor layer to be removed in the second step remains in the third step, the third polishing composition contains hydrogen peroxide to polish the remaining conductor layer. Is preferred. The content of hydrogen peroxide in the third polishing composition is preferably 0.5 to 20 g / L, more preferably 1 to 20 g / L, in order to improve the polishing rate for the conductor layer. 〜1010 g / liter. If it is less than 0.5 g / liter, it is difficult to obtain a sufficient polishing rate for the conductor layer. On the other hand, if it exceeds 20 g / liter, the polishing rate for the conductor layer becomes too high, so that dishing and erosion are likely to occur.
[0055]
In the second polishing composition, a main polishing composition containing an abrasive A, a polishing accelerator B and water, an organic compound C, and a corrosion inhibitor D in order to facilitate preparation and control. And the first sub-composition containing water is preferably prepared separately. On the other hand, also in the third polishing composition, when the third polishing composition contains the polishing accelerator B, the third polishing composition contains the main polishing composition, the acid E or the alkali F, the corrosion inhibitor D, and water. It is preferred to prepare the two sub-compositions separately. When the second polishing composition is used for polishing, it is preferable that the main polishing composition, the first sub-composition, and hydrogen peroxide be mixed. On the other hand, when the third polishing composition is used for polishing, it is preferable that the main polishing composition and the second sub-composition are mixed.
[0056]
Further, the main polishing composition and each sub-composition are stored in a concentrated state to facilitate their management and reduce transportation costs, and water is mixed when used for polishing. Preferably, it is configured to be diluted. Here, if the concentration is excessive, the dispersion stability of the abrasive A and the dissolution stability of each component are likely to be reduced. For this reason, in the first polishing composition, the volume ratio of the concentrated main polishing composition to the water to be mixed is preferably the concentrated main polishing composition: water to be mixed = 1: 2. -20, more preferably concentrated main polishing composition: water to be mixed = 1: 5-15.
[0057]
On the other hand, in the second polishing composition, the volume ratio of the concentrated main polishing composition, the concentrated first sub-composition, and the mixed water is preferably the concentrated main polishing composition. Product: concentrated first sub-composition: water = 1: 1 to 9: 1 to 10. In the third polishing composition, the volume ratio of the concentrated main polishing composition, the concentrated second sub-composition, and the mixed water is preferably the concentrated main polishing composition. Product: concentrated second sub-composition: water = 1: 1 to 7: 1 to 16
[0058]
When each polishing composition is configured to be mixed with an amount of water smaller than the above-mentioned volume ratio, the degree of concentration tends to be low because the amount of water used for dilution is small. On the other hand, when the water is configured to be mixed in an amount larger than the above-mentioned volume ratio, it takes a long time to make the concentration uniform after dilution, because the amount of water used for dilution is large.
[0059]
According to the present embodiment described in detail above, the following effects are exhibited.
-In the polishing method of the first embodiment, the second polishing composition contains an organic compound C and a corrosion inhibitor D. On the other hand, the third polishing composition contains a corrosion inhibitor D. Here, the organic compound C is a nonionic type and can suppress an increase in the electrical conductivity of the second polishing composition. For this reason, the second polishing composition is prevented from acting as an electrolyte, and the electrochemical reaction between the conductor layer and the barrier layer is prevented from progressing, and the conductor layer is selectively polished. Can be. Further, the corrosion inhibitor D can suppress excessive polishing of copper. Therefore, the occurrence of dishing and erosion can be suppressed as compared with the conventional polishing method in which dishing and erosion occur because the conductor layer is polished at a high polishing rate.
[0060]
-The first and second polishing compositions contain an abrasive A and a polishing accelerator B. Therefore, the mechanical polishing action of the abrasive A and the promoting action of the polishing accelerator B act synergistically, thereby improving the polishing rate for the conductor layer.
[0061]
-The third polishing composition contains an acid E or an alkali F. Since the acid E and the alkali F can polish a tantalum-containing compound with high efficiency by a chemical polishing action, the polishing rate for the barrier layer can be improved.
[0062]
When the third polishing composition contains the polishing accelerator B, the main polishing composition and each sub-composition are separately prepared, and the first or second main polishing composition is added to the main polishing composition as necessary. It is preferred to mix the sub-composition. For this reason, the main polishing composition containing the abrasive A, which is complicated to manage during storage, can be reduced to one. Therefore, the number of main polishing compositions to be managed can be reduced as compared with the case where the first or second sub-composition is stored in a state mixed with the main polishing composition. For this reason, preparation of each polishing composition can be facilitated and management can be facilitated.
[0063]
It is preferable that the main polishing composition and each sub-composition are stored in a concentrated state, and that when used for polishing, water is mixed and diluted. Therefore, their management can be facilitated and the transportation cost can be reduced.
[0064]
-The first and second polishing compositions each contain hydrogen peroxide. The third polishing composition preferably contains hydrogen peroxide when a part of the conductor layer to be removed in the second step remains in the third step. Hydrogen peroxide acts as an oxidizing agent and can improve the polishing rate for copper by oxidizing copper. Therefore, the polishing rate for the conductor layer can be improved.
[0065]
The polyoxyalkylene addition polymer having a carbon triple bond (C≡C) represented by the general formula (1) is preferably a dialkyldimethylbutynediol polyoxyethylene glycol ether represented by the general formula (2). . Therefore, occurrence of dishing and erosion can be suppressed more reliably.
(Second embodiment)
Next, a second embodiment of the present invention will be described.
[0066]
The first polishing composition according to the second embodiment relates to the first step in the polishing method according to the first embodiment, and contains the main polishing composition, and when used for polishing, is subjected to peroxidation. Hydrogen is mixed. Therefore, in the first polishing composition of the second embodiment, the mechanical polishing action of the polishing material A and the polishing promotion action of the polishing accelerator B act synergistically, and hydrogen peroxide is mixed. As a result, the polishing rate for the conductor layer can be improved.
(Third embodiment)
Next, a third embodiment of the present invention will be described.
[0067]
The second polishing composition of the third embodiment relates to the second step in the polishing method of the first embodiment, and contains a main polishing composition and a first sub-composition. When used for polishing, hydrogen peroxide is mixed. Therefore, in the second polishing composition of the third embodiment, dishing and erosion can be suppressed by containing the organic compound C and the corrosion inhibitor D. Further, by mixing hydrogen peroxide, the polishing rate for the conductor layer can be improved.
(Fourth embodiment)
Next, a fourth embodiment of the present invention will be described.
[0068]
The third polishing composition of the fourth embodiment relates to the third step in the polishing method of the first embodiment, and contains a main polishing composition and a second sub-composition. . When used for polishing, it is preferable that hydrogen peroxide is mixed. Therefore, in the third polishing composition of the fourth embodiment, the polishing rate for the barrier layer can be improved by containing the acid E or the alkali F.
[0069]
The above-described embodiment can be modified as follows.
-In the polishing method of each embodiment, the main polishing composition may contain the corrosion inhibitor D. At this time, when the main polishing composition is contained in the first polishing composition, it is contained in an amount within a range where the polishing rate for copper is not significantly impaired. On the other hand, when the second or third polishing composition contains the main polishing composition containing the corrosion inhibitor D, the content of the corrosion inhibitor D in each polishing composition is determined by the amount contained in the main polishing composition. It is the sum of the content of the corrosion inhibitor D and the content of the corrosion inhibitor D contained in each sub-composition. With this configuration, in the first step, excessive polishing of copper can be suppressed, so that even when the conductor layer is exposed to the main polishing composition for a long time, the conductor layer is not excessively polished. Polishing can be suppressed.
[0070]
【Example】
Next, the embodiment will be described more specifically with reference to examples and comparative examples.
<First step>
(Examples 1 to 11 and Comparative Examples 1 to 3)
In Example 1, first, colloidal silica having an average particle diameter of 35 nm as an abrasive A, α-alanine as a polishing accelerator B, benzotriazole as a corrosion inhibitor D, and water were mixed. A polishing composition was prepared. Next, a first polishing composition was prepared by mixing the main polishing composition, water, and an aqueous solution of hydrogen peroxide having a concentration of 30%. Table 1 shows the content of each component in the main polishing composition, the volume ratio of the main polishing composition to water, and the content of each component in the first polishing composition.
[0071]
In Examples 2 to 11 and Comparative Examples 1 to 3, the first component was prepared in the same manner as in Example 1 except that the content of each component and the volume ratio to the main polishing composition were changed as shown in Table 1. A polishing composition was prepared. Here, in Examples 9 to 11, α-alanine was changed to glycine.
[0072]
Using the first polishing composition of each of Examples 1 to 11 and Comparative Examples 1 to 3, a copper blanket wafer was polished for one minute under the following polishing conditions 1. The thickness of the copper blanket wafer before and after polishing is measured using a sheet resistance machine (VR-120; manufactured by Kokusai Electric System Services Co., Ltd.), and after calculating the difference in thickness, the copper blanket is calculated from the value. The polishing rate for the wafer was determined.
[0073]
On the other hand, using the first polishing composition of each example, a copper pattern wafer was polished to 80% (8000 °) of the initial film thickness under the following polishing conditions 1, and then a profiler (HRP340, a contact type surface measuring device) was used. 90% of the high-density wiring portion was measured for the depth of the concave groove. Table 1 shows the results. In Table 1, α-alanine is indicated by A and glycine is indicated by G.
[0074]
<Polishing condition 1>
Polishing machine: Single-sided CMP polishing machine (Mirra; manufactured by Applied Materials, Inc.), object to be polished: copper blanket wafer (8-inch silicon wafer on which copper is formed by electrolytic plating) or copper pattern wafer (manufactured by SEMATECH, Inc.) 854 mask pattern, film thickness 10000 Å, initial concave groove 8000 Å), polishing pad: laminated polishing pad made of polyurethane (IC-1000 / Suba400; manufactured by Rodale), polishing pressure: 2.5 psi (= 17.3 kPa) ), Platen rotation speed: 90 rpm, supply rate of polishing composition: 200 ml / min, carrier rotation speed: 90 rpm
[0075]
[Table 1]
Figure 2004075862
As shown in Table 1, in Examples 1 to 11, excellent values were obtained in the polishing rate and the depth of the concave groove for the copper blanket wafer. On the other hand, in Comparative Examples 1 to 3, the polishing rate for the copper blanket wafer was low because it did not contain the abrasive A, the polishing accelerator B, or hydrogen peroxide. Furthermore, the thickness of the copper pattern wafer could not be polished to 80% or more, and the depth of the concave groove could not be measured.
<Second step>
(Examples 12 to 23 and Comparative Examples 4 to 6)
In Example 12, first, a main polishing composition was prepared in the same manner as in Example 1, and an organic compound C, benzotriazole, and water were mixed to prepare a first sub-composition. Here, the organic compound C is composed of diisobutyldimethylbutynediol polyoxyethylene glycol ether (A) and polyoxyethylene polyoxypropylene alkyl ether (B; molecular weight 8000) represented by the following formula (9) in a weight ratio of A: B. = 2: 1 was used.
[0076]
Embedded image
Figure 2004075862
Next, a second polishing composition was prepared by mixing the main polishing composition, the first sub-composition, water, and an aqueous solution of hydrogen peroxide having a concentration of 30%. The content of each component in the main polishing composition and the first sub-composition, the volume ratio of the main polishing composition to the first sub-composition and water, and the content of each component in the second polishing composition are shown in Table. It is shown in FIG. In Examples 13 to 23 and Comparative Examples 4 to 6, the content of each component in the main polishing composition and the first sub-composition, the volume ratio of the main polishing composition to the first sub-composition and water, and A second polishing composition was prepared in the same manner as in Example 12, except that the content of hydrogen peroxide in the second polishing composition was changed as shown in Table 2.
[0077]
Using the second polishing compositions of Examples 12 to 23 and Comparative Examples 4 to 6, a copper blanket wafer was polished for one minute under the following polishing conditions 2. Further, using the second polishing composition of each example, a tantalum blanket wafer or a silicon oxide blanket wafer was polished for one minute under the following polishing condition 2. Here, as for the polishing condition 2, only conditions different from the polishing condition 1 will be described.
[0078]
Next, the polishing rates for the copper blanket wafer and the tantalum blanket wafer were determined in the same manner as described above. Further, the thickness of the silicon oxide blanket wafer before and after polishing is measured using an optical film thickness measuring device (VM-2030; manufactured by Dainippon Screen Co., Ltd.), and after calculating the film thickness difference, the value is calculated. Was used to determine the polishing rate for a silicon oxide blanket wafer.
[0079]
On the other hand, using the second polishing composition of each example, the copper pattern wafer was polished by 50% over under the following polishing conditions 2 in time from the start of the endpoint signal. Then, the dishing amount in the 10 μm-wide isolated wiring portion and the erosion amount in the 90% high-density wiring portion were measured by using a profiler (HRP340; manufactured by KLA-Tencor Corporation) which is a contact type surface measuring device. Table 3 shows the results.
[0080]
<Polishing condition 2>
Objects to be polished: copper blanket wafer (8 inch silicon wafer on which copper is formed by electrolytic plating), tantalum blanket wafer (8 inch silicon wafer on which tantalum is formed by sputtering), silicon oxide blanket wafer (CVD) 8 inch silicon wafer on which silicon oxide has been formed by the method described above, or a copper pattern wafer (manufactured by SEMATECH, 854 mask pattern), using the polishing composition of each example shown in Table 3 as polishing in the first step, and polishing conditions. 1, a copper film of about 8000 mm is polished, and a copper film of about 2000 cm is left.), A polishing pad: a laminated polishing pad made of polyurethane (IC-1400; manufactured by Rodale), a polishing pressure: 2 psi (= 13.8 kPa)
[0081]
[Table 2]
Figure 2004075862
[0082]
[Table 3]
Figure 2004075862
As shown in Table 3, in Examples 12 to 23, the respective polishing rates, dishing amounts, and erosion amounts were excellent values. Therefore, when the polishing compositions of Examples 12 to 23 are used, dishing and erosion can be suppressed.
[0083]
On the other hand, in Comparative Examples 4 and 5, since the organic compound C or the corrosion inhibitor D was not contained, the dishing amount and the erosion amount were high. Therefore, when the polishing compositions of Comparative Examples 4 and 5 are used, dishing and erosion occur. In Comparative Example 6, the polishing rate was low because no hydrogen peroxide was contained, and the copper pattern wafer could not be polished. For this reason, the dishing amount and the erosion amount could not be measured.
<Third step>
(Examples 24 to 45, Comparative Examples 7 to 10)
In Example 24, first, a main polishing composition was prepared in the same manner as in Example 1, and lactic acid as acid E, benzotriazole, and water were mixed to prepare a second auxiliary composition. Next, a third polishing composition was prepared by mixing the main polishing composition, the second sub-composition, and water. The content of each component in the main polishing composition and the second sub-composition, the volume ratio of the main polishing composition to the second sub-composition and water, and the content of each component in the third polishing composition are shown in Table. It is shown in FIG.
[0084]
In Examples 25 to 45 and Comparative Examples 7 to 10, the content of each component in the main polishing composition and the second sub-composition, and the volume ratio of the main polishing composition, the second sub-composition, and water were measured. A third polishing composition was prepared in the same manner as in Example 24 except that the composition was changed as shown in Table 4. Here, in Example 33, Example 34, Example 44, and Example 45, the main polishing composition, the second sub-composition, water, and an aqueous solution of hydrogen peroxide having a concentration of 30% were used. By mixing, a third polishing composition was prepared. In Examples 35 to 45 and Comparative Example 10, lactic acid was changed to potassium hydroxide as alkali F.
[0085]
Using the third polishing compositions of Examples 24 to 45 and Comparative Examples 7 to 10, a copper blanket wafer was polished for one minute under the following polishing conditions 3. Using the third polishing composition of each example, a tantalum blanket wafer or a silicon oxide blanket wafer was polished for one minute under the following polishing conditions 3. Here, as for the polishing condition 3, only conditions different from the polishing condition 1 will be described.
[0086]
Next, the respective polishing rates for the copper blanket wafer, the tantalum blanket wafer, and the silicon oxide blanket wafer were determined in the same manner as described above. On the other hand, the copper pattern wafer was polished under the following polishing conditions 3 using the third polishing composition of each example. Here, the polishing time was calculated from the polishing rate for a tantalum blanket wafer, and was set to a time during which a thickness twice as thick as the tantalum film thickness could be polished. Then, in the same manner as described above, the dishing amount in the 10 μm-wide isolated wiring portion and the erosion amount in the 90% high-density wiring portion were measured. Table 5 shows the results.
[0087]
<Polishing condition 3>
Objects to be polished: copper blanket wafer (8 inch silicon wafer on which copper is formed by electrolytic plating), tantalum blanket wafer (8 inch silicon wafer on which tantalum is formed by sputtering), silicon oxide blanket wafer (CVD) 8 inch silicon wafer on which silicon oxide was formed by using the method described above, or a copper pattern wafer (manufactured by SEMATECH, Inc., 854 mask pattern), using the polishing composition of each example shown in Table 5 as polishing in the first step, and polishing conditions 1 After polishing in the second step, the polishing compositions of the respective examples shown in Table 5 are used and the copper film to be removed under polishing condition 2 is completely polished in the second step.)
[0088]
[Table 4]
Figure 2004075862
[0089]
[Table 5]
Figure 2004075862
As shown in Table 5, in Examples 24 to 45, the respective polishing rates, dishing amounts, and erosion amounts were excellent values. Therefore, when the polishing compositions of Examples 24 to 45 are used, dishing and erosion can be suppressed.
[0090]
On the other hand, in Comparative Examples 7 and 8, the polishing rate for a tantalum blanket wafer was low because it did not contain acid E or alkali F, and the copper pattern wafer could not be polished. For this reason, the dishing amount and the erosion amount could not be measured. In Comparative Examples 9 and 10, since the corrosion inhibitor D was not contained, the dishing amount and the erosion amount were high. Therefore, dishing and erosion occur when the polishing compositions of Comparative Examples 9 and 10 are used.
(Comparative Example 11)
In Comparative Example 11, the first and second steps were continuously performed using only one kind of polishing composition. Specifically, using the polishing composition of Example 2, the copper pattern wafer was polished by 50% over under the following polishing conditions 4 by the time after the end point signal was started. Then, in the same manner as above, the dishing amount in the 10 μm-wide isolated wiring portion and the erosion amount in the 90% high-density wiring portion were measured. As a result, since the polishing composition of Example 2 did not contain the organic compound C, the dishing amount and the erosion amount were as high as 650 °. Therefore, when the polishing composition of Comparative Example 11 is used, dishing and erosion occur. Here, as for the polishing condition 4, only conditions different from the polishing condition 2 will be described.
[0091]
<Polishing condition 4>
Polished object: Copper pattern wafer (manufactured by SEMATECH, 854 mask pattern, film thickness 10000 mm, initial groove 8000 mm)
Next, technical ideas that can be grasped from the embodiment will be described below.
[0092]
-The main polishing composition, the first sub-composition containing organic compound C, corrosion inhibitor D and water, acid E or alkali F, corrosion inhibitor D used in the polishing method according to claim 1. And the second sub-composition containing water are separately prepared in a concentrated state, and when used in the first step, the concentrated main polishing composition is mixed with hydrogen peroxide and water. When used in the second step, hydrogen peroxide and water are mixed with the concentrated main polishing composition and the first sub-composition, and when used in the third step, concentrated. A polishing composition, characterized in that the main polishing composition and the second sub-composition are mixed with water. According to this configuration, the management and transportation costs can be reduced by concentrating the main polishing composition and each sub-composition.
[0093]
【The invention's effect】
The present invention is configured as described above, and has the following effects.
According to the polishing method of the first aspect, the occurrence of dishing and erosion can be suppressed.
[0094]
According to the polishing method of the second aspect, in addition to the effects of the first aspect, the preparation of each polishing composition and the management thereof can be facilitated.
[0095]
According to the polishing method of the third aspect, in addition to the effects of the first or second aspect, the polishing rate for the conductor layer can be improved.
According to the polishing composition of the invention described in claim 4, the polishing rate for the conductor layer can be improved.
[0096]
According to the polishing composition of the present invention, dishing and erosion can be suppressed, and the polishing rate for the conductor layer can be improved.
[0097]
According to the polishing composition of the invention described in claim 6, the polishing rate for the barrier layer can be improved.
According to the polishing composition of the invention described in claim 7, in addition to the effect of the invention described in claim 6, the polishing rate for the conductor layer can be improved.
[Brief description of the drawings]
FIGS. 1A to 1D are enlarged end views of a main part for schematically illustrating a polishing method according to a first embodiment.
FIG. 2A is an enlarged end view of a main portion schematically showing dishing when polishing in a second step is completed, and FIG. 2B is an erosion when polishing in the second step is completed. The principal part enlarged end view for showing typically.
FIG. 3A is an enlarged end view of a main part schematically showing dishing when polishing in a third step is completed, and FIG. 3B is an erosion when polishing in the third step is completed. The principal part enlarged end view for showing typically.
[Explanation of symbols]
11: insulator layer, 12: concave portion, 13: conductor layer, 14: barrier layer.

Claims (7)

表面に凹部が設けられた絶縁体層上に形成されているバリア層と、銅を含有する金属材料により少なくとも凹部内が完全に埋まるようにバリア層上に形成されている導体層とを有し、バリア層が露出する前に研磨を終了するように導体層を研磨する第1の工程と、バリア層が露出するまで導体層を研磨する第2の工程と、バリア層を研磨する第3の工程とを備え、
前記第1の工程において、二酸化ケイ素及び酸化アルミニウムから選ばれる少なくとも一種の研磨材A、グリシン及びα−アラニンから選ばれる少なくとも一種の研磨促進剤B及び水を含有する主研磨用組成物と、過酸化水素とを含む研磨用組成物を用いて導体層を研磨し、第2の工程において、研磨材Aと、研磨促進剤Bと、ポリエチレンオキサイド、ポリプロピレンオキサイド、ポリオキシエチレンアルキルエーテル、ポリオキシプロピレンアルキルエーテル、ポリオキシエチレンポリオキシプロピレンアルキルエーテル及び下記一般式(1)で表される炭素三重結合(C≡C)を有するポリオキシアルキレン付加重合体から選ばれる少なくとも一種の有機化合物Cと、ベンゾトリアゾール及びその誘導体から選ばれる少なくとも一種の腐食防止剤Dと、過酸化水素と、水とを含む研磨用組成物を用いて導体層を研磨し、第3の工程において、研磨材Aと、硝酸、塩酸、乳酸、リン酸、硫酸、酢酸、シュウ酸、クエン酸、酒石酸、マロン酸、コハク酸、マレイン酸及びフマル酸から選ばれる少なくとも一種の酸E、又は水酸化カリウム、水酸化アンモニウム及び水酸化ナトリウムから選ばれる少なくとも一種のアルカリFと、腐食防止剤Dと、水とを含む研磨用組成物を用いてバリア層を研磨することを特徴とする研磨方法。
Figure 2004075862
(但し、式中のR〜Rは水素原子又は炭素数1〜10のアルキル基をそれぞれ表し、X及びYはエチレンオキシ基又はプロピレンオキシ基をそれぞれ表し、m及びnは1〜20の数をそれぞれ表す。)
A barrier layer formed on the insulator layer provided with a concave portion on the surface, and a conductor layer formed on the barrier layer such that at least the concave portion is completely filled with a copper-containing metal material. A first step of polishing the conductor layer so that the polishing is completed before the barrier layer is exposed, a second step of polishing the conductor layer until the barrier layer is exposed, and a third step of polishing the barrier layer. Process and
In the first step, a main polishing composition containing at least one abrasive A selected from silicon dioxide and aluminum oxide, at least one polishing accelerator B selected from glycine and α-alanine, and water; The conductor layer is polished using the polishing composition containing hydrogen oxide, and in the second step, the polishing material A, the polishing accelerator B, polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene At least one organic compound C selected from an alkyl ether, a polyoxyethylene polyoxypropylene alkyl ether, and a polyoxyalkylene addition polymer having a carbon triple bond (C≡C) represented by the following general formula (1); At least one selected from triazole and its derivatives The conductor layer is polished using the polishing composition containing the corrosion inhibitor D, hydrogen peroxide, and water. In the third step, the abrasive A, nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, At least one acid E selected from acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid and fumaric acid, or at least one alkali F selected from potassium hydroxide, ammonium hydroxide and sodium hydroxide A polishing method, comprising polishing a barrier layer using a polishing composition comprising: a corrosion inhibitor D; and water.
Figure 2004075862
(Wherein, R 1 to R 6 in the formula each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms, X and Y each represent an ethyleneoxy group or a propyleneoxy group, and m and n represent 1 to 20 Each represents a number.)
前記主研磨用組成物と、有機化合物C、腐食防止剤D及び水を含有する第1副組成物と、酸E又はアルカリF、腐食防止剤D及び水を含有する第2副組成物とを別々に調製し、第2の工程で用いられる研磨用組成物は、主研磨用組成物と、第1副組成物と、過酸化水素とを含有し、第3の工程で用いられる研磨用組成物は、主研磨用組成物と、第2副組成物とを含有する請求項1に記載の研磨方法。The main polishing composition, a first sub-composition containing an organic compound C, a corrosion inhibitor D and water, and a second sub-composition containing an acid E or alkali F, a corrosion inhibitor D and water The polishing composition prepared separately and used in the second step comprises a main polishing composition, a first sub-composition, and hydrogen peroxide, and is used in the third step. The polishing method according to claim 1, wherein the object contains a main polishing composition and a second sub-composition. 前記第3の工程で用いられる研磨用組成物は、さらに過酸化水素を含有する請求項1又は請求項2に記載の研磨方法。The polishing method according to claim 1, wherein the polishing composition used in the third step further contains hydrogen peroxide. 請求項1に記載の第1の工程で用いられ、前記主研磨用組成物を含有し、用いられるときには過酸化水素が混合されることを特徴とする研磨用組成物。2. A polishing composition for use in the first step according to claim 1, comprising the main polishing composition, wherein hydrogen peroxide is mixed when used. 請求項1に記載の第2の工程で用いられ、前記主研磨用組成物を含有するとともに、有機化合物Cと、腐食防止剤Dと、水とを含む副組成物を含有し、用いられるときには過酸化水素が混合されることを特徴とする研磨用組成物。When used in the second step according to claim 1 and containing the main polishing composition, it contains a sub-composition containing an organic compound C, a corrosion inhibitor D and water, and is used. A polishing composition characterized by being mixed with hydrogen peroxide. 請求項1に記載の第3の工程で用いられ、前記主研磨用組成物を含有するとともに、酸E又はアルカリFと、腐食防止剤Dと、水とを含む副組成物を含有することを特徴とする研磨用組成物。The method according to claim 1, wherein the third step includes the main polishing composition and a sub-composition including an acid E or an alkali F, a corrosion inhibitor D, and water. Characteristic polishing composition. 用いられるときには過酸化水素が混合される請求項6に記載の研磨用組成物。7. The polishing composition according to claim 6, wherein hydrogen peroxide is mixed when used.
JP2002238596A 2002-08-19 2002-08-19 Polishing method and polishing composition used therefor Expired - Fee Related JP4083502B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002238596A JP4083502B2 (en) 2002-08-19 2002-08-19 Polishing method and polishing composition used therefor
US10/642,929 US20040084414A1 (en) 2002-08-19 2003-08-18 Polishing method and polishing composition used for polishing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002238596A JP4083502B2 (en) 2002-08-19 2002-08-19 Polishing method and polishing composition used therefor

Publications (2)

Publication Number Publication Date
JP2004075862A true JP2004075862A (en) 2004-03-11
JP4083502B2 JP4083502B2 (en) 2008-04-30

Family

ID=32021964

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002238596A Expired - Fee Related JP4083502B2 (en) 2002-08-19 2002-08-19 Polishing method and polishing composition used therefor

Country Status (2)

Country Link
US (1) US20040084414A1 (en)
JP (1) JP4083502B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006315160A (en) * 2005-05-16 2006-11-24 Fuji Electric Holdings Co Ltd Finish polishing method for glass substrate of magnetic disk
KR100726746B1 (en) 2004-06-18 2007-06-11 샤프 가부시키가이샤 Semiconductor device fabrication method
KR101805678B1 (en) * 2014-07-25 2018-01-10 버슘 머트리얼즈 유에스, 엘엘씨 Chemical mechanical polishing (cmp) of cobalt-containing substrate

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10246949B4 (en) * 2002-10-08 2012-06-28 X-Fab Semiconductor Foundries Ag Improved trench isolation and manufacturing process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485162B2 (en) * 2003-09-30 2009-02-03 Fujimi Incorporated Polishing composition
EP1670047B1 (en) * 2003-09-30 2010-04-07 Fujimi Incorporated Polishing composition and polishing method
JP2005209800A (en) * 2004-01-21 2005-08-04 Fujitsu Ltd Method for manufacturing semiconductor device
JP2005268666A (en) * 2004-03-19 2005-09-29 Fujimi Inc Abrasive composition
JP2005268664A (en) * 2004-03-19 2005-09-29 Fujimi Inc Abrasive composition
JP4316406B2 (en) * 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド Polishing composition
JP4644434B2 (en) * 2004-03-24 2011-03-02 株式会社フジミインコーポレーテッド Polishing composition
JP4814502B2 (en) * 2004-09-09 2011-11-16 株式会社フジミインコーポレーテッド Polishing composition and polishing method using the same
JP2006086462A (en) * 2004-09-17 2006-03-30 Fujimi Inc Polishing composition and manufacturing method of wiring structure using the same
JP2006135072A (en) * 2004-11-05 2006-05-25 Fujimi Inc Polishing method
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
KR101278666B1 (en) * 2005-09-02 2013-06-25 가부시키가이샤 후지미인코퍼레이티드 Polishing composition
JP5026710B2 (en) * 2005-09-02 2012-09-19 株式会社フジミインコーポレーテッド Polishing composition
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
WO2007116770A1 (en) * 2006-04-03 2007-10-18 Jsr Corporation Aqueous dispersion for chemical mechanical polishing, chemical mechanical polishing method, and kit for preparing aqueous dispersion for chemical mechanical polishing
SG139699A1 (en) * 2006-08-02 2008-02-29 Fujimi Inc Polishing composition and polishing process
JP2009164188A (en) * 2007-12-28 2009-07-23 Fujimi Inc Polishing composition
JP2009164186A (en) * 2007-12-28 2009-07-23 Fujimi Inc Polishing composition
KR101202720B1 (en) * 2008-02-29 2012-11-19 주식회사 엘지화학 Aqueous slurry composition for chemical mechanical polishing and chemical mechanical polishing method
JP5587620B2 (en) * 2010-01-25 2014-09-10 株式会社フジミインコーポレーテッド Polishing composition and polishing method using the same
KR20130136593A (en) 2010-03-12 2013-12-12 히타치가세이가부시끼가이샤 Slurry, polishing fluid set, polishing fluid, and substrate polishing method using same
US9070632B2 (en) * 2010-10-07 2015-06-30 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
GB2484348A (en) * 2010-10-08 2012-04-11 Rec Wafer Norway As Abrasive slurry and method of production of photovoltaic wafers
CN103222036B (en) 2010-11-22 2016-11-09 日立化成株式会社 The set agent of suspension, lapping liquid, lapping liquid, the Ginding process of substrate and substrate
CN102277575B (en) * 2011-07-27 2013-01-02 厦门大学 Chemical polishing solution for aluminum products and preparation method thereof
KR102004570B1 (en) 2012-02-21 2019-07-26 히타치가세이가부시끼가이샤 Abrasive, abrasive set, and method for abrading substrate
CN108831830B (en) 2012-02-21 2024-05-17 株式会社力森诺科 Abrasive, abrasive set, and method for polishing substrate
CN104321852B (en) 2012-05-22 2016-12-28 日立化成株式会社 The set agent of suspension, lapping liquid, lapping liquid, the Ginding process of matrix and matrix
JP5943072B2 (en) 2012-05-22 2016-06-29 日立化成株式会社 Slurry, polishing liquid set, polishing liquid and polishing method for substrate
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9978609B2 (en) * 2015-04-27 2018-05-22 Versum Materials Us, Llc Low dishing copper chemical mechanical planarization
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (en) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 Structures and methods for low temperature bonding
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN117878055A (en) 2016-12-28 2024-04-12 艾德亚半导体接合科技有限公司 Treatment of stacked substrates
KR20190092584A (en) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 Bonded structure with integrated passive components
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11094554B2 (en) * 2017-03-31 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing process for forming semiconductor device structure
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (en) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 Bonding structure
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP3397501B2 (en) * 1994-07-12 2003-04-14 株式会社東芝 Abrasive and polishing method
DE69520927T2 (en) * 1994-10-14 2001-11-15 Agfa Gevaert Nv Receiving element for thermal dye transfer
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
JP2000160139A (en) * 1998-12-01 2000-06-13 Fujimi Inc Grinding composition and grinding method using the same
JP4053165B2 (en) * 1998-12-01 2008-02-27 株式会社フジミインコーポレーテッド Polishing composition and polishing method using the same
US6274478B1 (en) * 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
JP4264781B2 (en) * 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド Polishing composition and polishing method
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
JP2002075927A (en) * 2000-08-24 2002-03-15 Fujimi Inc Composition for polishing and polishing method using it
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6524167B1 (en) * 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
JP2002164307A (en) * 2000-11-24 2002-06-07 Fujimi Inc Composition for polishing, and polishing method using the composition
JP2002231666A (en) * 2001-01-31 2002-08-16 Fujimi Inc Composition for polishing, and polishing method using the composition
SG144688A1 (en) * 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
US6692546B2 (en) * 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6638326B2 (en) * 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US20040077295A1 (en) * 2002-08-05 2004-04-22 Hellring Stuart D. Process for reducing dishing and erosion during chemical mechanical planarization

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100726746B1 (en) 2004-06-18 2007-06-11 샤프 가부시키가이샤 Semiconductor device fabrication method
JP2006315160A (en) * 2005-05-16 2006-11-24 Fuji Electric Holdings Co Ltd Finish polishing method for glass substrate of magnetic disk
KR101805678B1 (en) * 2014-07-25 2018-01-10 버슘 머트리얼즈 유에스, 엘엘씨 Chemical mechanical polishing (cmp) of cobalt-containing substrate

Also Published As

Publication number Publication date
JP4083502B2 (en) 2008-04-30
US20040084414A1 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
JP4083502B2 (en) Polishing method and polishing composition used therefor
JP3981616B2 (en) Polishing composition
EP2418260B1 (en) Polishing composition
JP4075985B2 (en) Polishing composition and polishing method using the same
US8084362B2 (en) Polishing slurry and polishing method
KR101110723B1 (en) Polishing composition and polishing method
EP1405886B1 (en) Polishing composition
TWI381456B (en) Polishing composition and polishing method
JPWO2008132983A1 (en) Abrasive composition and method for producing semiconductor integrated circuit device
EP1894978A2 (en) Polishing composition and polishing process
KR101278666B1 (en) Polishing composition
JP4608196B2 (en) Polishing composition
US20100193728A1 (en) Chemical Mechanical Polishing Composition
JP2006086462A (en) Polishing composition and manufacturing method of wiring structure using the same
JP2005123482A (en) Polishing method
JP4541674B2 (en) Polishing composition
JP4406554B2 (en) Polishing composition
JP2004123879A (en) Polishing composition
JP2009267325A (en) Constituent of chemico-mechanical polishing

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050808

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070809

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080213

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110222

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110222

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120222

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees