JP2004047608A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2004047608A
JP2004047608A JP2002201127A JP2002201127A JP2004047608A JP 2004047608 A JP2004047608 A JP 2004047608A JP 2002201127 A JP2002201127 A JP 2002201127A JP 2002201127 A JP2002201127 A JP 2002201127A JP 2004047608 A JP2004047608 A JP 2004047608A
Authority
JP
Japan
Prior art keywords
insulating film
film
isolation insulating
element isolation
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002201127A
Other languages
English (en)
Other versions
JP2004047608A5 (ja
Inventor
Toshihiko Iinuma
飯沼 俊彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2002201127A priority Critical patent/JP2004047608A/ja
Priority to US10/299,700 priority patent/US6770942B2/en
Priority to TW092118351A priority patent/TWI227509B/zh
Priority to CNB031475302A priority patent/CN1248317C/zh
Publication of JP2004047608A publication Critical patent/JP2004047608A/ja
Priority to US10/883,818 priority patent/US7049222B2/en
Publication of JP2004047608A5 publication Critical patent/JP2004047608A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】不要なシリサイドの形成を回避するとともに接合リーク電流の低減が可能な半導体装置を提供する。
【解決手段】半導体装置は、半導体基板の表面内に配設された、素子領域を分離する素子分離絶縁膜を含む。素子領域の半導体基板上には、ゲート絶縁膜を介してゲート電極が配設される。半導体基板の表面内には、ゲート電極の下の領域を挟むように1対のソース/ドレイン領域が形成される。シリサイド膜は、ソース/ドレイン領域の表面上に配設され、素子分離絶縁膜上まで延在する。素子分離絶縁膜上とシリサイド膜上とには、層間絶縁膜が配設される。コンタクトホールは、層間絶縁膜を貫通してシリサイド膜に達し、一端及び他端がシリサイド膜上及び素子分離絶縁膜上にそれぞれ位置する。また、コンタクトホールは底部で且つ素子分離絶縁膜の上部において一端がシリサイド膜の端部と接する溝部を有する。配線層がコンタクトホールの内部に配設される。
【選択図】  図1

Description

【0001】
【発明の属する技術分野】
本発明は半導体装置に関し、特にソース、ドレイン拡散層の一部にシリサイド膜が形成されたMIS(Metal Insulator Semiconductor)型FET(Field Effect Transistor)素子に関する。
【0002】
【従来の技術】
MIS型FET等のトランジスタを有する半導体装置は、トランジスタが形成される領域(以下、トランジスタ領域と称する)、及びコンタクトが形成される領域(以下、コンタクト領域と称する)を有する。コンタクトは、トランジスタと配線とを電気的に接続する。トランジスタ領域とコンタクト領域との境界は、所定の余裕を持って形成される。この余裕は、フォトリソグラフィ工程における露光の際に、マスクパターンのズレによる不具合を回避するために設けられる。なお、以下、MIS型FETには、MOS(Metal Oxide Semiconductor)型FETが含まれる。
【0003】
近時、半導体装置の微細化が進んでいる。これに伴い、トランジスタ領域及びコンタクト領域が半導体装置の面積を占める割合が増加している。これらの領域の割合が増加することにより、トランジスタ領域とコンタクト領域の境界部に、余裕を持たせることが困難となっている。このため、余裕を持たせることなくトランジスタのゲート電極やソース及びドレイン電極にコンタクトを形成する技術が要求される。この技術は、いわゆるボーダレスコンタクトと呼ばれる。
【0004】
図9〜図12は、ボーダレスコンタクトを用いた、トランジスタの従来の製造工程を順に示している。
【0005】
図9に示すように、半導体基板101の表面内に、シリコン酸化膜による素子分離絶縁膜102、ウェル拡散層103が形成される。次に、ゲート絶縁膜112、ゲート電極113、第1側壁絶縁膜115からなるゲート構造が形成される。次に、不純物が注入されることにより、ウェル拡散層103の表面に第2拡散領域122が形成される。
【0006】
次に、図10に示すように、第1側壁絶縁膜115の周囲に第2側壁絶縁膜116が形成される。次に、不純物が注入されることにより、第1拡散領域121が形成される。次に、公知のサリサイド工程を用いて、ゲート電極113及び第1拡散領域121の表面にシリサイド膜114a、114bがそれぞれ形成される。
【0007】
次に、図11に示すように、半導体基板1上の全面に、シリコン酸化膜等の層間絶縁膜131が形成される。次に、フォトリソグラフィ工程及びRIE(Reactive Ion Etching)等の異方性エッチングにより、コンタクトホール134が形成される。このエッチングの際、コンタクトホール134の位置に対応する位置に開口を有するマスクが用いられる。
【0008】
次に、図12に示すように、コンタクトホール134の内壁上に、チタン膜、窒化チタン膜からなる積層膜が形成される。次に、この積層膜を介してコンタクトホール134がタングステン膜により埋め込まれる。この結果、コンタクト132が形成される。次に、配線層133が適宜形成される。
【0009】
【発明が解決しようとする課題】
ところで、リソグラフィ工程及びエッチングの際に、マスクの位置にズレが生じることがある。このズレが発生した結果、コンタクトホール134を形成する工程において、マスクの開口が素子分離絶縁膜102上に亘る場合がある。
【0010】
ここで、上記したようにコンタクトホール134はエッチングにより形成される。また、層間絶縁膜131及び素子分離絶縁膜102は、ともにシリコン酸膜により構成される。このため、コンタクトホール134を形成するためのエッチングの際、素子分離絶縁膜102が一部除去される場合が生じる。この結果、場所によって、図11に示すように溝141が形成されてしまうことがある。
【0011】
シリコン酸化膜に対応するRIEの選択比では、コバルトからなるシリサイド膜114bはエッチングされない。したがって、典型的には、溝141は素子分離絶縁膜102のうち、シリサイド膜112bとの界面に形成される。
【0012】
図13は、図12の実線で囲む領域を拡大した図である。図13に示すように、図12に示す工程において、コンタクトホール134内に、積層膜132a及びタングステン膜134bが形成される。しかし、溝141が形成されていると、この溝141内にも積層膜132a及びタングステン膜132bからなるコンタクト132が形成されてしまう。
【0013】
特に、溝141の深さが深くなり、第1拡散領域121とウェル拡散層103との接合面に達する場合がある。この場合、溝141内に形成されたコンタクト134により、この部分でショートが発生し、半導体装置の動作不良が生じる。
【0014】
また、溝141の深さがそれほど深くない場合でも、図13に示すように、溝141が第1拡散領域121の側面に達する場合がある。この場合、積層膜132a中のチタンと第1拡散領域121中のシリコンとによりチタンシリサイド142が形成されてしまう。すると、第1拡散領域121とウェル拡散層103との間で、シリサイド142を介して流れるリーク電流が増大する。この結果、半導体装置の動作不良が生じる。
【0015】
また、半導体装置の微細化に伴い、第1拡散領域121の深さが浅くなっている。このため、シリサイド膜114bの底面と、第1拡散領域121とウェル拡散層103との間の接合面と、の距離が小さくなる。すると、上記したようにシリサイド142が形成されなくても、シリサイド膜114bからの接合リーク電流が増大する。
【0016】
さらに、これらの問題は、エッチングの条件等により、発生にばらつきがある。このため、半導体素子の歩留りが低下する問題がある。
【0017】
また、素子の微細化が進行し、ゲート長が100nm以下になると、第2拡散領域122の形成の際に以下のような問題が生じる。すなわち、第2拡散領域122の形成には、上記したようにイオン注入工程が用いられる。しかし、ゲート長が100nm以下においては単純にイオン注入工程の加速エネルギーを低下させるだけでは、この領域のシート抵抗が著しく上昇してしまう。この結果、トランジスタの駆動能力が劣化してしまう問題が生じる。この問題を回避するため、注入する不純物原子の数(ドーズ量)を増やす方法が考えられる。しかし、この方法によっても実際にシリコン中で活性化する不純物の量はほとんど増大しない。このため、上記問題を解決することはできない。さらに、この問題を解決できないばかりか、第2拡散領域122の拡散深さを増大させる結果を招く。すると、素子特性の劣化(特に短チャネル効果の発生)を招く問題が生じる。
【0018】
また、コンタクトホール134の形成の際、エッチングの時間等を調整することにより、溝141の形成を回避することが試みられている。しかし、それぞれの素子分離絶縁膜102において、上記問題を回避することは、エッチングの制御性等により困難である。
【0019】
また、図10に示す工程後、素子分離絶縁膜102上から、ゲート電極113上のシリサイド114a上までシリコン窒化膜等のライナー材を形成する方法も考えられる。こうすることによって、コンタクトホール134の形成時に、素子分離絶縁膜102が不要にエッチングされることを回避する試みがなされている。しかしながら、層間絶縁膜131及び素子分離絶縁膜102に用いられる材料との関係を考慮すると、これらの材料とライナー材との間の選択比を十分大きく取ることはできない。このため、上記問題を解決するには至っていない。
【0020】
なお、上記した例では、PMOS素子について説明しているが、NMOS素子についても同様の問題が生じる。
【0021】
本発明は、上記課題を解決するためになされたものであり、その目的とするところは、不要なシリサイドが形成されることを回避するとともに、接合リーク電流の低減が可能な半導体装置及びその製造方法を提供しようとするものである。
【0022】
【課題を解決するための手段】
本発明は、上記課題を解決するために以下に示す手段を用いている。
【0023】
本発明の第1の視点による半導体装置は、半導体基板と、前記半導体基板の表面内に配設された、素子領域を分離する素子分離絶縁膜と、前記素子領域の前記半導体基板上にゲート絶縁膜を介して配設されたゲート電極と、前記ゲート電極の下の領域を挟むように前記半導体基板の表面内に形成された1対のソース/ドレイン領域と、前記ソース/ドレイン領域の表面上に配設され、且つ前記素子分離絶縁膜上まで延在し、且つ前記半導体基板の表面より上方に位置する上面を有する、シリサイド膜と、前記素子分離絶縁膜上と前記シリサイド膜上とに配設された層間絶縁膜と、前記層間絶縁膜を貫通して前記シリサイド膜に達し、且つ一端及び他端が前記シリサイド膜上及び前記素子分離絶縁膜上にそれぞれ位置し、且つ底部で且つ前記素子分離絶縁膜の上部において一端が前記シリサイド膜の端部と接する溝部を有する、コンタクトホールと、前記コンタクトホールの内部に配設された配線層と、を具備することを特徴とする。
【0024】
本発明の第2の視点による半導体装置の製造方法は、半導体基板の表面内に、素子領域を分離する素子分離絶縁膜を形成する工程と、前記素子領域の前記半導体基板の表面内に、1対のソース/ドレイン領域を形成する工程と、前記ソース/ドレイン領域の相互間の前記半導体基板上に、ゲート絶縁膜及びゲート電極を具備するゲート構造を形成する工程と、前記ソース/ドレイン領域上から前記素子分離絶縁膜上まで延在するようにシリサイド膜を形成する工程と、前記素子分離絶縁膜上と前記シリサイド膜上とに層間絶縁膜を形成する工程と、前記層間絶縁膜を選択的にエッチングすることにより、底面が前記シリサイド膜に達し且つ一端及び他端が前記シリサイド膜上及び前記素子分離絶縁膜上にそれぞれ位置するコンタクトホールを形成するとともに、前記素子分離絶縁膜の上部において一端が前記シリサイド膜の端部と接する溝部を形成する工程と、前記コンタクトホールを導電膜で埋め込む工程と、を具備することを特徴とする。
【0025】
更に、本発明に係る実施の形態には種々の段階の発明が含まれており、開示される複数の構成要件における適宜な組み合わせにより種々の発明が抽出され得る。例えば、実施の形態に示される全構成要件から幾つかの構成要件が省略されることで発明が抽出された場合、その抽出された発明を実施する場合には省略部分が周知慣用技術で適宜補われるものである。
【0026】
【発明の実施の形態】
以下に本発明の実施の形態について図面を参照して説明する。なお、以下の説明において、略同一の機能及び構成を有する構成要素については、同一符号を付し、重複説明は必要な場合にのみ行う。
【0027】
図1は、本発明の実施形態に係る半導体装置を概略的に示す断面図である。なお、以下の説明において、P型のMISトランジスタについて説明するが、N型のトランジスタについても、不純物の導電型を適宜変更することにより同様に形成することができる。
【0028】
図1に示すように、例えばN型の半導体基板1の表面内に、複数の素子分離絶縁膜2が形成される。半導体基板1として例えばシリコンが用いられる。素子分離絶縁膜2により、素子領域が相互に分離される。素子分離絶縁膜2として、例えばシリコン酸化膜が用いられる。素子分離絶縁膜2は、上面に溝部41を有する。この溝部41については、後に詳述する。
【0029】
素子領域の半導体基板1の表面内にN型のウェル拡散層3が形成される。ウェル拡散層3上にMISトランジスタ11が形成される。トランジスタ11は、ゲート絶縁膜12、ゲート電極13、シリサイド膜14a、14b、第1側壁絶縁膜15、第2側壁絶縁膜16、第1拡散層21、第2拡散層22を具備する。
【0030】
ゲート電極13は、ゲート絶縁膜12を介してウェル拡散層3上に設けられる。ゲート絶縁膜12は、例えばシリコン酸化膜により構成され、ゲート電極13は、例えば多結晶シリコンにより構成される。ゲート電極13の上部に、シリサイド膜14aが形成される。このシリサイド膜14aとして、例えばコバルトシリサイド(CoSi2)が用いられる。シリサイド膜14bの上面は、半導体基板1の表面より上方に位置する。
【0031】
第1側壁絶縁膜15により、ゲート絶縁膜12、ゲート電極13、シリサイド膜14aの側面が覆われる。第1側壁絶縁膜15は、例えばシリコン窒化膜により構成される。第1側壁絶縁膜15の周囲には、第2側壁絶縁膜16が形成される。第2側壁絶縁膜16は、例えばシリコン酸化膜により構成される。
【0032】
P型の第1拡散領域(ソース/ドレイン・コンタクト領域)21は、ウェル拡散層3の表面内において、例えば素子分離絶縁膜2から、第1側壁絶縁膜15の近傍まで形成される。ウェル拡散層3の表面内に、P型の第2拡散領域(ソース/ドレイン・エクステンション領域)22が形成される。第2拡散領域22は、例えば第1拡散領域21の端部からゲート電極13の端部まで形成され、第1拡散領域21より浅く形成される。また、第2拡散領域22は、第1拡散領域より低い不純物濃度を有する。
【0033】
第1拡散領域21、第2拡散領域22の表面には、シリコン膜23が形成される。シリコン膜23は、半導体基板1と第2側壁絶縁膜16との間に設けられ、例えば第1側壁絶縁膜15の端部から第2側壁絶縁膜16の端部近傍まで亘る。
【0034】
第1拡散領域21の表面上には、シリサイド膜14bが形成される。シリサイド膜14bは素子分離絶縁膜2の上まで延在し、溝部41の端部からシリコン膜23の端部まで亘る。シリサイド膜14bは、シリサイド膜14aと同様に例えばコバルトを用いて形成される。
【0035】
半導体基板1上の全面には、層間絶縁膜31が設けられる。層間絶縁膜31は、例えばシリコン酸化膜により構成される。層間絶縁膜31内に、コンタクトホール34が形成される。コンタクトホール34は、底面がシリサイド膜14bまで達する。コンタクトホール34の一端はシリサイド膜14b上に位置し、他端は素子分離絶縁膜2の上に位置する。コンタクトホール34は、溝部53とつながっている。
【0036】
コンタクトホール34内には、例えばアルミニウム、銅等により構成された導電膜が設けられる。この結果、コンタクト32が形成されている。導電膜は、溝部41内にも設けられる。層間絶縁膜31上には、コンタクト32と接続された配線層33が設けられる。
【0037】
溝部53の一端はシリサイド膜14bの端部と接し、他端はコンタクトホール34の他端と共通とされている。また、溝部41は、素子分離絶縁膜2の端部から所定距離だけ離間した位置に形成される。すなわち、溝部41と第1拡散領域21の端部との間には、素子分離絶縁膜2が介在する。
【0038】
溝部41の端部と素子分離絶縁膜2の端部との距離は、例えば5nm〜50nm、望ましくは、10nm〜30nmとすることができる。この値は、例えば素子のデザインルールが100nm、ゲート電極13のゲート長が40nm、シリサイド膜14bの膜厚が30nmの場合、20nmとすることができる。このような距離とすることにより、後述する所望の効果を得られる。なお、溝部41の端部と素子分離絶縁膜2の端部との距離は、後述する方法により、任意の値に設定することができる。
【0039】
次に、上記構成の半導体装置の製造工程を説明する。図2〜図7は、図1に示す半導体装置の製造工程を順に示す断面図である。
【0040】
図2に示すように、半導体基板1の表面にフォトリソグラフィ工程及びエッチング技術を用いて溝が形成される。エッチング技術として、例えばRIE等の異方性エッチングが用いられる。溝の内部にシリコン酸化膜等の絶縁膜を埋め込むことにより、素子分離絶縁膜2が形成される。次に、N型の不純物が半導体基板1にイオン注入される。この不純物として、例えばリンを用いることができる。次に、半導体基板1を熱処理することにより不純物が拡散し、ウェル拡散層3が形成される。
【0041】
次に、図3に示すように、半導体基板1(ウェル拡散層3)の露出している表面上にゲート絶縁膜材が形成される。ゲート絶縁膜材の形成には、例えば熱酸化等の技術を用いることができる。次にゲート絶縁膜材上に多結晶シリコン材、シリコン窒化膜材が堆積される。次に、リソグラフィ工程及びエッチング技術を用いて、シリコン窒化膜材、多結晶シリコン材、ゲート絶縁膜材がエッチングされる。この結果、ゲート絶縁膜12、ゲート電極13、シリコン窒化膜17からなるゲート構造が形成される。
【0042】
次に、半導体基板1上の全面に、シリコン窒化膜等の絶縁膜が、例えばCVD(Chemical Vapor Deposition)法により堆積される。次に、RIE等のエッチング技術を用いて、この絶縁膜がエッチングされる。この結果、第1側壁絶縁膜15が形成される。次に、シリコン窒化膜17及び第1側壁絶縁膜15をマスクとして、半導体基板1の表面にP型不純物が注入される。P型不純物として、例えばホウ素(B)、フッ化ホウ素(BF)を用いることができる。このイオン注入は低エネルギーの条件により行われる。例えば、不純物としてホウ素を用いる場合、エネルギーは500eV程度以下であり、フッ化ホウ素を用いる場合、2.5keV程度以下である。不純物が注入された結果、第2拡散領域22が形成される。
【0043】
次に、図4に示すように、第2拡散領域22の表面上にシリコン膜23が選択成長により形成される。このシリコン膜23は、第1側壁絶縁膜15から素子分離絶縁膜2の上面の一部分まで延在する。シリコン膜23の形成は、例えば、低温の条件でアモルファスシリコンを堆積した後、このアモルファスシリコンを、600℃程度で熱処理して結晶化させることにより形成することができる。このとき、単結晶化した領域以外のアモルファスシリコン膜は、ケミカルドライエッチング等のエッチング手法によって選択的に除去することができる。このような工程により単結晶シリコン膜を選択的に形成する方法は、例えば特願平11−375404により提案されているので、そちらを参照願いたい。
【0044】
シリコン膜23の膜厚および素子分離絶縁膜2上への張り出し量は、任意に設定することができる。この設定は、例えばアモルファスシリコン膜の膜厚、結晶化熱処理温度、時間を調節することにより可能である。このような調節により、本実施形態が適用される半導体装置に応じて、シリコン膜23の形成を最適化することができる。例えば、各構成部分を上記した寸法、すなわち、素子のデザインルールが100nm、ゲート長が40nm、シリサイド膜の膜厚が30nmの場合、シリコン膜23の膜厚を20nm程度とすればよい。この結果、素子分離絶縁膜2上へ張り出したシリコン膜23の長さを、20nm程度とすることができる。後の工程で、この張り出したシリコン膜を23がシリサイド化され、シリサイド膜をマスクとして溝部41が形成される。この結果、溝部41の端部と素子分離絶縁膜2の端部との距離を、20nmとすることができる。
【0045】
特願平11−375404で提案されている方法を採用することにより、以下の利点を得ることができる。まず、単結晶シリコン膜をCVD法により選択的に成長させる場合、高温(900℃以上)の条件下でシリコン表面をクリーニングする必要がある。この高温の熱処理により、第2拡散領域22に低エネルギーで注入された不純物が拡散してしまう。この結果、第2拡散領域22の底部が、所望以上に深い位置に形成されてしまう。しかし、提案された方法によれば高温での処理が不要となる。このため、この問題を回避できる。さらに、注入されたホウ素が外方拡散によって失われることも防止できる。
【0046】
次に、図5に示すように、半導体基板1上の全面にシリコン酸化膜等の絶縁膜が、例えばCVD法により堆積される。次に、エッチング技術を用いて、この絶縁膜がエッチングされる。この結果、第2側壁絶縁膜16が形成される。
【0047】
次に、図6に示すように、シリコン窒化膜17が、例えば加熱したリン酸等を用いて除去される。次に、半導体基板1の全面に、第2側壁絶縁膜16をマスクとしてP型の不純物が注入される。この不純物として例えばホウ素を用いることができる。次に、半導体基板1を、例えば1050℃程度の条件下で、極短時間、熱処理する。この結果、第1拡散領域21が形成されるとともに、ゲート電極13がP型の導電性を有することとなる。また、同時に、第2拡散領域22の表面のP型不純物が、シリコン膜23のうち第1側壁絶縁膜15近傍の領域に熱拡散する。この結果、この領域がP型の導電性を有することとなる。
【0048】
次に、図7に示すように、ゲート電極13及びシリコン膜23の表面の自然酸化膜が、ウェットエッチングにより除去される。ウェットエッチングの薬液として、例えば希フッ酸溶液等を用いることができる。次に、公知のサリサイド工程を用いて、シリコン酸化膜23及び半導体基板1の表面の一部がシリサイド化される。この結果、ゲート電極13及び第2拡散領域22の表面にシリサイド膜14a、14bがそれぞれ形成される。
【0049】
次に、図8に示すように、半導体基板1上の全面にシリコン酸化膜等の絶縁膜が、例えばCVD法により堆積される。次に、この絶縁膜の表面が、例えばCMP(Chemical Mechanical Polishing)等により平坦化される。この結果、層間絶縁膜31が形成される。次に、フォトリソグラフィ工程及びRIE等の異方性エッチングにより、コンタクトホール34が形成される。
【0050】
従来技術の説明において記載したように、シリサイド膜14bが形成される領域とコンタクトホール34が形成される領域との間には、マスクの合わせズレに対する余裕を取らない。このため、コンタクトホール34の形成の際、マスクの開口の端部が素子分離絶縁膜2上に位置する場合がある。しかし、シリサイド膜14bが素子分離絶縁膜2まで延在しているため、この部分がエッチングされない。このため、マスクの開口において、素子分離絶縁膜2のうちシリサイド膜14bが形成されていない領域が除去される。この結果、溝部41がシリサイド膜14bをマスクとして、自己整合的に形成される。
【0051】
次に、図1に示すように、コンタクトホール34の内部に、チタン膜、窒化チタン膜、タングステン膜からなるコンタクト32が形成される。すなわち、チタン膜、窒化チタン膜からなる積層膜32aがコンタクトホール34の内壁に沿って形成され、この積層膜を介してコンタクトホール34がタングステン膜により埋め込まれる。コンタクト32の形成には、例えばCVD法、スパッタリングが用いられる。コンタクトホール34を埋め込む際、溝部41が形成されている場合、この溝部41内もコンタクトホール34と同様に埋め込まれる。次に、公知の方法により配線層33が形成される。
【0052】
本発明の実施形態によれば、シリサイド膜14bが素子分離絶縁膜2まで、所定距離、延在する。このため、コンタクトホール34の形成の際、素子分離絶縁膜2に溝部41が形成された場合でも、溝部41が第1拡散領域21と接することを回避できる。このため、従来例に示す、異常成長したシリサイド142が形成されることを防止できる。したがって、シリサイド142が原因による接合リーク電流の発生を回避できる。
【0053】
また、シリサイド膜14bの底面と、第1拡散領域21とウェル拡散層3との間の接合面と、の距離が選択成長させたシリコン膜23の膜厚分、離間する。このため、シリサイド膜による接合リーク電流に起因する不良の発生も抑制することができる。
【0054】
また、近時、素子の微細化によって問題となるソース/ドレイン・エクステンション領域の寄生抵抗の増加が問題となっている。しかし、本実施形態によれば、第2側壁絶縁膜16の下に、P型のシリコン膜23が形成される。この部分は導電膜として機能し、ドレイン電流を流す働きをする。このため、上記寄生抵抗を大幅に低減することが可能となる。したがって、トランジスタの駆動能力力を向上できる。
【0055】
その他、本発明の思想の範疇において、当業者であれば、各種の変更例及び修正例に想到し得るものであり、それら変更例及び修正例についても本発明の範囲に属するものと了解される。
【0056】
【発明の効果】
以上、詳述したように本発明によれば、不要なシリサイドが形成されることを回避するとともに、接合リーク電流の低減が可能な半導体装置及びその製造方法を提供できる。
【図面の簡単な説明】
【図1】本発明の実施形態に係る半導体装置を概略的に示す断面図。
【図2】図1に示す半導体装置の製造工程を概略的に示す断面図。
【図3】図2に続く工程を概略的に示す断面図。
【図4】図3に続く工程を概略的に示す断面図。
【図5】図4に続く工程を概略的に示す断面図。
【図6】図5に続く工程を概略的に示す断面図。
【図7】図6に続く工程を概略的に示す断面図。
【図8】図7に続く工程を概略的に示す断面図。
【図9】半導体装置の従来の製造工程を概略的に示す断面図。
【図10】図9に続く工程を概略的に示す断面図。
【図11】図10に続く工程を概略的に示す断面図。
【図12】図11に続く工程を概略的に示す断面図。
【図13】図12の一部を拡大して示す図。
【符号の説明】
1…半導体基板、
2…素子分離絶縁膜、
3…ウェル拡散層、
11…トランジスタ、
12…ゲート絶縁膜、
13…ゲート電極、
14a、b…シリサイド膜、
15…第1側壁絶縁膜、
16…第2側壁絶縁膜、
17…シリコン窒化膜、
21…第1拡散領域(ソース/ドレイン・コンタクト領域)、
22…第2拡散領域(ソース/ドレイン・エクステンション領域)、
23…シリコン膜、
31…層間絶縁膜、
32…コンタクト、
33…配線層、
34…コンタクトホール。

Claims (15)

  1. 半導体基板と、
    前記半導体基板の表面内に配設された、素子領域を分離する素子分離絶縁膜と、
    前記素子領域の前記半導体基板上にゲート絶縁膜を介して配設されたゲート電極と、
    前記ゲート電極の下の領域を挟むように前記半導体基板の表面内に形成された1対のソース/ドレイン領域と、
    前記ソース/ドレイン領域の表面上に配設され、且つ前記素子分離絶縁膜上まで延在し、且つ前記半導体基板の表面より上方に位置する上面を有する、シリサイド膜と、
    前記素子分離絶縁膜上と前記シリサイド膜上とに配設された層間絶縁膜と、
    前記層間絶縁膜を貫通素子して前記シリサイド膜に達し、且つ一端及び他端が前記シリサイド膜上及び前記分離絶縁膜上にそれぞれ位置し、且つ底部で且つ前記素子分離絶縁膜の上部において一端が前記シリサイド膜の端部と接する溝部を有する、コンタクトホールと、
    前記コンタクトホールの内部に配設された配線層と、
    を具備することを特徴とする半導体装置。
  2. 前記溝部は、前記コンタクトホールの他端と共通の端部を有することを特徴とする請求項1に記載の半導体装置。
  3. 前記ソース/ドレイン領域のそれぞれの端部が前記素子分離絶縁膜と接することを特徴とする請求項1または2に記載の半導体装置。
  4. 前記溝部と前記ソース/ドレイン領域との間には、前記素子分離絶縁膜の一部が介在することを特徴とする請求項1乃至3のいずれかに記載の半導体装置。
  5. 前記溝部の内部は前記配線層と同一の材料により充填されていることを特徴とする請求項1乃至4のいずれかに記載の半導体装置。
  6. 前記ゲート電極と前記シリサイド膜との間の前記半導体基板表面上に配設され、且つ前記シリサイド膜と実質的に同じ高さを有する、シリコン膜をさらに具備することを特徴とする請求項1乃至5のいずれかに記載の半導体装置。
  7. 前記シリコン膜は、導電性であることを特徴とする請求項6に記載の半導体装置。
  8. 前記ソース/ドレイン領域は、第1拡散領域と、シリコン膜の下の領域の前記半導体基板の表面内に形成され且つ前記第1拡散領域より低い不純物濃度を有する第2拡散領域と、を具備することを特徴とする請求項6または7に記載の半導体装置。
  9. 前記素子分離絶縁膜の端部と前記溝部の一端との間の距離は、5nm乃至50nmであることを特徴とする請求項1乃至8のいずれかに記載の半導体装置。
  10. 半導体基板の表面内に、素子領域を分離する素子分離絶縁膜を形成する工程と、
    前記素子領域の前記半導体基板の表面内に、1対のソース/ドレイン領域を形成する工程と、
    前記ソース/ドレイン領域の相互間の前記半導体基板上に、ゲート絶縁膜及びゲート電極を具備するゲート構造を形成する工程と、
    前記ソース/ドレイン領域上から前記素子分離絶縁膜上まで延在するようにシリサイド膜を形成する工程と、
    前記素子分離絶縁膜上と前記シリサイド膜上とに層間絶縁膜を形成する工程と、
    前記層間絶縁膜を選択的にエッチングすることにより、底面が前記シリサイド膜に達し且つ一端及び他端が前記シリサイド膜上及び前記素子分離絶縁膜上にそれぞれ位置するコンタクトホールを形成するとともに、前記素子分離絶縁膜の上部において一端が前記シリサイド膜の端部と接する溝部を形成する工程と、
    前記コンタクトホールを導電膜で埋め込む工程と、
    を具備することを特徴とする半導体装置の製造方法。
  11. 前記シリサイド膜を形成する工程は、
    前記ソース/ドレイン領域上に、前記ゲート構造の端部から前記素子分離絶縁膜上まで延在するようにシリコン膜を形成する工程と、
    前記シリコン膜の一部をシリサイド化する工程と、
    を具備することを特徴とする請求項10に記載の半導体装置の製造方法。
  12. 前記シリコン膜を形成後、前記シリコン膜に不純物を注入することにより、前記シリコン膜を導電化させる工程をさらに具備することを特徴とする請求項11に記載の半導体装置の製造方法。
  13. 前記溝部は、前記シリサイド膜をマスクとして自己整合的に形成されることを特徴とする請求項10乃至12のいずれかに記載の半導体装置の製造方法。
  14. 前記溝部の一端と前記素子分離絶縁膜の端部との間には、自己整合的に前記素子分離絶縁膜の一部が残存することを特徴とする請求項10乃至13のいずれかに記載の半導体装置の製造方法。
  15. 前記シリコン膜の膜厚は、5nm乃至50nmであることを特徴とする請求項10乃至14のいずれかに記載の半導体装置の製造方法。
JP2002201127A 2002-07-10 2002-07-10 半導体装置及びその製造方法 Pending JP2004047608A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002201127A JP2004047608A (ja) 2002-07-10 2002-07-10 半導体装置及びその製造方法
US10/299,700 US6770942B2 (en) 2002-07-10 2002-11-20 Semiconductor device having silicide film formed in a part of source-drain diffusion layers and method of manufacturing the same
TW092118351A TWI227509B (en) 2002-07-10 2003-07-04 Semiconductor device and the manufacturing method thereof
CNB031475302A CN1248317C (zh) 2002-07-10 2003-07-09 半导体器件及其制造方法
US10/883,818 US7049222B2 (en) 2002-07-10 2004-07-06 Semiconductor device having silicide film formed in a part of source-drain diffusion layers and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002201127A JP2004047608A (ja) 2002-07-10 2002-07-10 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2004047608A true JP2004047608A (ja) 2004-02-12
JP2004047608A5 JP2004047608A5 (ja) 2004-12-16

Family

ID=30112550

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002201127A Pending JP2004047608A (ja) 2002-07-10 2002-07-10 半導体装置及びその製造方法

Country Status (4)

Country Link
US (2) US6770942B2 (ja)
JP (1) JP2004047608A (ja)
CN (1) CN1248317C (ja)
TW (1) TWI227509B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100868098B1 (ko) * 2007-03-27 2008-11-11 삼성전자주식회사 집적 회로 장치의 제조 방법, 반도체 소자의 제조 방법 및 그에 의해 제조된 반도체 소자
US7781276B2 (en) 2006-11-16 2010-08-24 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuits that utilize insulating layers with high stress characteristics to improve NMOS and PMOS transistor carrier mobilities
US7785951B2 (en) 2006-09-28 2010-08-31 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having tensile and compressive stress layers therein and devices formed thereby
US7902082B2 (en) 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
JP2014236097A (ja) * 2013-05-31 2014-12-15 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005005510A (ja) * 2003-06-12 2005-01-06 Toshiba Corp 半導体装置及びその製造方法
US7023059B1 (en) * 2004-03-01 2006-04-04 Advanced Micro Devices, Inc. Trenches to reduce lateral silicide growth in integrated circuit technology
US20060040481A1 (en) * 2004-08-17 2006-02-23 Bor-Wen Chan Methods and structures for preventing gate salicidation and for forming source and drain salicidation and for forming semiconductor device
JP2006128605A (ja) * 2004-09-29 2006-05-18 Toshiba Corp 半導体装置の製造方法
JP2008071890A (ja) * 2006-09-13 2008-03-27 Toshiba Corp 半導体装置及びその製造方法
JP2008103465A (ja) * 2006-10-18 2008-05-01 Toshiba Corp 半導体装置及びその製造方法
US8134208B2 (en) * 2007-09-26 2012-03-13 Globalfoundries Inc. Semiconductor device having decreased contact resistance
TWI462294B (zh) * 2010-09-21 2014-11-21 Toshiba Kk Semiconductor element and manufacturing method thereof
JP5687582B2 (ja) 2010-09-21 2015-03-18 株式会社東芝 半導体素子およびその製造方法
US20120119302A1 (en) 2010-11-11 2012-05-17 International Business Machines Corporation Trench Silicide Contact With Low Interface Resistance
CN103855023A (zh) * 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法及半导体器件
US10428931B2 (en) * 2017-02-27 2019-10-01 Toyota Motor Engineering & Manufacturing North America, Inc. Braze preform for powder metal sintering

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5545581A (en) * 1994-12-06 1996-08-13 International Business Machines Corporation Plug strap process utilizing selective nitride and oxide etches
JPH11204791A (ja) 1997-11-17 1999-07-30 Toshiba Corp 半導体装置及びその製造方法
US5915199A (en) * 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6323103B1 (en) * 1998-10-20 2001-11-27 Siemens Aktiengesellschaft Method for fabricating transistors
JP3205306B2 (ja) * 1998-12-08 2001-09-04 松下電器産業株式会社 半導体装置およびその製造方法
JP4010724B2 (ja) 1999-12-28 2007-11-21 株式会社東芝 半導体装置の製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7785951B2 (en) 2006-09-28 2010-08-31 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having tensile and compressive stress layers therein and devices formed thereby
US7781276B2 (en) 2006-11-16 2010-08-24 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuits that utilize insulating layers with high stress characteristics to improve NMOS and PMOS transistor carrier mobilities
KR100868098B1 (ko) * 2007-03-27 2008-11-11 삼성전자주식회사 집적 회로 장치의 제조 방법, 반도체 소자의 제조 방법 및 그에 의해 제조된 반도체 소자
US7534678B2 (en) 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
US7800134B2 (en) 2007-03-27 2010-09-21 Samsung Electronics Co., Ltd. CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein
US7902082B2 (en) 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7923365B2 (en) 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
JP2014236097A (ja) * 2013-05-31 2014-12-15 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9887211B2 (en) 2013-05-31 2018-02-06 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US10411036B2 (en) 2013-05-31 2019-09-10 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US11152393B2 (en) 2013-05-31 2021-10-19 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
US20040007745A1 (en) 2004-01-15
TW200414273A (en) 2004-08-01
CN1248317C (zh) 2006-03-29
US7049222B2 (en) 2006-05-23
US6770942B2 (en) 2004-08-03
CN1472820A (zh) 2004-02-04
TWI227509B (en) 2005-02-01
US20040241936A1 (en) 2004-12-02

Similar Documents

Publication Publication Date Title
KR100411674B1 (ko) 수직 트랜지스터를 갖는 씨엠오에스 집적 회로 및 이를제조하기 위한 방법
US6642581B2 (en) Semiconductor device comprising buried channel region
JP3998893B2 (ja) T型素子分離膜の形成方法
TW408469B (en) A semiconductor device and a manufacturing process therefor
JP2004047608A (ja) 半導体装置及びその製造方法
JP3594140B2 (ja) 半導体装置の製造方法
KR20090019693A (ko) 스트레인된 반도체 장치 및 이의 제조 방법
JP2000243958A (ja) 半導体装置およびその製造方法
JP2009147377A (ja) 半導体装置および半導体装置を製造する方法
JPH10284728A (ja) コバルトシリサイド膜を有するmosfetの製造方法
CN1957476B (zh) 平面双栅极半导体器件
KR100835521B1 (ko) 반도체 소자의 구조 및 그의 제조방법
JP3996286B2 (ja) 半導体装置およびその製造方法
JPH11284179A (ja) 半導体装置およびその製造方法
US20110281411A1 (en) Method for manufacturing semiconductor device
JPH09283462A (ja) 半導体装置及びその製造方法
JP4514006B2 (ja) 半導体装置
JP4333932B2 (ja) 半導体装置および半導体装置を製造する方法
JPH06204173A (ja) 半導体装置の製造方法
KR100734259B1 (ko) 반도체 소자의 제조 방법
JPH10284438A (ja) 半導体集積回路及びその製造方法
JP6383832B2 (ja) 半導体装置
JP2016146508A (ja) 半導体装置およびその製造方法
JP2001203348A (ja) 半導体装置及びその製造方法
JP2004311764A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040109

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060425

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060626

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060815