JP2002033314A - Pecvdキャッピングモジュールを含む低誘電率誘電体処理のための方法及び一体型装置 - Google Patents

Pecvdキャッピングモジュールを含む低誘電率誘電体処理のための方法及び一体型装置

Info

Publication number
JP2002033314A
JP2002033314A JP2001035825A JP2001035825A JP2002033314A JP 2002033314 A JP2002033314 A JP 2002033314A JP 2001035825 A JP2001035825 A JP 2001035825A JP 2001035825 A JP2001035825 A JP 2001035825A JP 2002033314 A JP2002033314 A JP 2002033314A
Authority
JP
Japan
Prior art keywords
substrate
chamber
processing
chambers
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001035825A
Other languages
English (en)
Inventor
Hari Ponnekanti
ポンネカンティ ハリ
Kevin Fairbairn
フェアバーン ケヴィン
Sasson Somekh
ソメク サッソン
Timothy Weidman
ウェイドマン ティモシー
Shamouil Shamouilian
シャモウィリアン シャモウィル
Farhad Moghadam
モグハダム ファーハッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002033314A publication Critical patent/JP2002033314A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Robotics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Coating Apparatus (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 基板上に低誘電率膜及び低誘電率キャッピン
グ層を処理するための方法及び装置を提供する。 【解決手段】 低誘電率膜は、界面活性剤を含むゾルゲ
ル前駆物質を処理しそして硬化することにより均一な直
径の内部連通孔を有する酸化物膜を形成し、次いで、中
多孔性酸化物膜を形成するためにその膜を不活性ガス環
境でアニーリングする又はその膜を活性酸素種を含む酸
化環境にさらすこよにより形成される中間多孔性酸化物
膜である。好ましい中多孔性酸化物膜は、アルコールベ
ースの溶剤中にテトラエチルオルトシリケート、水及び
界面活性剤を含むゾルゲル前駆物質の基板上へのスピン
・オン処理、立方相膜を形成するための処理されたゾル
ゲル前駆物質の硬化、次いで、その膜を酸化環境にさら
すことによって作る。

Description

【発明の詳細な説明】
【0001】発明分野 本発明は、一般に、複合基板の加工のための方法及び装
置に関し、典型的には、電子デバイス、例えば一体型集
積回路や平面パネルディスプレイの製造において用いら
れる複合基板の加工のための方法及び装置に関する。特
には、本発明は、基板上に誘電体層を処理するための方
法及び装置に関する。
【0002】関連技術の背景 半導体装置の幾何学的形態(ジオメトリー)は、数十年
前に初めて登場して以来、その大きさが劇的に減少し
た。そのとき以来、集積回路は、一般的に、2年/大き
さ半減(two year/half-size)規則(しばしばムーアの
法則と呼ばれている)に従ってきた。つまり、チップ上
に固定できるデバイスの数が2年おきに倍になることを
意味している。今日の製造工場では、0.35μmそし
てさらには0.18μmのという特徴の大きさを有する
デバイスが日常的に製造されており、近い将来の工場で
はまもなく、更に小さな形状を有するデバイスが製造さ
れるであろう。
【0003】集積回路上のデバイスの大きさを更に小さ
くするためには、隣接する素地面間の静電結合を減少さ
せるため、低い比抵抗を有する導電物質と低い誘電率
(k、ここでkは4.0未満)を有する絶縁体を用いる
ことが必要となってきた。低い誘電率の誘電体は、国際
公開WO99/41423に記載されているスピンオンガ
ラス(both spin-on glass)方法及び化学蒸着(CV
D)技術の両者を用いて処理されてきた。国際公開WO9
9/41423に記載されているように、導電物質上の
低い誘電率の誘電体層を水分等の副産物の拡散から保護
するために、キャッピング層を含むライナー/バリア層
が低い誘電率の誘電層に隣接して処理されてきた。
【0004】例えば、低い誘電率の絶縁体の形成中に発
生する水分は、容易に、導電体金属の表面に拡散しそし
て隣接する導電体金属表面の比抵抗を上昇させる。バリ
アー/ライナー層は、典型的には、一般の珪素ベースの
物質、例えば窒化珪素から形成され、それは、副産物の
拡散を妨げ、かつ/或いは、低い誘電率の物質中への金
属層の拡散を防ぐ。しかしながら、バリアー/ライナー
層は、典型的には、4.0より遙かに大きい誘電率を有
し、例えば、窒化珪素は少なくとも6.0の誘電率を有
し、そしてその高い誘電率は、誘電率を著しく減少しな
い複合絶縁体層を結果しうる。低い誘電率膜処理工程の
例は、Brinker等に発行された米国特許第585845
7号に記載されている。Brinker等は、基板上に高い有
孔率を有する低誘電率膜の製造方法を開示している。そ
の構造は一般に、ゾルゲル先駆物質の基板上への処理に
次いでゾルゲル前駆物質の成分を選択蒸発することによ
り超分子アセンブリを形成することによって形成され
る。次いで、そのアセンブリは、約400℃にて、超分
子型板の酸化熱分解により、順序づけられた多孔性の膜
へと形成される。しかしながら、Brinker等の特許で
は、多孔性膜中にゾルゲルをか焼するために約4時間の
熱分解工程を必要とする。このような長時間は、現代の
半導体製造における、益々増大するより速い加工速度の
要求には合わない。
【0005】Brinker等の特許に記載されている、珪素
ベースの膜は、たいてい親水性でありそして周りの環境
から水分を積極的に吸収する多孔性膜である。もし、約
78の誘電率(k)を有する水が、多孔性膜に吸収され
ると、多孔性膜の低い誘電率の誘電特性が不利益な影響
を受けうる。しばしば、これらの親水性膜は、水分を除
くためにアニール処理される。しかしながら、これに次
いで行われる工程に混入した水分に膜は引き続き敏感で
あるので、これは処理工程において一時的な解決策にす
ぎない。さらに、アニーリングはしばしば時間のかかる
工程であり、基板の加工時間が長くなり、その結果、一
定時間内の処理量の率が低くなる。一般に、親水性膜中
への水分の混入を制限するために、水分の混入を防ぐキ
ャッピング又はパッシベーション層が、多孔性膜の上に
処理されるか、または、膜をシリル化加工によって親水
性膜から疎水性膜へと変える。
【0006】キャッピング層を多孔性膜の上に処理する
一つの問題は、例えば、スピンコーティングやスプレー
コーティング多孔性膜等の多孔性膜が、大気圧、すなわ
ち約300トールより大きい圧力で処理され、そしてキ
ャッピング層が典型的には、ほぼ真空に近い圧力、すな
わち約100トール未満の圧力で行われるプラズマ強化
化学蒸着(PECVD)によって処理されることであ
る。このような真空加工及び大気圧加工は、典型的に
は、別々の真空及び大気圧加工システム中、又は集合器
具装置中で行われ、そこでは、一つの加工システム又は
装置から他の加工システム又は装置への移動が、膜を汚
染の危険にさらすことになる。集合器具とは、中央基板
操作モジュール及び複数の周辺加工チャンバを含む、モ
ジューラ、複数のチャンバ、一体型加工システムであ
り、そこでは、導入された基板が、集積回路を形成する
ために種々の加工チャンバ中で順次に一連の加工工程を
経る。集合器具は一般に、最新超小型電子デバイスを製
造するための有効でかつ効率的な装置として受け入れら
れてきている。
【0007】図1は、中央の真空チャンバに据え付けら
れた、複数の単一基板加工チャンバ12を有する真空集
合器具10を示しており、中央の真空チャンバは、移送
チャンバ18と呼ばれ、1又はそれ以上のロードロック
チャンバ20中に配置された基板カセットから基板を1
又はそれ以上の加工チャンバ12に移送するためのもの
である。この特定の器具は、移送チャンバの周りに放射
状に配置された4つまでの単一基板加工チャンバ12に
適合するように示されている。図1に示されたものに類
似の集合器具は、アプライドマテリアル社(カリフォル
ニア州、サンタクララ)から入手可能である。加工チャ
ンバ12間の基板の移送は、典型的には、中央移送チャ
ンバ12中に配置された基板操作モジュール16によっ
て管理されている。基板は加工された後、ロードロック
チャンバ20を通って、基板カセット中に戻され、そし
て、基板は追加加工用の次のシステムへ移動可能とな
る。種々の工程、例えば、物理蒸着(PVD)、化学蒸
着(CVD)、エッチングが、加工チャンバ12中で行
われる。
【0008】典型的には、大気圧加工集合器具及び真空
加工集合器具は今まで一体化されていなかった。真空加
工器具は、加工サイクル中の種々の加工工程の間、真空
ポンプによる真空の保持又は真空の復旧を必要とする。
この真空の要求は、大気圧加工器具に比べてより長い加
工時間とより低い処理量率をもたらし、これらのシステ
ムの一体化を魅力のないものにしている。しかしなが
ら、集合器具間の基板の移送は、例えば多孔性膜等の汚
染に敏感な膜の移送においては非常に問題となる、加工
基板の汚染をもたらしうる。現在の産業においては、周
囲が大気圧と真空に近い両方の加工条件下で、低い誘電
率の誘電物質の処理とキャッピング物質を組み合わせた
集合器具はない。
【0009】従って、高い基板の処理量で低い誘電率の
誘電物質を処理及びキャッピングできる、一体化された
大気圧及び真空システムの要求が引き続きある。理想的
には、一体化されたシステムは、真空集合器具と大気圧
集合器具間の1又はそれ以上の移動を除くことにより、
処理された物質の汚染を減少する。
【0010】発明の概要 本発明は、真空及び、大気圧或いはそれ以上の圧力の、
両方の条件下で、基板上に、金属間層、例えば低い誘電
率膜及びキャッピング層を処理する方法及び装置を提供
する。本発明の一つの態様においては、装置は、大気圧
或いはそれ以上の圧力にて操作される加工台上に備え付
けることができる略真空圧キャッピング層モジュールで
あり、その加工台は更に低い誘電率の誘電体層を処理で
きる。キャッピング層モジュールは、備え付けられた台
において処理される低い誘電率の誘電体層を有する複数
の基板を加工する略真空加工システムをカセット化する
カセットを有する。このキャッピング層モジュールは、
好ましくは、一又はそれ以上の移送チャンバを含む真空
システムであり、各々の移送チャンバは、基板ハンドラ
ー、1又はそれ以上のロードロックチャンバ、1又はそ
れ以上のロードロックチャンバ中に任意の配置されうる
1又はそれ以上の基板予備加熱モジュール、及び1又は
それ以上の移送チャンバと連通した1又はそれ以上のプ
ラズマ強化化学蒸着チャンバをその中に有する。
【0011】本発明の装置は更に、移送チャンバに連通
したロードロックチャンバ中に配置された1又はそれ以
上の基板冷却ステーションを有する。キャッピングモジ
ュールは、好ましくは、少なくとも一つの基板操作ブレ
ードを持つ基板操作部材を有し、そして更に、複数の基
板を検索するための基板インデックス部材とキャッピン
グ層の処理の前に基板を予備加熱するための複数スロッ
ト予備加熱モジュールを含む。各々のPECVDは、好
ましくは、2つの加工領域を有し、それぞれの加工領域
は、加熱ペデスタル、ガス分配アセンブリ、真空ポンプ
アセンブリ、及び個々の加工領域中の基板表面上に均一
なプラズマ密度を提供するための独立したRF電源及び
温度の制御を有し、それぞれの加工領域は、遠隔プラズ
マシステム及び移送チャンバと連通している。
【0012】本発明の別の態様においては、基板加工装
置は、高圧堆積モジュールと連通した略真空圧キャッピ
ング層モジュールである。基板加工装置は、高圧堆積モ
ジュール、高圧堆積モジュールと連通した第一の移送チ
ャンバ、第一の移送チャンバと連通したロードロックチ
ャンバ、基板ハンドラーをその中に有し1又はそれ以上
のロードロックチャンバと連通している1又はそれ以上
の第二の移送チャンバ、1又はそれ以上のロードロック
チャンバ中に任意に配置されうる第二の移送チャンバと
連通している複数スロット基板予備加熱モジュール、第
二の移送チャンバ内に配置された基板操作部材、及び少
なくとも一つの分離された加工領域をその中に規定し各
々の加工領域が1又はそれ以上の第二の移送チャンバに
連通しているところの1又はそれ以上の加工チャンバを
含む。キャッピングモジュールのロードロックチャンバ
は、第一及び第二の移送チャンバ間を基板を移送し、そ
して更に、加工に次いで基板の冷却、又は加工の前に基
板の予備加熱を提供する。
【0013】高圧堆積モジュールは、好ましくは多段大
気圧システムであり、それは一般的にはハウジングを有
し、ハウジング中には、1又はそれ以上の基板スピナチ
ャンバ、1又はそれ以上の基板硬化処理チャンバ、略真
空条件に排気されうるそして酸素及び/又はオゾン環境
かつプラズマ含有酸素に適合する1又はそれ以上の基板
ストリッピングチャンバ(1又はそれ以上のアニーリン
グチャンバ)、1又はそれ以上のシリル化処理チャン
バ、及び高圧堆積モジュールのハウジング中に配置され
た基板操作部材を含む。好ましくは、複数のチャンバが
備えられ、各々のタイプのチャンバが、全体のチャンバ
内で垂直に配置された積み重ねの状態にて備え付けられ
ている。基板操作部材は、一般には2つのアーム型の基
板ハンドラーであり、好ましくは、高圧堆積モジュール
内で全ての加工チャンバに接近できる、独立して動くア
ームである。
【0014】本発明の他の態様に従って、本発明は、中
多孔性の膜構造を有する低誘電率誘電体膜を処理するた
めの方法を提供する。低誘電率誘電体膜は、基板上に処
理されたゾルゲル前駆他物質を硬化処理することにより
付着され、酸化膜を形成し、酸化膜は、好ましくは、均
一の直径を有する内部連通孔を有し、最も好ましくは立
方相構造にてそれらを有する。次いで、酸化膜を非反応
環境下において約200℃〜約450℃の間の温度にて
加熱し、好ましくは約400℃〜約450℃の温度にて
酸化膜をアニーリングし、又は膜を約200℃〜約40
0℃の間の温度にて反応性酸素種を含む酸化環境にさら
し中多孔性酸化膜を形成する。中多孔性酸化膜は、少な
くとも50%の有孔率と約1.6〜約2.2の誘電率を
有する。中多孔性酸化膜は、二重ダマスク構造の製造の
ための中間金属層として用いられうる。好ましい中多孔
性酸化膜は、基板上へのエタノール溶媒中にTEOS、
水及び表面活性剤を含むゾルゲル前駆物質のスピン付
着、均一の直径の内部連通孔を有する膜形成のためのゾ
ルゲル前駆体の硬化処理、次いで、膜をオゾンプラズマ
にさらすことにより製造される。
【0015】上記した本発明の特徴、利点及び目的が達
成されかつ詳しく理解されるように、本発明を、上記に
簡潔に要約されてはいるが、図面中に説明されている発
明の実施態様を参照して詳細に記載する。
【0016】しかしながら、添付の図面は、本発明の代
表的な実施態様のみを説明しているものであり、従って
それらは本発明の範囲を限定するものではなく、本発明
においては他の同様に効果のある実施態様も認められう
るものであるということに注意すべきである。
【0017】好適実施形態の説明 本発明は、真空すなわち約100トール未満、及び大気
圧又は高圧条件すなわち約300トール超、の両方の条
件にて、基板上への中間金属層、例えば低い誘電率膜、
及びキャッピング層を処理するための方法及び装置を提
供する。本発明の一つの態様において、装置は、大気圧
又は高圧下で操作される加工台上に据え付けられうる略
真空圧キャッピング層モジュールであり、加工台は更に
低誘電率誘電体層を処理できる。キャッピング層モジュ
ールは、取り付けられたペデスタル上で処理された低誘
電率誘電体層を有する複数の基板を加工する略真空加工
システムをカセット化するためのカセットを有する。キ
ャッピング層モジュールは、好ましくは、多段真空シス
テムであり、それは、1又はそれ以上の移送チャンバを
含みかつ各々の移送チャンバがその中に基板ハンドラ
ー、1又はそれ以上のロードロックチャンバ、1又はそ
れ以上の移送チャンバと連通しておりかつ任意に1又は
それ以上のロードロックチャンバ中に配置されうる1又
はそれ以上の複数スロット基板予備加熱モジュール、及
び1又はそれ以上の移送チャンバと連通している1又は
それ以上のプラズマ強化化学付着チャンバを含む。分離
可能とは、加工領域が、排気システムを通じて隣接する
領域と選択的に連通できる、隣接する領域から分離され
た閉じこめられたプラズマゾーンを有することを意味す
る。
【0018】また、各々のPECVDチャンバ内の加工
領域は、好ましくは、各々の加工領域において基板表面
上に均一なプラズマ密度を提供するための分離ガス分配
アセンブリとRF電源を含む。PECVDチャンバは、
共有のガス源、共有の排気システム、別個のガス分配ア
センブリ、別個のRF電源及び別個の温度制御システム
によって提供される高度の加工制御で、少なくとも2つ
の基板が異なった加工領域にて同時に加工できるよう
に、複数の分離されたプロセスが少なくとも2つの領域
でほぼ同時に行われるように構成されるている。記述の
簡略化のため、加工領域又はチャンバという語は、プラ
ズマ加工がその中で行われるゾーンを指すものとして用
いることがある。
【0019】本発明の他の態様において、基板加工装置
は、高圧堆積モジュールと連結された略真空圧キャッピ
ング層モジュールである。基板加工装置は、高圧堆積モ
ジュール、高圧堆積モジュールと連通した第一の移送チ
ャンバ、第一の移送チャンバと連通したロードロックチ
ャンバ、ロードロックチャンバと連通した第二の移送チ
ャンバ、第二の移送チャンバと連通しかつ任意にロード
ロックチャンバ中に配置されうる複数スロット基板予備
加熱モジュール、第二の移送チャンバ中に配置された基
板操作部材、及び各々のチャンバが少なくとも一つの分
離された加工領域をその中に規定しておりかつ各々の加
工領域が第二の移送チャンバと連結しているところの1
又はそれ以上の加工チャンバ、を含む。
【0020】高圧堆積モジュールは、好ましくは、中多
孔性膜を製造するための加工装置を提供する多段大気圧
システムである。加工装置は、ゾルゲル前駆物質を処理
するための1又はそれ以上の基板スピナーチャンバ、均
一な直径を有する内部連通孔を好ましくは立方相構造膜
中に形成するために溶媒と水分を除くための1又はそれ
以上の基板硬化処理チャンバ、中多孔性膜を製造するた
めに膜から界面活性剤を除くための1又はそれ以上の基
板ストリッピングチャンバ(又は、アニーリングチャン
バ)、及びもしユーザーが親水性中多孔性膜を疎水性中
多孔性膜に変えることを望むなら1又はそれ以上のシリ
ル化処理チャンバ、を含む。好ましくは、複数のチャン
バがあり、各々のタイプのチャンバがモジュール内に垂
直に配置されて積み重ねられて据え付けられている。高
圧堆積モジュールは更に基板操作部材を含み、部材は、
一般にはハウジング内で全ての加工チャンバに接近でき
る二重ブレード基板ハンドラーである。
【0021】本発明の一つの態様に従って、本発明は、
低誘電率と高酸化物含量を有する中多孔性酸化物層を処
理するための方法を提供する。中多孔性酸化物層は、珪
素物質を含み、そして、例えば二重ダマスク構造を製造
するために、キャッピングモジュール中で他の誘電体材
料又はエッチングストップ層でキャッピングされうる。
低誘電率誘電体層は、ゾルゲル前駆物質を硬化処理し
て、均一な直径の内部連通孔を好ましくは立方相構造中
に有する酸化物膜を形成し、次いで、膜を、約200℃
〜約400℃の温度にて反応性酸素種を含む酸化環境に
さらして界面活性剤を除去し中間多孔性酸化物膜を形成
することにより、処理されうる。中多孔性酸化物膜は、
少なくとも50%の有孔率及び約1.6〜約2.2の誘
電率を有する。中多孔性膜はまた、中間金属誘電体層と
しても用いられうる。好ましい中間酸化物膜は、基板上
へのエタノール溶媒中のテトラエチルオルトシリレート
(TEOS)、水及び界面活性剤を含むゾルゲル前駆物
質のスピンオン付着、ゾルゲル前駆物質の硬化処理によ
る均一直径の内部連通孔の好ましくは立方相膜中への形
成、次いで、酸化環境で界面活性剤を除去することによ
って製造される。
【0022】図2A及び図3Aは、本発明のキャッピン
グモジュール120の一実施態様を概略的に図示してい
る。キャッピングモジュール120は、膜処理のための
特にはプラズマ強化化学蒸着(PECVD)によって処
理された膜をキャッピングするための略真空圧加工モジ
ュールである。略真空圧は、ここでは、約100トール
又はそれ以下の圧力と規定し、好ましくは、キャッピン
グモジュールの圧力は、約0.5トール〜約10トール
というPECVDチャンバの操作圧に近い圧力である。
モジュール120は、本体構造201上に支持された必
要な加工設備を有する内蔵システムであり、容易に据え
付けることができそして操作の素早い始動を提供する。
図2B及び図3Bに示されるように、モジュール120
は、一般には4つの領域、すなわち、基板がモジュール
120に導入されるところの工場インターフェース12
2、各々がその中に基板ハンドラー127を持つ1又は
それ以上の移送チャンバ126、ここで基板ハンドラー
127は好ましくは工場インターフェース122内に配
置された二重積み重ね冷却/予備加熱ロードロックチャ
ンバ124と連通している、移送チャンバ126に据え
付けられかつそれと連通している1又はそれ以上である
が好ましくは2つの縦列の或いは一対の加工チャンバ1
30、及びモジュール120の操作に必要な支援設備、
例えばガスパネル134、電源配分パネル136及びコ
ンピューター制御ラック138をその中に有するバック
エンド140、を含む。システムは、例えばプラズマ強
化化学蒸着(PECVD)等の種々の加工及び支援チャ
ンパーハードウエアに適合するように適応可能である。
以下に記載する実施態様は、PECVD方法、例えば中
多孔性酸化物誘電体処理加工を用いるシステムに向けら
れている。しかしながら、本発明によってこれら以外の
他の方法を用いることも予期できるということが理解さ
れるべきである。
【0023】図2Bは、本発明のキャッピング層モジュ
ール120の他の実施態様を概略的に図示している。図
2B及び3Bに示されるように、キャッピングモジュー
ル120はまた、4つの領域、すなわち、基板がモジュ
ール120に導入されるところの工場インターフェース
122、各々がその中に基板ハンドラー127A、12
7Bを持つ1又はそれ以上の移送チャンバ126A、1
26B、ここで基板ハンドラー127A、127Bは好
ましくは工場インターフェース122内に配置された二
重積み重ね冷却ロードロックチャンバ124と連通しか
つ基板予備加熱ステーション125と連通している、移
送チャンバ126A、126Bに据え付けられかつそれ
と連通している1又はそれ以上であるが好ましくは2つ
の縦列の或いは一対の加工チャンバ130、及びモジュ
ール120の操作に必要な支援設備、例えばガスパネル
134、電源配分パネル136及びコンピューター制御
ラック138をその中に有するバックエンド140、を
含む。基板予備加熱ステーション125は、一般に、複
数の垂直に配置された基板ホルダーを含み、そして基板
に熱を提供する。基板ホルダー配列と基板加熱工程は、
予備加熱ロードロックチャンバ124のための記載中に
おいて以下により詳細に開示するが、本発明は他の予備
加熱ステーションをも用いることができる。
【0024】移送チャンバ 図2Aは、本発明の加工モジュール120の一実施態様
の上面概略図を示している。加工モジュール120は、
チャンバ側壁133内に移送チャンバ126を含む。移
送チャンバは、側壁133及び底面135を含み、そし
て好ましくは、単一の物質、例えばアルミニウムから機
械加工されるか又は他の方法で作られる。移送チャンバ
126のためのふた(図中には示さず)は、真空密閉状
態を形成するために、操作の間、側壁133の上に維持
される。移送チャンバ126の側壁133は、加工チャ
ンバ130を支持し、そして、工場インターフェース1
22のための取り付けを提供する。工場インターフェー
ス122は、スリットバルブ121を介して他の移送チ
ャンバに接近できる又は加工チャンバ130中での加工
のために基板挿入位置として機能しうる、一又はそれ以
上の冷却/予備加熱ロードロックチャンバ124(以下
の図5に示す)を含みうる。移送チャンバ126の側壁
133は、それぞれの側面に通路128及び132を規
定し、そこを通してシステムの他のチャンバへの接近が
提供される。側壁133を通して配置された通路128
及び132は、2つの個々のスリットバルブ又は縦列の
スリットバルブアセンブリを用いて開閉できる。通路1
28は、基板が移送チャンバ126中に導入されうると
ころの、工場インターフェイス又は基板中継領域122
への接近を提供する。通路132は、加工領域618、
620(図9に示す)中の基板通路610と一致してお
り、基板ヒータペデスタル628上への配置のために加
工チャンバ130中の加工領域618、620中への基
板の挿入を可能とする。
【0025】加工チャンバ130及び基板中継領域12
2は、スリットバルブ開口部及びスリットバルブ12
8、132を含み、それらは、加工チャンバ130、基
板中継領域122及び移送チャンバ126間の連通を可
能とする一方、これらのチャンバ内に真空分離環境を提
供しシステム内に多段真空を可能とする。スリットバル
ブ及びスリットバルブを制御する方法は、Tepmanらによ
って米国特許第5226632号に、及びLorimerらに
よって米国特許第5363872号に開示されている。
これらの特許は、引用することにより本明細書の一部と
なる。移送チャンバ126の底面135は中央通路(図
示せず)を規定し、そこでは、例えば基板ハンドラーア
センブリ等の基板ハンドラー127が延び、そして移送
チャンバ126の底面135に据え付けられる。ガスパ
ージ孔(図示せず)は、移送チャンバ126の底面13
5を通して配置され、ポンプダウンの間パージガスを提
供する。
【0026】図2Bは、本発明の加工モジュール120
の他の実施態様の上面概略図を示している。加工モジュ
ール120の第二の実施態様は、2つの移送チャンバ1
26A、126Bをチャンバ側壁133内に含む。移送
チャンバ126A、126Bは、お互いに分離され、そ
して、工場インターフェース122、及び1又はそれ以
上の加工チャンバ130或いは1又はそれ以上の加工領
域618、620、の両者と連通しており、工場インタ
ーフェースは、好ましくは1又はそれ以上の冷却チャン
バのみを含み、そして1又はそれ以上の予備加熱ロード
ロックチャンバ124が工場インターフェイス122に
対して垂直に配置されている。移送チャンバ126A、
126Bの側壁133は、各側面に通路128及び13
2を規定し、そこを通してシステムの他のチャンバへの
接近が提供される。
【0027】キャッピングモジュールの移送チャンバ内
での基板操作 図2Aを参照する。前部端中継領域122によってキャ
ッピング層モジュール120に提供された基板は、キャ
ッピングモジュール120によって以下のように取り扱
われる。いったん前部端中継領域122に供給される
と、中継領域122に対する移送チャンバ前部真空ドア
128が閉まり、そして移送チャンバ126がポンプで
真空加工状態にされる。移送チャンバ126は、キャッ
ピングモジュール120上に配置された、一つ又は2つ
の搭載真空ポンプ(図示せず)で減圧される。十分な低
い圧力まで真空ポンプで減圧され次いでロードロック1
24中で、好ましくは予備加熱コンパートメント244
(図4中に示す)中で基板を予備加熱した後、移送チャ
ンバ126の空気作用により動かされる前部真空ドア1
28が開くと同時に、移送チャンバ126と前部端中継
領域122間のアクセスが可能となる。基板操作部材1
27は、基板中継領域122中に配置された二重積み重
ね冷却/予備加熱ロードロックチャンバ124中に保持
された基板にインデックスをつける。次いで、移送チャ
ンバ126内の基板操作部材、つまり二重ブレード移送
チャンバ基板操作部材127は、ほぼ同時に、前部端中
継領域122内に配置された二重積み重ね冷却/予備加
熱ロードロックチャンバ124の各々の積み重ねから基
板を引き出し、そしてほぼ同時に、キャッピングモジュ
ール120の構造に応じて、基板を対を成す加工チャン
バ130の加工領域618、620中に移送するか、又
は各々の基板を個々の加工チャンバ130中に移送す
る。或いは、基板は、真空ポンプの間、加工チャンバ1
30に対するスリットバルブ132の前部に予備配置さ
れうる。
【0028】いったん基板が置かれると、移送チャンバ
基板ハンドラー127は加工チャンバから引っ込みそし
てスリットバルブ132が閉じる。高圧堆積モジュール
101中で誘電体層がすでに処理された基板は、次い
で、加工チャンバ130中でPECVDによりキャッピ
ング層が処理される。加工が完了した後、スリットバル
ブ132が開き、移送チャンバ基板ハンドラー127が
基板を加工領域618、620から取り出し、そして基
板を、二重積み重ね冷却/予備加熱ロードロックチャン
バ124の冷却コンパートメント242に置く。予備加
熱モジュール124中に基板を置いた後、基板ハンドラ
ーは、インデックスの順番に示された二重積み重ね冷却
/予備加熱ロードロック124から次の一対の基板を取
り出す。次いで、この基板は、移送され、加工され、そ
して先行する基板と同じように、移送チャンバ基板ハン
ドラー127によって取り出される。この工程は、予備
加熱室124の全ての基板が、PECVD加工チャンバ
130内で加工されそして冷却室124内に置かれるま
で続く。最後の基板が加工された後、加工チャンバ13
0に対するスリットバルブ132が閉じる。
【0029】次いで、移送チャンバ126は、例えばア
ルゴン等の不活性ガスを用いて大気圧までガス抜きさ
れ、そして前部真空ドア128が開けられる。移送チャ
ンバのガス抜きは、最後の一対の基板が加工された後ス
リットバルブ132を閉じるやいなや、任意に始めるこ
とができる。このことは、最後のセットの基板が二重積
み重ね冷却/予備加熱ロードロックチャンバ124に戻
った際に、移送チャンバ126がガス抜きされることを
可能とし、キャッピングモジュール120内での加工時
間を短縮する。いったんガス抜きが完了すると、高圧堆
積モジュール101内の移送チャンバ基板ハンドラー1
12が、二重積み重ね冷却/予備加熱ロードロックチャ
ンバ124から基板を取り出し、そしてほぼ同時に、全
ての加工された基板を、高圧堆積モジュール101の前
部端中継領域102中に配置された基板カセット104
へと降ろす。
【0030】各バッチの最後の一対の基板が加工されて
加工チャンバ130から除かれ、そしてスリットバルブ
132が閉じられた後、基板の次のバッチのために加工
チャンバを準備すべく、加工チャンバクリーニング工程
が始まりうる。このことは、移送チャンバ126がガス
抜きされてそして基板が交換されている間に、クリーニ
ング工程を裏で進めることを可能とする。
【0031】高圧堆積モジュール 図3Aを参照する。本発明のキャッピングモジュール1
20の他の実施態様は、基板中継領域122を介して高
圧堆積モジュール101と連結している。高圧堆積モジ
ュール101は、好ましくは、誘電体材料例えば以下に
述べる中多孔性酸化物膜を付着し、そしてしばしば高圧
堆積モジュールと呼ばれる。高圧堆積モジュール101
は、膜を処理するための略大気圧加工モジュールであ
り、高圧又は略大気圧とは、ここでは、約300トール
又はそれより大きい圧力と定義され、好ましくは500
トールより大きい圧力である。
【0032】連結されたキャッピング層モジュール12
0及び高圧堆積モジュール101は、本発明の加工シス
テム100を形成する。基板中継領域122は、キャッ
ピング層モジュール120と高圧堆積モジュール101
の間を基板を移送するために、二重積み重ね冷却/予備
加熱ロードロックチャンバ124を用いる。高圧堆積モ
ジュール101は、好ましくは、それぞれのスリットバ
ルブ113を持つ1又はそれ以上の基板スピナーチャン
バ114、それぞれのスリットバルブ115を持つ1又
はそれ以上の硬化処理チャンバ116、それぞれのスリ
ットバルブ117を持つ1又はそれ以上の基板ストリッ
ピングチャンバ118、それぞれのスリットバルブ11
9を持つ1又はそれ以上のシリル化処理チャンバ12
3、冷却ステーション111中の二重積み重ね冷却ステ
ーション110、及び高圧堆積モジュール101の移送
チャンバ108中に配置された基板操作部材112、を
含む多段圧力環境システムである。好ましくは、スピナ
ーチャンバ114,硬化処理チャンバ116,ストリッ
ピングチャンバ118及びシリル化チャンバ123のそ
れぞれが少なくとも一つあり、各々のタイプのチャンバ
が、高圧堆積モジュール101の移送チャンバ108内
に、垂直に空間を開けて積み重ねられて据え付けられて
いる。
【0033】図3Bに示されるように、チャンバ、例え
ば1又はそれ以上の基板硬化処理チャンバ116が、十
分な空間を維持してロードロック124上に又は中に据
え付けられうる。基板操作部材112は、一般には2つ
のアーム型基板ハンドラー112であり、好ましくは、
独立して回転運動する2つのアームを有し、個々のアー
ムはモジュール101の移送チャンバ108内の種々の
チャンバにアクセスできる。或いは、2つのアーム型基
板ハンドラー112は、縦列して動くアームを有すこと
もでき、そして好ましくは、キャッピング層モジュール
120の基板ハンドラー127と同じ型のものである。
【0034】加工システム100の高圧堆積モジュール
101の前部端中継領域102は、典型的には、高圧堆
積モジュール101の移送チャンバ108に連結した中
継台102上にお互いに水平方向に空間を開けた関係に
て据え付けられた1又はそれ以上の基板カセット106
を有する。基板カセット106は、空間を開けて垂直に
配置された状態で据え付けられた複数の基板を支持する
ように適用されている。基板カセット106は、好まし
くは、積み重ねられた垂直な配置にその中に置かれた基
板を支持するために、垂直の関係において空間を空けて
配置された2又はそれ以上のカセットプレート(図示せ
ず)又は他の基板支持体を含む。基板休止部103は、
冷却ステーション111とロードロック106の間の基
板の交換の際に基板に冷却休止を提供するために、冷却
ステーション111中の二重に積み重ねられた冷却ステ
ーション110とロードロック106の間に配置されて
うる。或いは、基板休止部103は、加工のためにモジ
ュール101中に送られる基板のための予備加熱ステー
ションを提供しうる。
【0035】一対の基板ハンドラー又は多段基板ハンド
ラー104は、前部端中継領域102中に配置される。
多段基板ハンドラー104は、高圧堆積モジュール10
1又は高圧堆積モジュール101の基板カセット106
中に基板を提供しかつそこから基板を除くように適用さ
れており、多段基板ハンドラー104は、好ましくは、
高圧堆積モジュール101の二重積み重ね冷却ステーシ
ョン110と基板カセット106との間に配置される。
好ましくは、多段基板ハンドラー104は、基板を高圧
堆積モジュール101中に提供するための用意に、各基
板カセット106中の基板を検索するための基板インデ
ックスシステムを含む。本発明のシステム中に有利に用
いられる、基板マッピングシステムを有する基板ハンド
ラーの一つは、カリフォルニア州、サニーベール(Sunn
yvale)にあるEquippe Technologies社から、モデルA
TM105又は107として入手可能である。基板マッ
ピングセンサーは、誘電体層の処理のために、基板を高
圧堆積モジュール101の移送チャンバ108中に移送
する前に、カセット106中の基板の数と基板の方向を
確認する。
【0036】図3Aに示された高圧堆積モジュール10
1は、2つの垂直に積み重ねられた二重基板スピナーチ
ャンバ114、4つの垂直に積み重ねられた基板硬化処
理チャンバ116の2つの列、4つの対の垂直に積み重
なられた基板ストリッピングチャンバ118及びシリル
化処理チャンバ123を含む。全ての垂直に積み重ねら
れたチャンバは、チャンバ114、116、118、1
23に対して中心に配置された基板ハンドラー112に
向いている。
【0037】高圧堆積モジュール中の基板操作 誘電体基板操作工程は、多段基板ハンドラー104が各
基板カセット106中の基板にインデックスをつけるこ
とによって始まる。いったんインデックスが成される
と、基板は、多段基板ハンドラー104によって、冷却
ステーション111中の二重積み重ね冷却ステーション
110へと移送される。高圧堆積モジュール基板ハンド
ラー112は、二重積み重ね冷却ステーション110か
ら基板を取り戻し、そしてゾルゲル前駆物質層を処理す
るために基板を誘電体基板スピナーチャンバ114へと
移送する。モジュール基板ハンドラー112は、加工が
始まる前に基板スピナーチャンバ114を満たすことも
でき、または、複数のスピナーチャンバのためにプログ
ラムされて1又はそれ以上のスピナーモジュール114
が基板を加工している間に基板スピナーモジュール11
4中に基板を配置できる。いったんゾルゲル前駆物質が
処理されると、モジュール基板ハンドラー112は、基
板を取り戻しそして基板を硬化処理又は焼き付け処理チ
ャンバ116へと移送する。誘電体層の処理順序におけ
る他の工程に比べて硬化処理は比較的長いので、適当な
より大きな数の硬化処理チャンバ116,好ましくは2
つの二重基板スピナーチャンバ114に対して約8の硬
化処理チャンバが、モジュール101の移送チャンバ1
08中に配置される。モジュール基板ハンドラー112
は、加工に先だって硬化処理チャンバ116がスピンオ
ン処理済み基板で満たされるようにプログラムされるこ
ともでき、また、所望に応じて硬化処理チャンバ116
中に基板を充填及び取り出しするようにプログラムされ
ることもできる。次いで、所望の量の硬化処理が達成さ
れ、基板は基板ストリッピングチャンバ118へと移送
される。基板は、硬化されたゾルゲル前駆物質中に残っ
ている界面活性剤を除くためにオゾンストリッパー中に
置かれる。同時に、示してはいないが、オゾンストリッ
プの準備のため或いはオゾンストリップ以外によって中
多孔性膜を形成する他の方法を提供するために、基板を
アニールして水分、溶媒又は界面活性剤を基板から除く
ための任意のアニールチャンバが、モジュール101の
移送チャンバ108中に配置されうる。
【0038】処理された誘電体膜がシリル化されるべき
である場合は、基板は次いで、基板ストリッピングチャ
ンバ118から取り戻されそしてシリル化チャンバ12
3へと移送される。或いは、キャッピング層を処理する
ために、基板は、キャッピング層モジュール120のた
めの基板中継領域122へと移送される。シリル化チャ
ンバ123又はキャッピングモジュール120のいずれ
かでいったん加工されると、基板ハンドラー112が基
板を取り戻し、そして基板を二重積み重ね冷却ステーシ
ョン110を介して基板カセット106へと移送する。
【0039】前部端中継領域 再び図2及び3を参照する。工場インターフェース又は
基板中継領域122は、大気圧装置であり、基板中継領
域から、典型的には大気圧又はそれに近い圧力で操作さ
れるチャンバ、例えば真空ポンプをかける前の高圧堆積
モジュール101への素早い移送を可能とする。図3A
は、モジュール101の前部端中継領域102を示して
おり、それは、好ましくは、加工のために二重積み重ね
冷却/予備加熱ロードロックチャンバ124内に据え付
けられた1又はそれ以上の基板カセットを有する二重に
積み重ねた冷却/予備加熱ロードロックチャンバ124
を含む。基板カセットは、垂直関係にて空間を開けて複
数の基板を支持するように設計されており、そこでは、
基板操作部材112、117は、基板カセットの反対側
から基板を挿入したり取り戻したりできる。図2Aに示
された他の実施態様においては、ロードロックチャンバ
124はまた、モジュール101と120間の基板移送
のためにそして別のチャンバ内で成される予備加熱のた
めの冷却ステーションとして機能する。
【0040】加工の前後に冷却/予備加熱ロードロック
チャンバ124内に納められた基板は、移送チャンバ側
壁133を貫通して配置された1又はそれ以上の移送チ
ャンバドア128(図2A中に示す)を通じてモジュー
ル120中に提供される。移送チャンバ126中の基板
ハンドラー127は、冷却/予備加熱ロードロックチャ
ンバ124及び移送チャンバドア128に隣接して配置
されている。好ましくは、基板ハンドラー127は、移
送チャンバ126に据え付けられた加工チャンバ130
中に基板を入れかつ取り出すための用意に、個々の基板
カセット中の基板にインデックスをつけるための基板マ
ッピングシステムを含む。
【0041】ロードロックは、高圧堆積モジュール10
1からロードロックチャンバ124へと基板を移送する
ために大気圧であるので、基板ハンドラー127は、他
の基板ハンドラー112(図3A中に示す)と同時にロ
ードロックチャンバ124中に入ることができる。移送
チャンバ126の側面の開口部128は、移送チャンバ
126の真空ポンプ作動に先だって閉じられ、そして真
空ポンプの作動は、キャッピング層の処理のために基板
が加工チャンバ130中への移送される前に成される。
【0042】二重位置ロードロックチャンバ 図4は、本発明の冷却/予備加熱ロードロックチャンバ
124のカッタウェイ透視図である。冷却/予備加熱ロ
ードロックチャンバ124は、チャンバ壁202、底面
204、及びふた206を含む。チャンバ124は、2
つの別々の環境又はコンパートメント242、244、
及び移送領域246を含む。コンパートメント242、
244は、その中で基板を支持するために、それぞれの
コンパートメント242、244中に基板カセットを含
む。各々のコンパートメント242、244は、コンパ
ートメント242、244の底面と上面を規定する支持
台248及び上部台250を含む。支持壁252は、ペ
デスタル248、250を空間を開けた関係に維持する
ために、コンパートメント242、244内に垂直に配
置されうる。移送領域246は、冷却/予備加熱ロード
ロックチャンバ124から移送チャンバ108、126
へのアクセスを提供するために1又はそれ以上の通路1
21を含む。通路121は、好ましくは、スリットバル
ブ及びスリットバルブアクチュエーターを用いて開閉さ
れる。
【0043】コンパートメント242は、移送チャンバ
108の加工チャンバ中又はキャッピングモジュール中
での加工に引き続き、基板のために冷却ステーションを
提供する。図2Aに示した他の実施態様においては、両
方のコンパートメント242及び244が、移送チャン
バ108の加工チャンバ中又はキャッピングモジュール
120中での加工に引き続き、基板に冷却ステーション
を提供しうる。
【0044】コンパートメント244は、コンパートメ
ント242に関しては選択的に加熱され、その結果、キ
ャッピングモジュール120の加工チャンバ130内で
の基板の加工に先立って予備加熱モジュールとして働
く。加熱コンパートメント244は、好ましくは、その
中で個々の基板を加熱するための発熱体、例えば、加熱
ランプ、液体熱交換機又は抵抗発熱体を有し、又は、コ
ンパートメント244内の全ての基板を同時に加熱する
ための発熱体を有しうる。ロードロック124の他の実
施態様においては、硬化処理モジュール116は、予備
加熱コンパートメント244内に据え付けられることが
でき、それによって、空間を効率的に節約する一方、モ
ジュール120内での加工に先立って、処理された膜の
硬化又は基板の予備加熱を提供する。
【0045】コンパートメント242、244は、各々
エレベータシャフト224に連結しており、シャフトの
それぞれは、室を冷却/予備加熱ロードロックチャンバ
124内にて上下方向に動かすために、モーター例えば
ステッパモーター等に連結している。シーリングフラン
ジ256は、コンパートメント242の支持ペデスタル
248のためのシーリング表面を提供するために、冷却
/予備加熱ロードロックチャンバ124内の周囲に配置
されている。シーリングフランジ258は、コンパート
メント244の支持ペデスタル250のためのシーリン
グ表面を提供するために同様に配置されている。コンパ
ートメント242、244は、シーリングフランジ25
6、258によって互いに隔離され、冷却/予備加熱ロ
ードロックチャンバ124内に、コンパートメント24
2,244の独立した多段真空を提供する。
【0046】後方圧は、その中に配置された減圧穴を通
じて空間260,262内で維持されている。真空ポン
プは、排気ライン264を通じて空間260、262に
連結され、シーリングフランジ256、258に接する
ペデスタル248、250のシーリングを補助するため
に、空間260、262内に高真空を提供している。
【0047】操作においては、コンパートメント24
2、244は、図4に示された位置にて荷が挿入され又
は取り出される。ローディングドア及びアクチュエータ
ー(図示せず)は、コンパートメント242、244に
対応する冷却/予備加熱ロードロックチャンバ124の
上限及び下限にて、前部の壁(図示せず)を通して提供
される。選択された室中の圧は、基板を室中に入れた
後、排気管287、289を介してポンプで空気が抜か
れ、そして選択された室は移送領域246中へと移動さ
れる。コンパートメント242、244は、ステッパモ
ーターにより移送領域246中に独立して移動する。上
部及び下部のコンパートメント242、244を有する
利点は、あるセットの基板の加工が、第二のセットの基
板が他の室中に挿入されている間に行われることであ
り、そして、室が適当な圧までポンプで減圧されて、移
送領域246中に移動されかつ移送チャンバ108、1
26と連通しうることである。
【0048】移送チャンバ基板ハンドラー 図5は、移送チャンバ126内(又は、移送チャンバ1
08内、これは詳細に上記した)を自由に回転するため
に引き込み位置にある、本発明の磁気的に連結された基
板ハンドラー500の一実施態様の上面概略図である。
二重基板操作ブレード520、522を有する基板ハン
ドラーは、移送チャンバ126内に配置されて、基板5
02を一つのチャンバから他のチャンバへと移送する。
本発明において有利に用いられそして改良することがで
きる「超高生産性」(VHP)タイプの基板ハンドラー
は、1995年11月21日に発行された米国特許第5
469035号、発明の名称「Two-axis Magnetically
Coupled Substrate handler」に記載されており、そし
てこの特許は引用することにより本発明の一部をなす。
【0049】磁気的に結合された基板ハンドラー500
は、2つの真空側面ハブ(これはまた、磁気クランプと
呼ばれる)の間に連結されたかえる足タイプのアセンブ
リ、及び二重基板ブレード520、522を含み、固定
された平面内での基板ハンドラーブレードの放射状及び
回転運動を提供する。放射状及び回転運動は、システム
100内の一つの位置から他の位置へと、例えば一つの
加工チャンバ130から他のチャンバ例えばロードロッ
ク124へと2つの基板を持ち上げ、移送し、そして届
けるために調和又は協力しうる。図2B中に示された実
施態様においては、一本のアームを有するロボットが、
移送チャンバ126A、126B内に配置されている。
【0050】基板ハンドラーは、位置525において第
一の磁気クランプ524に固く取り付けられた第一のス
トラット504、及び位置527において第二の磁気ク
ランプ526(第一の磁気クランプ524の下に同一中
心にて配置されている)に固く取り付けられた第二のス
トラット506を含む。第三のストラット508は、ピ
ボット510によりストラット504にそしてピボット
512により基板ブレードアセンブリ540に取り付け
られている。第四のストラット514は、ピボット51
6によりストラット506にそしてピボット518によ
り基板ブレードアセンブリ540に取り付けられてい
る。ストラットの構造504、508、506、514
及びピボット510、512、516,518は、基板
ブレードアセンブリ540と磁気クランプ524、52
6間にかえる足タイプの連結を形成する。
【0051】磁気クランプ524、526が、同一の角
速度にて同一の方向に回転すると、基板ハンドラー50
0もまた同一の角速度にて同一の方向に軸Aの周りを回
転する。磁気クランプ524、526が、同一の絶対角
速度で反対方向に回転すると、アセンブリ500の回転
は起こらないが、図6で図示された位置に対して基板ブ
レードアセンブリ540の線形放射状の動きが起こる。
【0052】2つの基板502をチャンバ130の加工
領域618、620中に又はそこから移送するため、個
々の基板ブレード520、522が移送チャンバ126
の側壁133にある個々の基板通路132を通じて伸び
ることができることを図示するために、2つの基板50
2は、基板ブレードアセンブリ540上に装填された状
態で示されている。磁気的に結合された基板ハンドラー
500は、2つのモーターの相対速度に対応する磁気ク
ランプ524、526の相対回転運動により制御され
る。第一の操作モードは、両方のモーターが磁気クラン
プ524、526を同一速度にて同一の方向に回転する
ことにより提供される。このモードは磁気クランプの相
対的運動を起こさないので、基板ハンドラーは中心軸A
の周りを単に回転するだけであり、典型的には、一つの
対の加工領域618、620について基板交換に適した
位置から、他の対の加工領域について基板交換に適した
位置へと回転する。
【0053】更に、完全に引っ込んだ基板ハンドラーが
中心軸Aの周りを回転するに伴って、基板の端に沿った
最も外側の放射状地点548は、基板ハンドラーを回転
するために要求される最小円形領域550を規定する。
磁気的に結合された基板ハンドラーはまた、両方のモー
ターが磁気クランプ524、526を同一速度にて反対
方向に回転することにより第二のモードを提供する。こ
の第二のモードは、基板ブレードアセンブリ540の基
板ブレード520、522を、通路132を通じて加工
領域618、620中へと伸ばすために、或いは逆にそ
こからブレードを引っ込めるために用いられる。基板ハ
ンドラー500が軸Aの周りを回転している際に、基板
ブレードアセンブリ540のほぼ同時の伸長又は引き込
みを提供するために他のモーター回転の組み合わせを用
いることもできる。
【0054】基板ブレードアセンブリ540の基板ブレ
ード520、522を回転軸Aから放射状方向に離した
状態で維持するため、連動機構が、各ピボットの同一又
は反対の角回転を保証するためにピボット又はカム51
2、518の間にて用いられる。連動機構は、多くの設
計を採ることができ、例えば、8の字パターンにピボッ
トの周りに備えられたかみ合いギア又はストラップ、或
いはそれの均等物がある。一つの好ましい連動機構は、
基板ブレードアセンブリ540のピボット512、51
8の間に連結されそしてそれらの間を伸びる一対の金属
ストラップ542及び544である。ストラップ54
2,544は、ピボット512、518と連結してい
る。好ましくは、ストラップ542、544は、個々に
調整可能であり、一方が他方の上に位置する。図5及び
6において示されているように、ストラップはまた、U
字型の二重ブレードの基台にてロッド546の周囲を通
過している。二重ブレード縦列基板ハンドラーが移送チ
ャンバ126内で用いられる際は、上記の基板ハンドラ
ーが用いられるのが好ましい。
【0055】図6は、伸長位置にある、図5の基板ハン
ドラーアームとブレードアセンブリを示している。この
伸長は、磁気クランプ526の時計方向の、そして磁気
クランプ524の反時計方向の、同時に起こりかつ同じ
回転によって達成される。基板ブレードアセンブリ54
0の個々のブレード520、522は、通路132を通
って伸びそしてペデスタル628(図8参照)。上の中
央に基板502を置くのに十分な長さである。いったん
基板502が一対のリフトピンアセンブリによってブレ
ードから持ち上げられると、次いで、ブレードは引っ込
みそして通路132は上記したスリットバルブ及びアク
チュエーターによって閉じる。
【0056】ストリッピングチャンバ 図7は、本発明の例示的な基板ストリッピングチャンバ
の横断面図である。特に、図7は、処理された膜の非反
応性ガスアニール及び酸化ガスストリップのいずれも行
える高速熱アニールチャンバである。基板ストリッピン
グチャンバ又は高速熱アニール(RTA)チャンバ11
8は、好ましくは移送チャンバ108に連結している。
図2及び3に示されているように、高圧堆積モジュール
101は、好ましくは、2つのRTAチャンバ118を
含み、それらは好ましくはキャッピングモジュール12
0から見て移送チャンバ108の反対側に配置され、基
板は、基板ハンドラー112によってTRAチャンバ1
18内へとそしてそこから外へと移送される。
【0057】熱アニール加工チャンバは、本技術分野に
おいて一般的によく知られており、そして高速熱アニー
ルチャンバは典型的には、処理された物質の特性を改変
するために基板加工システムにおいて用いられる。本発
明に従って、アニーリングチャンバ118は、界面活性
剤を除くために、反応性ガスの存在下での高温アニール
による界面活性剤はぎ取り又は露出した膜の酸化を行う
ために用いられる。本発明に有用な一つの特別な熱アニ
ールチャンバは、カリフォルニア州、サンタクララにあ
るアプライドマテリアル社から入手可能なWxZチャン
バである。本発明は熱板高速熱アニールチャンバを用い
て述べているが、本発明の方法を達成するために、本発
明は他の熱アニールチャンバの適用をも含むものであ
る。
【0058】RTAチャンバ118は一般的に、囲い室
902、ヒータプレート904,ヒータ907、及び複
数の基板支持ピン906を含む。囲い室902は、基部
908、側壁910及び上面912を含む。好ましく
は、冷却板913が囲い室の上面912の下に配置され
ている。或いは、冷却板は、一体化されて、囲い室の上
面912の一部を形成している。好ましくは、反射絶縁
体皿914が、基部908上の囲い室902内に配置さ
れる。反射絶縁体皿914は典型的には、例えば石英、
アルミナ等の物質、又は高温(すなわち、約500℃よ
り高温)に耐えられる他の物質から作られ、そしてヒー
タ907と囲い部902の間の熱絶縁体として機能す
る。皿914はまた、反射物質、例えば金で被覆され
て、ヒータプレート906を裏から直接熱することがで
きる。
【0059】ヒータプレート904は、好ましくはシス
テム中で加工される基板に比べて大きな質量を有し、そ
して好ましくは炭化珪素、石英等の物質、又はRTAチ
ャンバ118中の周囲ガスと或いは基板物質と反応しな
い他の物質から作られる。ヒータ907は典型的には、
抵抗性の発熱体又は伝導性/放射性の熱源を含み、加熱
プレート906と反射絶縁体皿914の間に配置され
る。ヒータ907は、ヒータ907を加熱するために必
要なエネルギーを供給する電源916に連結されてい
る。好ましくは、熱電対920は、導管922中に配置
される。そしてそれは、基部908及び皿914を通っ
て配置され、ヒータプレート904中まで伸びている。
熱電対920は、コントローラー921に連結され、コ
ントローラー921に測定温度を提供する。次いで、コ
ントローラー921は、測定温度及び所望のアニール温
度に従って、ヒータ907によって供給される熱を増加
又は減少する。
【0060】囲い室902は、好ましくは、囲い室90
2を冷却するために側壁910と熱接触する状態にて、
囲い室902の外部に配置された冷却部材918を含
む。或いは、1又はそれ以上の冷却路(図示せず)を側
壁910内に形成し、囲い室902の温度を制御する。
上面912の内側表面上に配置された冷却板913は、
冷却板913に接近して置かれた基板を冷却する。
【0061】RTAチャンバ118は、RTAチャンバ
118内へのそしてそこから外への基板の移送を容易に
するために、囲い部902の側壁910上に配置された
スリットバルブ922を含む。スリットバルブ922
は、移送チャンバ108と連通している囲い部の側壁9
10上の開口部924を選択的に閉じる。基板ハンドラ
ー112は、開口部924を通じて、RTAチャンバ内
へのそしてそこから外へ基板を移送する。
【0062】基板支持ピン906は、好ましくは、石
英、酸化アルミニウム、酸化珪素又は他の高温耐性物質
から形成された、遠方へと先細になった部材を含む。各
々の基板支持ピン906は、管状の導管926内に配置
され、そして導管は、好ましくは熱及び酸化耐性物質か
ら作られて、ヒータプレート904を通って伸びる。基
板支持ピン906は、均一な挙動で基板支持ピン906
を動かすためにリフトプレート928に連結されてい
る。リフトプレート928は、リフトシャフト932を
介してアクチューエーター930、例えばステッパモー
ターに取り付けられており、リフトシャフト932は、
RTAチャンバ内の種々の垂直位置に基板を置くことを
容易とするためにリフトプレート928を動かす。リフ
トシャフト932は、囲い室902の基部908を通っ
て伸び、そしてシャフトの周りに配置されたシーリング
フランジ934によってシールされる。
【0063】RTAチャンバ118内に基板を移送する
ために、スリットバルブ922が開き、そして挿入ステ
ーション移送基板ハンドラー228が、RTAチャンバ
の開口部924を通ってその基板ハンドラーブレードを
伸ばして基板をその上に置く。挿入ステーション移送基
板ハンドラー228の基板ハンドラーブレードは、RT
Aチャンバ内の基板をヒータプレート904上に置き、
そして基板支持ピン906が基板ハンドラーブレードの
上側に基板を持ち上げるために上方に伸ばされる。次い
で、基板ハンドラーブレードは引っ込んでRTAチャン
バから出て、そしてスリットバルブ922が開口を閉じ
る。基板支持ピン906は、次いで、基板をヒータプレ
ート904から所望の距離に下げるために引っ込む。任
意に、基板支持ピン906は完全に引っ込んで、基板を
ヒータプレートに直接接触するように配置することもで
きる。
【0064】好ましくは、ガス入口936が囲い部90
2の側壁910を貫通するように配置され、アニール処
理加工の間、選択されたガスがRTAチャンバ内に流れ
込むのを可能とする。ガス入口936は、RTAチャン
バ118内へのガスの流を制御するために、バルブ94
0を通じてガス供給源938に連結している。ガス供給
源938は、高温アニーリングのために非反応性ガスを
提供でき、また、露出した基板膜の酸化のために、酸化
ガス好ましくはオゾンプラズマをアニーリングチャンバ
118に供給する遠隔ユニットでありうる。ガス出口9
42が好ましくは、囲い部902の側壁910の低い部
分に配置され、RTAチャンバ内のガスを排気し、そし
て好ましくは逃し/点検バルブ944に連結されてチャ
ンバ外からの大気の逆流を防ぐ。任意に、ガス出口94
2は真空ポンプ(図示せず)に連結されて、アニール処
理の間、RTAチャンバを所望の真空レベルに排気す
る。
【0065】本発明に従って、酸化膜の処理後に、基板
はRTAチャンバ118内でアニールされる。好ましく
は、高温非反応性ガスアニールのために、RTAチャン
バ118は、略大気圧に維持され、そしてRTAチャン
バ内の酸素含量は、アニール処理工程の間、約100p
pm未満に制御される。好ましくは、RTAチャンバ1
18内の周囲環境は、窒素(N2)又は窒素(N2)と4
%未満の水素(H2)の混合物を含み、そしてRTAチ
ャンバ118内への周囲ガスの流れは、酸素含量を10
0ppm未満に制御するために20リットル/分より大
きく維持される。基板は、約200℃〜約450℃の温
度で約30秒〜約30分の間、更に好ましくは、約40
0℃〜約450℃の温度で約30秒〜約5分の間でアニ
ールされる。高速熱アニール加工は、典型的には、少な
くとも毎秒50℃の温度上昇を必要とする。アニール処
理の間、基板に要求される温度上昇率を提供するため
に、ヒータプレートは好ましくは約350℃〜約450
℃の間で維持され、そして基板は好ましくは、ヒータプ
レートから約0mm(すなわち、ヒータプレートに接触
している)〜約20mmの間に、アニール処理工程の間、
維持される。
【0066】基板の酸化ストリップのために、RTAチ
ャンバ118は、約1トール〜約10トールの圧力に近
い圧力に維持され、酸化ガスは、高温にて酸素及びオゾ
ン、或いはプラズマを含んだ酸素を含む。好ましくは、
酸化は、酸素に敏感でない又は酸素と反応しない物質を
含む基板表面で好ましくは行われる。好ましくは、RT
Aチャンバ118内への酸化ガスの流れは、高流速例え
ば20リットル/分より大きい流速に維持され、基板上
の露出された膜の通過酸化ストリップを提供する。酸化
ストリップ加工の間、基板は約200℃〜約450℃の
温度に約30秒〜約30分の間、もっと好ましくは約3
50℃〜約400℃の温度に約30秒〜約5分の間加熱
される。酸化ガスは、酸素供給源(図示せず)から受け
取り、酸素供給源はまたガスを処理して、遠隔のプラズ
マ発生装置RF又は遠隔のマイクロ波発生装置(図示せ
ず)から酸素種を提供する。
【0067】ストリッピング加工が完了した後、基板支
持ピン906は、基板をRTAチャンバ118外への移
送のための位置に持ち上げる。スリットバルブ922が
開き、そして移送チャンバ108の基板ハンドラー11
2がRTAチャンバ中に伸ばされて基板の下に配置され
る。基板支持ピン906が引っ込み、基板を基板ハンド
ラーブレード上へと下降させ、次いで、基板ハンドラー
ブレードがRTAチャンバ中から外へと引っ込む。
【0068】加工チャンバ 図8は、縦列加工チャンバ130の一実施態様の透視図
を示している。チャンバ本体602は、移送チャンバ1
26に据え付けられているかさもなければ連結されてお
り、そして個々の基板が同時に加工されるところの2つ
の加工領域を含む。チャンバ本体602はふた604を
支持し、ふたは、チャンバ本体602にヒンジ式に取り
付けられ、そして、そこを通って配置された1又はそれ
以上のガス分配システム608を含み、複数の加工領域
に反応物質及びクリーニングガスを供給する。
【0069】図9は、2つの加工領域618、620を
規定するチャンバ126の概略横断面図を示している。
チャンバ本体602は、2つの加工領域618、620
を規定する側壁612、室内壁614及び底面壁616
を含む。各々の加工領域618、620中の底面壁61
6は、少なくとも2つの通路622、624を規定して
おり、そこを通ってペデスタルヒータ628の軸626
と基板リフトピンアセンブリのロッド630がそれぞれ
配置されている。ペデスタルリフトアセンブリ及び基板
リフトは、以下に詳細に記載する。
【0070】側壁612及び室内壁614は、2つの筒
環状の加工領域618、620を規定する。周囲のポン
プ路625が、加工領域618、620からガスを排気
するため及び各領域618、620内の圧力を制御する
ために、筒状加工領域618、620を規定するチャン
バ壁中に形成される。チャンバライナー又は挿入物62
7は、好ましくはセラミック等から作られ、各加工領域
618、620内に配置されて、各加工領域の側面境界
を規定し、そしてチャンバ壁612、614を腐食性加
工環境から保護しかつ電極間に電気的に分離されたプラ
ズマ環境を維持する。ライナー627は、各加工領域6
18、620の壁612、614内に形成された棚62
9上にてチャンバ内で支持されている。ライナーは、そ
こを通ってそしてチャンバ壁内に形成されたポンプ路6
25と連通するように配置された、複数の排気口631
または周囲のスロットをむ。好ましくは、約24の口6
31が各ライナー627を通って配置され、それらは約
15度離れるように空間を開けてそして加工領域61
8、620の周囲の周りに配置されている。24の口が
好ましいが、所望のポンプ速度及び均一性を達成するた
めに、任意の数を用いることができる。口の数に加え
て、ガス分配システムのフェースプレートとの関係での
口の高さも、加工の間、基板上に最適のガス流パターン
が提供できるように制御されている。
【0071】図11は、本発明の排気システムを説明し
ているチャンバの横断面図を示している。各加工領域6
18、620のポンプ路625は、好ましくは共通の排
気路619を介して共通の排気ポンプに連結しいてい
る。排気路619は、排気管621によって各領域61
8、620のポンプ路625に連結している。排気路6
19は、排気ライン(図示せず)を介して排気ポンプ
(図示せず)に連結しいている。各領域は、好ましくは
ポンプによって選択された圧まで減圧され、そして連結
された排気システムは各領域内の圧の一律化を可能とす
る。ポンプは、好ましくは、超低振動でミリトールの圧
を提供することができる高真空ターボポンプである。有
利に用いられる一つの真空供給源は、Edward High Vacu
umから入手可能である。
【0072】図9の参照に戻る。各々の加工領域61
8、620はまた、好ましくは、加工領域618、62
0中にガスを、好ましくは同じガス源から供給するため
の、チャンバふた604を通して配置されるガス分配ア
センブリ608を含む。各々の加工領域のガス分配シス
テム608は、ガスをシャワーヘッドアセンブリ642
に供給するガス入口通路640を含む。シャワーヘッド
アセンブリ642は、フェースプレート646と中間に
配置されたブロッカープレート644を有する環状のベ
ースプレート648から構成される。RFフィードスル
ーは、シャワーヘッドアセンブリにバイアス電位を提供
し、シャワーヘッドアセンブリのフェースプレート64
6とヒータペデスタル628との間でのプラズマの生成
を容易にする。冷却路652は、各ガス分配システム6
08のベースプレート648中に形成されて操作の間プ
レートを冷却する。入口655は、例えば水等の冷却液
を、冷却剤ライン657によって互いに連結された冷却
路652に供給する。冷却液は冷却剤出口659を通っ
て出ていく。或いは、冷却液は、マニフォールドを通っ
て循環される。
【0073】チャンバ本体602は、ガス分配システム
を通じてチャンバ中に供給されるべき、選択された加工
に適した各反応性ガスとクリーニングガスのために複数
の垂直のガス通路を規定する。ガス入口連結部641
は、チャンバ126の底に配置され、チャンバ壁中に形
成されるガス通路をガス入口ライン639に連結する。
図11に示すように、O―リングが、チャンバ壁の上部
表面上にて、チャンバ壁を通って形成された各々のガス
通路のまわりに提供され、ふたとのシーリング連結を提
供する。図10に示すように、ふたは、整合通路を含
み、チャンバ壁の低い場所からチャンバふたの上面上に
配置されたガス入力マニホールド670中へとガスを供
給する。反応性ガスは、電圧勾配フィードスルー672
を通じて、そして、ガス分配アセンブリに連結されたガ
ス出口マニフォールド674中へと供給される。
【0074】ガス入力マニホールド670は、加工ガス
を、チャンバガスフィードスルーからアースされている
一定電圧勾配ガスフィードスルー中へと導く。ガスフィ
ード管(図示せず)は、加工ガスを、電圧勾配ガスフィ
ードスルー672を通してそして出口マニホールド67
4中へと供給し或いはそこへの経路を定める。抵抗性の
スリーブがガスフィード管を取り巻き、フィードスルー
を横切って線形電圧降下を作り、チャンバ中のプラズマ
がガスフィード管中へと移動するのを防ぐ。ガスフィー
ド管は好ましくは石英から作られ、そしてスリーブは好
ましくは複合セラミックから作られる。ガスフィード管
は分離ブロック内に配置され、分離ブロックは冷却剤を
含み、温度を制御し、かつ熱放射を防ぎそしてまた加工
ガスの液化を防ぐ。好ましくは、絶縁ブロックは、Delr
in(商標)アセタール樹脂から作られる。石英フィード
管は、ガスを、ブロッカープレート644へと加工ガス
を導くガス出口マニホールド674中或いはガス分配プ
レート646中へと供給する。
【0075】ガス入力マニホールド670(図10参
照)はまた、クリーニングガスをチャンバガスフィード
スルーから遠隔プラズマ源(図示せず)中へと供給する
通路を規定する。これらのガスは、電圧勾配フィードス
ルーを迂回しそして遠隔プラズマ源中へと供給され、そ
こではガスがさまざまな励起種へと活性化される。次い
で、励起種は、ガス入口通路640中に配置された導管
を通って、ブロッカープレートのすぐ下のガス分配プレ
ートへと供給される。
【0076】各加工領域のガス分配システム中へとガス
を提供するガスライン639は、好ましくは、単一ガス
源ラインに連結しており、従って、各加工領域618、
620へのガス供給のために共有又は共通で制御されて
いる。加工ガスをマルチゾーンチャンバへ供給するガス
ラインは、複数の加工領域へと供給するために、t型カ
ップリングによって分かれている。各加工領域へ供給す
る個々のライン中の流れを容易とするために、フィルタ
ー、例えば焼結ニッケルフィルターがガスラインのスプ
リッターの上流に配置されている。フィルターは、分離
したガスフィードライン中へのガスの同等な分配及び流
れを促進する。
【0077】ガス分配システムは、その底部表面に近接
して配置されたブロッカープレート644を有するベー
スプレート648を含む。フェースプレート646は、
ブロッカープレート644の下に配置され、ガスを加工
領域618、620中へと供給する。一つの実施態様に
おいて、ベースプレート648は、そこを通してガス通
路を規定し、ブロッカープレート644のすぐ上の領域
へと加工ガスを供給する。ブロッカープレート644
は、その上部表面上に加工ガスを分散させ、そしてフェ
ースプレート646上にガスを供給する。ブロッカープ
レート644中の穴は、加工ガスの混合とフェースプレ
ート646上への分配を促進するような大きさと位置に
設定できる。フェースプレート646へと供給されたガ
スは、次いで、加工のために配置された基板上で均一に
なるように、加工領域618、620中へと供給され
る。
【0078】ガスフェード管(図示せず)は、ガス通路
中に配置され、そして一端にて、遠隔プラズマ源からの
出口ラインと連結している。ガスフィード管の一つの端
は、ガス出口マニホールドを通って伸び、遠隔プラズマ
源からガスを供給する。ガスフィード管の他端は、ブロ
ッカープレート644を通って配置され、ブロッカープ
レート644を超えてフェースプレート646のすぐ上
の領域へとガスを供給する。フェースプレート646
は、ガスフィード管を通って供給されたガスを分散し、
次いで、ガスを加工領域へと供給する。
【0079】これは好ましいガス分配システムである
が、遠隔プラズマ源からのガスは、チャンバ壁を貫通し
て配置された孔(図示せず)を通じて加工領域618、
620中へ導入できる。更に、加工ガスは、現在入手可
能な任意のガス分配システム、例えば、アプライドマテ
リアル社、サンタクララ、カリフォルニアから入手可能
なガス分配システムを通して供給されうる。
【0080】ヒータペデスタル 図9は、支持プレートの下側に連結されそしてチャンバ
本体602の底を通って伸びて駆動システム603に連
結している軸626によって、各加工領域618、62
0内に可動的に配置されたヒータペデスタル628を示
している。軸626は、好ましくは、環状の、筒状の、
アルミニウム部材であり、その上端がヒータペデスタル
628の下側と支持接触するようにそして下端がカバー
プレートで閉じられるように配置されている。軸の下端
は、カップ型のスリーブ中に納められており、スリーブ
は軸の駆動システムへの連結を形成している。軸626
は、ヒータペデスタル628を加工領域内に機械的に配
置し、そしてまた、そこを通って複数のヒータプレート
連結が伸びることができる周囲の通路を形成している。
各ヒータペデスタル628は、その上に配置された基板
を所望の加工温度まで加熱するための発熱体を含みう
る。発熱体は、例えば、抵抗性発熱体を含みうる。或い
は、ヒータペデスタルは、ランプ等の外部発熱体によっ
て加熱されうる。本発明において有利に用いられるペデ
スタルは、カリフォルニア州、サンタクララにあるアプ
ライドマテリアル社から入手可能である。ペデスタルは
また、加工の間、その上に基板を固定するために、静電
チャック、真空チャック又は他の把持具を含みうる。
【0081】ヒータペデスタル628は、線形電気アク
チュエーター(図示せず)を用いた駆動システム603
を用いて、加工、洗浄、持ち上げ及び開放位置へと移送
ハウジングを上下に動かすことにより上昇及び下降す
る。移送ハウジングは、台車プレート(図示せず)を通
して、その片側においてアクチューエーターにそして他
方の側において直線スライド(図示せず)に連結されて
いる。アクチュエーターと台車の間の連結は、たわみ
(ボール及びソケット)継手(図示せず)を介してなさ
れ、任意のミスアライメントを可能としている。直線ス
ライド及び台車プレートは、他方に対してバイアスされ
ており、それらの回転と曲がりを防いでいる。じゃばら
が、ヒータペデスタル628の軸626の周りを囲い、
そして一方の端においてチャンバ底616に、他方の端
において移送ハウジングに連結している。シールリング
(図示せず)が、スリーブ624中の軸の下端の外側表
面を密封するために、軸626中の溝630中に提供さ
れる。フェースプレート646に対してのヒータペデス
タル628の水平化は、3つのスクリューを用いて達成
される。
【0082】或いは、駆動システム603は、適合カッ
プリングと親ネジアセンブリのために、チャンバ130
の下に吊り下げられそして駆動ベルトに連結されたモー
ター及び減速ギアアセンブリ(図示せず)を含む。移送
ハウジングは、親ねじアセンブリ上で受けとられ、上下
にガイドされそして直線スライドによって回転しないよ
うに保持される。ヒータ持ち上げ機構は、駆動カラーで
もってチャンバ130に接して保持される。ヒータペデ
スタル628は、ステッパモーターによって駆動される
親ねじによって上下される。ステッパモーターは、モー
ターブラケットによってヒータリフトアセンブリに据え
付けられている。ステッパモーターはじゃばら内で親ね
じを駆動する。じゃばらは、加工、持ち上げ及び開放位
置へとヒータセンブリを上下させるために親ねじをまわ
す。シールリングは、スリーブ内で軸626の下端の外
側表面を密閉するために、軸626の溝内に提供され
る。
【0083】基板配置アセンブリ 図8及び図9を参照する。軸626はチャンバ内を上下
に動き、加工のためにヒータペデスタル628上に基板
を配置するために又はヒータペデスタルから基板を除く
ために、ヒータペデスタルを動かす。基板配置アセンブ
リは、ヒータペデスタル628に関して垂直方向に動き
そしてペデスタルを通して垂直に配置された穴653内
に納まる複数の支持ピン651を含む。各ピン651
は、下部が球状部分661で終わる筒状のシャフト65
9及びシャフトの外側への伸長として形成される上部が
切り欠けた円錐状の頭部663を含む。ヒータペデスタ
ル628中の穴653は、ピン651が完全にヒータペ
デスタル628中に納められた際に、円錐状頭部がヒー
タペデスタルの表面より上に出ないように、円錐状頭部
をその中に受ける大きさの上部の皿頭部分を含む。
【0084】持ち上げピン651は、ヒータペデスタル
628が加工領域内を動くのに伴って、それと部分的に
関連して及び部分的に独立して、ヒータペデスタルを動
かす。持ち上げピンは、基板ハンドラーブレードが加工
領域から基板を取り去れるようにペデスタル628の上
に伸びることができるが、また、加工のためにペデスタ
ルの上部表面上に基板を配置するためにペデスタル中に
沈み込まなければならない。ピン651を動かすため
に、基板配置アセンブリは、持ち上げピン651の下部
の球状部分651と係合するように構成された環状のピ
ン支持体655、及び、加工領域内のヒータペデスタル
628の位置に応じて持ち上げピン651を選択的に係
合させるためのピン支持体655を位置決めする駆動部
材を含む。ピン支持体655は、好ましくはセラミック
から作られ、ヒータペデスタル628の下で軸626の
回りに伸び、支持ピンの下部球状部分と選択的に係合す
る。
【0085】駆動アセンブリは、各加工領域618、6
20内でピン651を上下に動かすために、シャフト6
30及び連結ピン支持体655を上下させる。ピン駆動
部材は、好ましくは、ペデスタルヒータ628に関して
ピン支持台655の動きを制御するために、チャンバ1
30の底部に配置される。
【0086】ガス箱及び供給 図2及び図3を参照する。システムの裏側でチャンバの
外側に、処理及び洗浄の間用いられるガスを含むガス供
給パネル219がある。用いられる特定のガスは、基板
上に処理される或いはチャンバ130から除かれる物資
に応じて決まる。加工ガスは、入口孔を通ってガスマニ
ホールド内に流れ込み、次いで、シャワーヘッド型のガ
ス分配アセンブリを通してチャンバ内に流れ込む。電気
操作バルブと流量制御機構が、ガス供給からチャンバ中
へのガスの流れを制御する。
【0087】本発明の一つの実施態様において、前駆体
ガスが、ガス箱219からチャンバ130へと供給さ
れ、そこではガスラインが、上記の如くチャンバ本体を
通じてガスを供給する2つの別個のガスラインへと準備
される。加工に応じて、任意の数のガスがこの方法で供
給されることができ、そしてチャンバの底に供給される
前に或いはいったんガス分配プレートに入った後のいず
れかで混合されうる。
【0088】電源 図2及び図3を参照する。最新コンパクトRF(“CR
F”)電力供給システム136が、各加工領域618、
620のために用いられ、一つのシステムが各ガス分配
システム219に連結している。ENI製、Genes
isシリーズの、13.56MHzのRF発電器が、各
チャンバのためにシステムの後端に据え付けられてい
る。この高周波発電器は、固定された対での使用のため
に設計されており、負荷へと供給された電力を制御し、
送信及び反射電力についての問題を除いている。高周波
RF発電器及び低周波RF発電器を加工チャンバへイン
ターフェースで連結するために、低通過フィルターが固
定された対の囲い部の中に設計される。
【0089】ENI製の350kHzRF発電器は、シ
ステムの後端上のRF発電器ラック中に配置され、同軸
ケーブルにより固定RF対へとつながれる。低周波数R
F発電器は、一つのコンパクト囲い部中に低周波数発電
と固定対要素の両方を提供する。低周波数RF発電器
は、送信及び反射電力の問題を減少する負荷へ供給され
る電力を調整する。
【0090】プログラム化 図2及び図3中に示されたシステムコントローラー13
8は、コンピューターのハードディスクドライブに保存
されたコンピュータープログラムの制御下で動く。コン
ピュータープログラムは、個々の加工の、加工順序、タ
イミング、ガスの混合、チャンバ圧、RF電流レベル、
サスセプター(susceptor)位置、スリットバルブの開
閉、基板加熱、及び他のパラメーターを指示する。ユー
ザーとシステムコントローラー間のインターフェース
は、好ましくは、CRTモニター及びライトペン(図示
せず)を介してのものである。好ましい実施態様におい
ては、2つのモニターが用いられ、一つのモニターはオ
ペレターのためにクリーンルーム壁に据え付けられ、そ
して他のモニターはサービス技術者のために壁の裏側に
据え付けられる。両方のモニターは、同時に同じ情報を
表示するが、ただ一つのライトペンのみ利用可能であ
る。ライトペンは、ペンの先にある光センサーを用いて
CRTディスプレーから発せられた光を検出する。特定
のスクリーンや機能を選択するために、オペレーターは
ディスプレースクリーン上の所望の領域に触れそしてペ
ンのボタンを押す。ディスプレースクリーンは一般に、
表示を変えることにより、つまりハイライトや色又はあ
たらしメニューやスクリーンを表示することにより、ラ
イトペンと触れた領域間のコミュニケーションを確認す
る。
【0091】種々のプロセスが、例えばシステムコント
ローラー138上で動く、コンピュータープログラム製
品を用いて実行されうる。コンピュータープログラムコ
ードは、任意の慣用コンピューター判読可能プログラミ
ング言語、例えば、68000アセンブリ言語、C、C
++又はパスカルによって書かれることができる。適し
たプログラムコードは、慣用のテキストエディターを用
いて、単一のファイル中に又は複数のファイル中に納め
られ、そしてコンピューターに用いられる媒体、例えば
コンピューターのメモリーシステム中に保存され又は組
み入れられる。もし、納められたコードテキストが、高
レベル言語であった場合は、コードはコンパイルされ、
次いで得られたコンパイラーコードがコンパイル前のラ
イブラリールーチンのオブジェクトコードとリンクされ
る。リンクされたコンパイルされたオブジェクトコード
を実行するためには、システムユーザーは、オブジェク
トコードを呼び出し、コンピューターシステムにメモリ
ー中にコードをロードさせ、そこからCPUがコードを
読んで実行し、プログラム中に同定された仕事を実行す
る。
【0092】図12は、コンピュータープログラム14
10の好ましい階層制御構造の説明ブロック図を示して
いる。ユーザーは、ライトペンインターフェースを用い
て、CRTモニター上に表示されたメニュー又はスクリ
ーンに応答して、加工選択サブルーチン1420中に加
工セット数と加工チャンバ番号を入力する。加工セット
は、特定の工程を実行するために必要な加工パラメータ
ーの前もって決定されたセットを提供し、そして所定の
セット数によって同定される。加工選択サブルーチン1
420は、(i)所望の加工チャンバ、及び(ii)所
望の工程を実行するための加工チャンバの操作に必要な
加工パラメーターの所望のセットを同定する。特定の工
程を実行するための加工パラメーターは、加工条件、例
えば、加工ガス組成、流速、温度、圧力、RFバイアス
電力レベルや磁気場電力レベル等のプラズマ条件、冷却
ガス圧、及びチャンバ壁温度等に関係し、そして処方書
の形でユーザーに提供される。処方書によって特定され
たパラメーターは、任意の慣用の方法で入力されるが、
最も好ましくは、ライトペン/CRTモニターインター
フェースを用いて入力される。
【0093】工程を監視するための種々の計器及び装置
によって提供される電気的信号が、システムコントロー
ラーのアナログ入力ボード及びデジタル入力ボードを通
じてコンピューターに提供される。任意の慣用の、加工
チャンバ監視方法、例えばポーリングが用いられる。更
に、種々の加工コントローラーや装置を操作するための
電気的信号が、システムコントローラーのアナログ出力
ボード及びデジタル出力ボードを通じて出力される。こ
れらの監視及び制御装置の数量、型及び設置は、特定の
システムの最終用途及び希望の加工制御の程度に応じて
一つのシステムから他のシステムへと変更されうる、特
定の装置の仕様及び選択、例えば特定の用途のための最
適なタイプの熱電対は、当業者によって知られている。
【0094】加工順序決定サブルーチン1430は、同
定された加工チャンバ数及び加工パラメーターを、加工
選択サブルーチン1420から受けるための、そして種
々の加工チャンバの操作を制御するためのプログラムコ
ードを含む。順序決定サブルーチン1430が管理して
所望の順序にて選択された工程を予定するように、複数
のユーザーが、加工セット数及び加工チャンバ数を入力
することもでき、また一人のユーザーが、複数の加工チ
ャンバ数を入力することもできる。好ましくは、加工順
序決定サブルーチン1430は、(i)チャンバが用い
られているか否かを決定するために加工チャンバの運転
を監視する工程、(ii)用いられているチャンバ中で
何の工程が行われているかを決定する工程、及び(ii
i)加工チャンバ利用可能性及び実行されるべき工程の
種類に基づいて所望の工程を実行する工程、を実行する
ためのプログラムコードを含む。どの工程が実行される
べきかを予定している間、順序決定サブルーチン143
0を、選択された工程の所望の加工条件と比較した用い
られている加工チャンバの現在の状況を、又は各特定の
ユーザーが入力した要求の「年齢」を、又はシステムプ
ログラマーが予定優先順位を決定するために含めるよう
望んだ他の関連する要素を考慮するように設計可能であ
る。
【0095】いったん順序決定サブルーチン1430が
いずれの加工チャンバ及び加工セットの組み合わせが次
に実行されるかを決定すると、順序決定サブルーチン1
430は、特定の加工セットパラメーターをチャンバ管
理サブルーチン1440a−cへ送ることにより加工セ
ットの実行を起こし、チャンバ管理サブルーチンは、順
序決定サブルーチン1430によって決定された加工セ
ットに従って加工チャンバ130内での複数の加工作業
を制御する。例えば、チャンバ管理サブルーチン144
0aは、加工チャンバ130内でのスパッタリング及び
CVD加工作業を制御するプログラムコードを含む。チ
ャンバ管理サブルーチン1440はまた、選択された加
工セットの実行に必要なチャンバ構成要素の運転を制御
する、種々のチャンバ構成要素サブルーチンの実行を制
御する。チャンバ構成要素サブルーチンの例は、基板配
置サブルーチン1450、加工ガス制御サブルーチン1
460、圧力制御サブルーチン1470、ヒータ制御サ
ブルーチン1480、及びプラズマ制御サブルーチン1
490である。本技術分野の通常の者は、加工チャンバ
130内で実行されるように望まれた工程が何であるか
に応じて他のチャンバ制御サブルーチンが含まれうると
いうことを認識する。作業においては、チャンバ管理サ
ブルーチン1440aは、実行されるべき特定の加工セ
ットに従って、加工構成要素サブルーチンを選択的に予
定し又は呼び出す。チャンバ管理サブルーチン1440
aは、順序決定サブルーチン1430がいずれの加工チ
ャンバ130を及びいずれの加工セットを次に実行すべ
きかを予定するのと同様にして、加工構成要素サブルー
チンを予定する。典型的には、チャンバ管理サブルーチ
ン1440aは、種々のチャンバ構成要素を監視する工
程、実行されるべき加工セットのための加工パラメータ
ーに応じてどの構成要素が運転されるべきかを決定する
工程、及び監視及び決定工程に応答してチャンバ構成要
素サブルーチンの実行を起こす工程を含む。
【0096】ここで、特定のチャンバ構成要素サブルー
チンの操作を図12を参照して記述する。基板配置サブ
ルーチン1450は、チャンバ構成要素は、基板をペデ
スタル628上に載せるために、そして基板とシャワー
ヘッド642間の空間を制御するためにチャンバ130
中で所望の高さまで任意に基板を持ち上げるために用い
られる、チャンバ構成要素を制御するためのプログラム
コードを含む。基板がチャンバ130中へと入れられる
と、基板を受け取るためにペデスタル628が下げられ
そして持ち上げピンアセンブリが上げられる。そしてそ
の後、例えば、CVD加工の間に基板をガス分配マニホ
ールドから第一の距離又は空間にて維持するために、ペ
デスタル628がチャンバ中の所望の高さまで上げられ
る。操作中、基板配置サブルーチン1450は、チャン
バ管理サブルーチン1440aから移された支持高さに
関する加工セットパラメーターに応答して、持ち上げア
センブリとペデスタル628の動きを制御する。
【0097】加工ガス制御サブルーチン1460は、加
工ガス組成及び流速を制御するプログラムコードを有す
る。加工ガス制御サブルーチン1460は、安全遮断バ
ルブの開閉位置を制御し、そしてまた、所望のガス流速
を得るために質量流量コントローラーを上下に傾斜させ
る。加工ガス制御サブルーチン1460は、他の全ての
チャンバ構成要素サブルーチンと同様に、チャンバ管理
サブルーチン1440aによって呼び出され、そしてチ
ャンバ管理サブルーチンから所望のガス流速に関する加
工パラメーターを受け取る。典型的には、加工ガス制御
サブルーチン1460が、ガス供給源とチャンバ130
ガス供給ラインの間の単一の制御バルブを開けることに
より機能し、そして繰り返し、(i)質量流量を測定
し、(ii)実際の流速をチャンバ管理サブルーチン1
440aから受け取った所望の流速と比較し、そして
(iii)必要により主ガス供給ラインの流速を調整す
る。更に、加工ガス制御サブルーチン1460は、危険
な流速かどうかガス流速を監視する工程、及び危険な状
態を検出した際には安全遮断バルブを作動させる工程を
含む。
【0098】いくつかの加工において、不活性ガス、例
えばアルゴンがチャンバ130中に提供され、反応性加
工ガスがチャンバ内に導入される前にチャンバ内の圧力
を安定化する。これらの加工では、加工ガス制御サブル
ーチン1460が、チャンバ内の圧力を安定化するため
に必要な時間、不活性ガスをチャンバ130内に流し込
む工程を含むようにプログラムされ、次いで、上記工程
が行われうる。追加的に、加工ガスが液体前駆物質、例
えばテトラエチルオルソシラン(TEOS)から気化さ
れる場合は、加工制御サブルーチン1460は、泡立て
アセンブリ中の液体前駆物質を通って供給ガス例えばヘ
リウムを泡立たせるための工程を含むように書かれう
る。このタイプの加工では、加工ガス制御サブルーチン
1460は、所望の加工ガス流速を得るために、供給ガ
スの流速、泡立て器中の圧力、及び泡立て器の温度を調
整する。上記で述べたように、所望の加工ガス流速は、
加工パラメーターとして加工ガス制御サブルーチン14
60へと送られる。更に、加工ガス制御サブルーチン1
460は、所与の加工ガス流速のために必要な値を含む
保存されたデータテーブルにアクセスすることにより、
所望の加工ガス流速のために必要な供給ガス流速、泡立
て器の圧力及び泡立て器の温度を得る工程を含む。いっ
たん必要値が得られと、供給ガス流速、泡立て器圧及び
泡立て器温度が監視され、必要値と比較され、そしてそ
れに従って調整される。
【0099】圧力制御サブルーチン1470は、チャン
バ内の排気システム内のスロットルバルブ開口サイズを
調整することによりチャンバ130内の圧力を制御する
ためのプログラムコードを含む。スロットルバルブの開
口サイズは、全加工ガス流量、加工チャンバ内のガス含
有量及び排気システムのポンプ設定圧との関係でチャン
バ圧を所望のレベルに制御するために変化する。圧力制
御サブルーチン1470が呼び出されると、所望の設定
圧レベルがチャンバ管理サブルーチン1440aからパ
ラメーターとして受け取られる。圧制御サブルーチン1
470は、チャンバと連結された1又はそれ以上の慣用
の圧力計を用いてチャンバ130内の圧を測定し、測定
値を設定圧と比較し、設定圧に従って保存された圧テー
ブルからPID(比例、積分および微分)制御パラメー
ターを得、そして圧テーブルから得たPID値に従って
スロットルバルブを調製するために作動する。或いは、
圧制御サブルーチン1470は、チャンバ130を所望
の圧に調製するために、特定の開口サイズへとスロット
ルバルブを開閉するように書くこともできる。
【0100】ヒータ制御サブルーチン1480は、基板
を加熱するたに用いられるランプ又はヒータモジュール
の温度を制御するプログラムコードを含む。ヒータ制御
サブルーチン1480はまた、チャンバ管理サブルーチ
ン1440aによって呼び出され、そして所望の又は設
定の温度パラメーターを受け取る。ヒータ制御サブルー
チン1480は、ペデスタル628に配置された熱電対
の出力電圧を測定することにより温度を決定し、測定値
を設定温度と比較し、そして設定温度を得るためにヒー
タに供給される電流を上げる又下げる。温度は、保存さ
れた換算表中の対応する温度を照合することにより、ま
たは4次多項式を用いて温度を計算することにより測定
電圧から得られる。ペデスタル628を加熱するために
放射ランプが用いられる場合は、ヒータ制御サブルーチ
ン1480は、ランプに供給される電流のランプアップ
/ダウンを徐々に制御する。緩やかなランプアップ/ダ
ウンは、ランプの寿命と信頼性を高める。更に、ビルト
・イン・フェール・セーフモードが、命令に従って加工
が安全に行われているかを検査するために含められるこ
とができ、そして、もし加工チャンバ130が適切に設
定されていない場合は、ランプやヒータモジュールの作
動を停止できる。
【0101】プラズマ制御サブルーチン1490は、チ
ャンバ130中の加工電極に供給されるRFバイアス電
圧レベルを設定するための、そして任意にチャンバ内で
発生する磁場のレベルを設定するためのプログラムコー
ドを含む。上記したチャンバ構成要素サブルーチンと同
様に、プラズマ制御サブルーチン1490は、チャンバ
管理サブルーチン1440aによって呼び出される。
【0102】上記のように本発明のシステムをプラズマ
強化CVDを用いた場合を参照して記載したが、本発明
はまた高密度(HDP)CVD及びPVDチャンバ、並
びにエッチングチャンバの使用を含むと理解されるべき
である。例えば、本発明のシステムは、プラズマ加工の
ために縦列のHPD CVDチャンバを含むように調整
されうる。一つの他の実施態様において、ガス分配/ふ
たアセンブリは誘電体ドームと置き換えることができ、
ドームは、チャンバ内に高密度プラズマの誘電カップリ
ングを提供するためにドームの近くに配置された誘電コ
イル及びコイルに連結されたRF電源を含む。同様に、
縦列PVDチャンバは、処理物質供給源のためにその上
に配置された標的アセンブリと一緒に構成されることが
できる。DC電源は、標的アセンブリに連結して、それ
にスパッタリング電力を提供する。
【0103】中多孔性酸化物膜 以下の加工に関する記載が、中多孔性酸化物膜を処理す
るための誘電処理モジュール及び二酸化珪素、窒化珪
素、酸窒化珪素及び非晶質炭化珪素、BLOk(商
標)、の膜を処理するためのキャッピングモジュールの
使用に適用される一方、本発明は、誘電体処理モジュー
ル及びキャッピングモジュール中で行われる加工に用い
られることができる他の物質の処理をも含みうる。
【0104】図13は、基板上の中多孔性酸化物誘電体
の形成工程を示している。工程は、基板上への界面活性
体を含むゾルゲル前駆溶液の処理、処理されたゾルゲル
の硬化による酸化物膜の形成、及び膜を酸化環境、例え
ばオゾンプラズマにさらして界面活性剤を除いて中多孔
性酸化物膜を形成することを含む。物質は、種々の効
果、及び加工パラメーター例えば時間、温度、圧力を得
るために幾つかの加工工程において置換されることがで
き、物質の相対濃度は、広範囲にまで変更されうる。幾
つかの場合においては、同様な多孔性誘電体層を製造す
る他の方法が、記載の方法に置き換えられうる。
【0105】加工は、高圧堆積モジュール中でゾルゲル
先駆物質を形成することにより始まる。ゾルゲル前駆物
質は代表的には、有機溶剤中で、珪素/酸素化合物、水
及び界面活性剤を混合することにより形成される。本技
術分野で公知の任意の慣用の方法が、ゾルゲル前駆物質
を作るために用いられうるが、本発明の例示的なゾルゲ
ル前駆物質は、テトラエチルオルトシリケート(TEO
S)、エタノール、水及び界面活性剤の混合により作ら
れうる。更に任意の酸又は塩基触媒が、ゾルゲル前駆物
質の形成において用いられ得る。
【0106】次いで、ゾルゲル前駆物質は、スピン・オ
ン・コーティング法又はスプレー・コーティング法のい
ずれかの方法により、しかし好ましくはスピン・オン・
コーティング処理工程により基板に適用される。スピン
・オン・コーティングの間、遠心排水により、膜がゾル
ゲル前駆物質の薄層にて基板を実質的に覆うことを可能
とする。次いで、基板上のゾルゲル前駆物質は、ゾルゲ
ルから溶媒及び水を除くために硬化工程に付されて、均
一な直径の内部連通孔を、好ましくは立方相構造膜中に
形成する。次いで、膜は酸化環境にさらされ、そこで界
面活性剤が膜から除かれて、中多孔性酸化物膜となる。
【0107】ゾルゲル前駆物質の珪素/酸素化合物は、
半導体製造分野において層を含む珪素の処理に慣用的に
用いられる化合物であり、その分野においてはシリカゾ
ルが最も好ましく用いられる。珪素/酸素前駆物質化合
物、テトラエチルオルトシリケート(TEOS)、フェ
ニルトリエチルオキシシラン、メチルトリエトキシシラ
ンが好ましく用いられるが、任意の市販の又は慣用的に
用いられるゾルゲル珪素/酸素化合物、例えばテトラメ
トキシシラン(TMOS)もまた本発明で用いられ得
る。
【0108】界面活性剤は、基板上への均一なフィルム
成分処理のために、溶液中の珪素/酸素化合物を効果的
に分散させるべくゾルゲル前駆物質中で用いられる。界
面活性剤は、陰イオン性、陽イオン性又は非イオン性で
あり得る。界面活性剤は、親水性である結合基を用い
て、水を含有する溶媒中での完全な分散を保証する。非
イオン性界面活性剤は、荷電されていない又は中性の親
水性基である化学結合基を有し、一方、陰イオン性及び
陽イオン性界面活性剤は、それぞれ負又は正に荷電され
た結合基を有する。本発明の、好ましくは立方相構造中
での、均一な直径の内部連通孔の形成のためには、非イ
オン性界面活性剤が用いられ、そして好ましくは、第一
アミン、ポリオキシエチレンオキサイド−プロピレンオ
キサイド−ポリエチレンオキサイド トリブロック コ
ポリマー、オクタエチレングリコールモノデシルエーテ
ル、オクタエチレングリコールモノヘキサデシルエーテ
ル、及びそれらの組み合わせからなる群より選ばれる。
【0109】有機溶剤は、ゾルゲル中の珪素/酸素化合
物の分散を補助し、スピナーチャンバ中での基板上への
ゾルゲルのスプレー又は処理を容易にするために溶液中
で用いられる。本発明は有機溶剤を用い、好ましくは、
エタノール、n−プロパノール、イソプロパノール、n
−ブタノール、sec−ブタノール、tert−ブタノ
ール、エチレングリコール又はこれらの組み合わせの群
から選ばれるアルコールを用いる。処理されたゾルゲル
中の有機溶剤は、典型的には、約50℃〜約450℃の
間で1又はそれ以上の工程を含む硬化処理により除かれ
る。硬化処理は、好ましくは、硬化/焼付チャンバ中
で、約1分から約10分間行われる。
【0110】硬化工程中、膜中の有機溶剤の選択的蒸発
及び幾分かの水分の除去は、非揮発性の界面活性剤及び
珪素/酸素化合物例えばシリカの濃度を増加させる。界
面活性剤の濃度が増加するに伴って、界面活性剤及び珪
素/酸素化合物は、薄くなっていく膜内で分子アセンブ
リを形成する。継続乾燥は、膜を固め、膜微細構造を固
定化し、その微細構造は、本発明においては、図13に
示されるような均一な直径の内部連通孔の立方相構造で
ある。
【0111】堆積された膜は、更に高温にて酸化環境に
さらされる。酸化環境の温度は、好ましくは、約200
℃〜約400℃の範囲である。酸化環境は、好ましくは
活性酸素種を形成するために酸素、オゾン、又は酸素プ
ラズマを含み、最も好ましくはオゾンプラズマがチャン
バ内で形成される。プラズマは、約0.5トール〜約1
0トールの間の圧力で達成される。酸素種は、膜に衝撃
を与え、界面活性剤並びに残存の水分及び溶媒と反応
し、それによってそれらの成分を膜から除く。イオン種
は、高反応性であり、界面活性剤を除くために約0.5
分から約5分という短い暴露を要求するだけである。界
面活性剤が膜から除かれた結果、珪素/酸素成分が、酸
化物膜の形状好ましくは立方相構造を保持し、そして固
まって中多孔性膜を形成するようにして、孔が形成され
る。孔は通常は内部連通構造を有するが、幾つかは末端
枝を有し或いは非晶質層を形成しうる。中多孔性膜の選
択的形成は、2.5未満の、好ましくは約2.2から
1.6の間の誘電率を示す、空隙率が50%を超える高
多孔質膜を結果する。
【0112】あるいは、中多孔性酸化物膜は、約400
℃から約450℃の高温アニールで界面活性剤を除くこ
とで形成されうる。アニーリング工程は、略真空から大
気圧までの圧力範囲で行われうる。好ましくは、アニー
リング工程は、処理モジュールの圧力と同様の圧力、す
なわち約300トールより大きい圧力で行われる。更に
好ましくは、アニーリング工程は、約300トールから
約700トールの間の圧力で、最も好ましくは約500
トールから約700トールの間の圧力で行われる。しか
しながら、アニーリング工程は、約10トール又はそれ
未満での圧力での酸化プラズマ加工と同様に略真空圧で
も行われうる。膜は、非反応性環境でアニールされ、非
反応性ガスは、好ましくは、窒素、不活性ガス例えばア
ルゴンやヘリウム、又はそれらの組み合わせである。酸
化物膜は、好ましくは、前駆物質化合物がメチル又はフ
ェニル基、例えばフェニルトリエチルオキシシランやメ
チルトリエトキシシラン中のそれらの基を含む場合にア
ニールされる。メチル又はフェニル含有前駆物質化合物
から処理された膜のアニーリングは、メチル及びフェニ
ル化合物の酸化及び除去を防ぐ。保持されたメチル及び
フェニル基があると、膜はより高い炭素含量有し、それ
はより低い誘電率膜を提供すると信じられている。アニ
ーリング工程はまた、2.5未満の、好ましくは約2.
2から約1.6の間の誘電率を示す、空隙率が50%を
超える高多孔質膜を製造する。
【0113】中多孔性酸化物膜は、高親水性で水分の汚
染に敏感であり、水分(誘電率(k)>78)汚染は、
膜の全体としての誘電率に有害な影響を持ちうる。従っ
て、膜は典型的には、膜をシリル化及び/又はキャッピ
ング層を用いて膜をキャッピングすることによって後処
理される。
【0114】シリル化は、処理膜の上部表面に珪素を導
入する工程である。化学反応において、反応性オルガノ
シランの液相又は気相拡散が反応チャンバ中で起こり、
膜の上部表面上に存在する水酸基の水素が有機珪素基、
最も一般にはトリメチルシリル基で置換される。このよ
うな化学反応の一例は、シリルエーテルを形成するため
の、基板上の誘電体層の上へのヘキサメチルジシラザン
の導入である。シリル化工程は、約25℃から約200
℃の間の温度にて、シリル化剤を拡散することにより成
され、シリル化剤にさらされた中多孔性酸化物膜に影響
して、さらされた膜を疎水性にする。本発明における好
ましいシリル化剤は、テトラメチルジシラザン(TMD
S)、ヘキサメチルジシラザン(HMDS)及びジメチ
ルアミノトリメチルシラン、又はそれらの組み合わせで
ある。
【0115】中多孔性酸化物膜上に処理されたキャッピ
ング層は、例えば水分等の物質の拡散を遮るバリアを提
供し、エッチストップとして機能する又はハードマスク
として機能するいずれの物質でもよい。好ましくは、キ
ャッピング層は、約0.5トールから約10トールのチ
ャンバ圧にて、プラズマ強化化学蒸着(PECVD)チ
ャンバによって処理された低誘電体膜である。適した物
質の例は、二酸化珪素、窒化珪素、酸窒化珪素及び非晶
質の炭化珪素である。ライナー層として用いる例示的物
質は、非晶質炭化珪素層、BLOk(商標)であり、そ
れは、1998年10月1日出願の、米国特許出願シリ
アル番号第09/165248号、発明の名称「バリア
層及びエッチストップとして用いるための炭化珪素処
理」に記載されており、この出願は引用することにより
本明細書の一部である。
【0116】二重ダマスク構造の処理 中多孔性酸化物膜を非晶質炭化珪素エッチストップと共
に含む二重ダマスク構造を図14に示した。中多孔性酸
化物408は、上記したようにして基板402上に処理
され、基板は、その中に形成されたパターン化された導
電ライン404と共にその上に処理された窒化珪素又は
非晶質炭化珪素好ましくはBLOk(商標)を有し、そ
して次いで、第一のエッチストップ410が中多孔性酸
化物408の上に処理され、第一のエッチストップ41
0は、好ましくはBLOk(商標)である。次いで、第
一のエッチストップ410が、コンタクト/バイアス4
15の開口部を規定するためにパターンエッチングされ
る。その後、中多孔性酸化物層であり得る、第二の誘電
体層414が、パターン化された第一のエッチストップ
410の上に処理され、次いで、第二のエッチストップ
416が慣用の方法でパターンエッチングされて内部連
通ライン417を規定する前に処理され、ここで第二の
エッチストップは好ましくはBLOk(商標)である。
その後、単一のエッチング工程が行われて、パターン化
されたライン404まで下がる内部連通を規定し、そし
てコンタクト/バイアス415を規定するためにパター
ン化されたエッチストップによって露出された非保護の
誘電体をエッチングする。いったんエッチングされる
と、ライナー層420及びそれにそれに続く導電金属4
22が内部連通417を満たすために処理される。次い
で、内部連結は、平面化されそして窒化珪素又はBLO
k(商標)層424によってキャップされうる。
【0117】本発明に従って製造される好ましい二重ダ
マスク構造を図14に示した。そしてその構造の製造方
法を、基板の上に形成された本発明の工程を有する基板
の横断面図として、図15A〜図15Hに順番に概略的
に描いた。図15Aに示されているように、最初の酸化
物又は第一の中多孔性酸化物誘電体層408が、本明細
書中で記載した如く基板402上に均整のとれた配置に
て処理された非晶質炭化珪素BLOk(商標)基板エッ
チストップ406の上に処理され、製造される構造のサ
イズに応じて、約5000〜約10000オングストロ
ームの厚さを与える。図15Aに示されているように、
次いで、低い誘電率のエッチストップ410が、好まし
くはBLOk(商標)層が、キャッピングモジュール内
で第一の誘電体層408上に処理され、約200〜約1
000オングストロームの厚さを与える。次いで、フォ
トレジスト層412が、本技術分野において公知の慣用
の方法を用いてエッチストップ410上に形成され、そ
の中に開口部413が形成される。次いで、図15Bに
示されるように、低誘電率エッチストップ410及び誘
電体層408は、コンタクト/バイアスが形成されべき
領域にて、コンタクト/バイアス開口部415を規定し
そして第一の誘電体層410及び基板エッチストップ4
06を露出するとうにパターンエッチングされる。好ま
しくは、低誘電率エッチストップ410が、フッ素、炭
素及び酸素イオンを用いた慣用のフォトリソグラフィー
及びエッチング加工によりパターンエッチングされる。
【0118】図15Bに示される如く、低誘電率エッチ
ストップ410がコンタクト/バイアスをパターン化す
るようにエッチングされそしてフォトレジストが除かれ
た後に、図12Cに示されるように、第二の中多孔性酸
化物誘電体層414が、エッチストップ410上に処理
されて、約5000〜約10000オングストロームの
厚さを与える。図15Dに示されているように、図15
Cに示されているキャッピングモジュール内で処理され
た第二のエッチストップ416、好ましくはBLOk
(商標)、及びフォトレジスト層418が、第二の中多
孔性酸化物誘電体層414上に処理され、次いで、好ま
しくは、慣用のフォトリソグラフィー加工、例えばトレ
ンチリソグラフィーを用いて内部連通ライン417を規
定するためのパターン化を受ける。図15Eに示すよう
に、次いで、内部連通及びコンタクト/バイアスは、反
応性イオンエッチング又は他の異方性エッチング技術を
用いてエッチングされてメタライゼーション構造(すな
わち、相互連通及びコンタクト/バイアス)を規定す
る。第二のエッチトップ416又は第二の誘電体層41
4をパターン化するためのフォトレジストは、酸素スト
リップ、不活性アニーリング又は他の適した方法を用い
て除かれる。図15Fに示されるように、基板エッチス
トップ406は同様に剥がされ、パターン化されたライ
ン404とそれに続く物質処理の間の連通を提供する。
【0119】次いで、メタライゼーション構造が、導電
物質、例えばアルミニウム、銅、タングステン又はこれ
らの組み合わせを用いて形成される。現在の傾向は、銅
の低い抵抗性(アルミニウムの3.1mW−cmに比べ
て、銅は1.7mW−cm)を利用して、より小さい特
徴を形成するために銅を用いることである。好ましく
は、図15Gに示されるように、適したバリア層42
0、例えばタンタル、窒化タンタル又は窒化タングステ
ンであるが好ましくは窒化タンタルが、メタライゼーシ
ョンパターンで均整のとれた配置にて最初に処理され
て、銅のその回りの珪素及び/又は誘電物質への移入を
防ぐ。その後、銅422は、化学蒸着、物理的蒸着、電
気メッキ又はそれらの組み合わせのいずれかを用いて処
理され、導電構造を形成する。シード層(図示せず)、
好ましくは銅又はドープ銅のシード層が、内部連通41
7の隙間の無い充填を保証するために、銅充填422の
処理に先立って処理されうる。いったん構造が銅又は他
の金属で充填されると、図15Hに示すように、基板は
化学機械的研磨を用いて平面化され、そして窒化珪素又
は非晶質炭化珪素BLOk(商標)層424を用いてキ
ャップされる。
【0120】上記したものは本発明の好ましい実施態様
に向けられているが、本発明の他のそして追加の実施態
様も、本発明の基本的範囲から逸れることなく案出され
ることができ、そして本発明の範囲は特許請求の範囲に
基づいて決定されるものである。
【図面の簡単な説明】
【図1】図1は、半導体基板のバッチ加工のための放射
状集合器具の上面概略図である。
【図2】図2Aは、本発明の、キャッピングモジュール
及び高圧堆積モジュールを有する装置の一実施態様の上
面概略図である。図2Bは、本発明の、キャッピングモ
ジュール及び高圧堆積モジュールを有する装置の他の実
施態様の上面概略図である。
【図3】図3Aは、本発明のキャッピングモジュールの
一実施態様の上部平面概略図である。図3Bは、本発明
のキャッピングモジュールの他の実施態様の上面概略図
である。
【図4】図4は、本発明のロードロックチャンバの一実
施態様の透視図である。
【図5】図5は、移送チャンバ及び加工チャンバの上面
概略図であり、移送チャンバ中に据え付けられ、そして
移送チャンバ内を回転する又は他のチャンバ中へ伸びる
ことができる引き込み位置ある本発明の基板操作部材を
示している。
【図6】図6は、移送チャンバ及び加工チャンバの上面
概略図であり、移送チャンバ中に据え付けられ、そして
ブレードが加工チャンバ中にある引き伸ばし位置にある
本発明の基板操作部材を示している。
【図7】図7は、高速熱アニールチャンバの横断面図で
ある。
【図8】図8は、本発明のキャッピングモジュール内に
含まれる、PECVDチャンバの一実施態様の透視図で
ある。
【図9】図9は、本発明のPECVDチャンバの横断面
図である。
【図10】図10は、PECVDチャンバのガス分配ア
センブリの分解図である。
【図11】図11は、ふたが外された状態での本発明の
PECVDの上面図である。
【図12】図12は、加工制御のためのコンピューター
プログラムの階層制御構造のブロック図を示している。
【図13】図13は、立方相構造と中多孔性膜構造を示
している中多孔性膜加工の説明図である。
【図14】図14は、本発明の、低誘電率酸化珪素層と
キャッピング層を含む二重ダマスク構造を示している横
断面図である。
【図15】図15A〜Hは、本発明の二重ダマスク処理
配列を示す横断面図である。
【符号の説明】
10…真空集合器具、12…加工チャンバ、16…基板
操作モジュール、18…移送チャンバ、20…ロードロ
ックチャンバ、100…加工システム、101…高圧堆
積モジュール、102…前部端中継領域、103…基板
休止部、104,106…基板カセット、108…移送
チャンバ、110…二重積み重ね冷却ステーション、1
11…冷却ステーション、112…基板ハンドラー、1
13…スリットバルブ、114…基板スピナーチャン
バ、115…スリットバルブ、116…硬化処理チャン
バ、117…スリットバルブ、118…基板ストリッピ
ングチャンバ,高速熱アニール(RTA)チャンバ、1
19…スリットバルブ、120…キャッピングモジュー
ル、121…スリットバルブ、122…工場インターフ
ェース、122…基板中継領域、123…シリル化処理
チャンバ、124…ロードロックチャンバ、125…基
板予備加熱ステーション、126,126A,126B
…移送チャンバ、127,127A,127B…基板ハ
ンドラー、128,132…通路,スリットバルブ、1
30…加工チャンバ、133…チャンバ側壁、134…
ガスパネル、135…チャンバ底面、136…電源配分
パネル、138…コンピューター制御ラック、140…
バックエンド、202…チャンバ壁、204…チャンバ
底面、206…チャンバふた、219…ガス供給パネ
ル、224…予備加熱室、228…挿入ステーション移
送基板ハンドラー、242,244…コンパートメン
ト、246…移送領域、252…支持壁、248,25
0…ペデスタル、256,258…シーリングフラン
ジ、264…排気ライン、402…基板、404…導電
ライン、406…基板エッチストップ、408…誘電体
層、410…第一のエッチストップ、413…開口部、
414…第二の誘電体層、415…コンタクト/バイア
ス、416…第二のエッチストップ、417…内部連
通、418…フォトレジスト層、420…バリア層/ラ
イナー層、422…導電金属、424,424…層、5
00…基板ハンドラー、502…基板、504,50
6,508,514…ストラット、510,512,5
16,518…ピボット、520,522…基板操作ブ
レード、524,526…磁気クランプ、540…基板
ブレードアセンブリ、540、542,544…金属ス
トラップ、546…ロッド、548…最外側放射状地
点、550…最小円形領域、602…チャンバ本体、6
03…駆動システム、604…ふた、608…ガス分配
システム、610…基板通路、618,620…加工領
域、619…排気路、621…排気管、622,624
…通路、625…ポンプ路、626…軸、627…ライ
ナー、628…ヒータペデスタル、629…棚、630
…ロッド、631…口、639…ガス入口ライン、64
0…ガス入口通路、642…シャワーヘッドアセンブ
リ、644…ブロッカープレート、646…フェースプ
レート,ガス分配プレート、648…ベースプレート、
651…支持ピン、655…入口、659…冷却剤出
口、シャフト、冷却剤出口、シャフト、670・・ガス
入口マニホールド、672…電圧勾配フィードスルー、
674…ガス出口マニホールド、902…囲い室、90
4…ヒータプレート,906…基板支持ピン、907…
ヒータ、913…冷却板、914…反射絶縁体皿、91
6…電源、918…冷却部材、920…熱電対、922
…導管、921…コントローラー、、924…開口部、
928…リフトプレート、930…アクチューエータ
ー、932…リフトシャフト、934…シーリングフラ
ンジ、938・・ガス供給源、940・・バルブ、94
2・・ガス出口、1410…コンピュータープログラ
ム、1420…加工選択サブルーチン、1430…加工
順序決定サブルーチン、1440a−c…チャンバ管理
サブルーチン、1450…基板配置サブルーチン、14
60…加工ガス制御サブルーチン、1470…圧力制御
サブルーチン、1480…ヒータ制御サブルーチン、1
490…プラズマ制御サブルーチン
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) B05D 1/40 B05D 1/40 A 3/04 3/04 C 7/24 302 7/24 302Y (72)発明者 ハリ ポンネカンティ アメリカ合衆国, カリフォルニア州, フリーモント, フィッツシモンズ コモ ン 3407 (72)発明者 ケヴィン フェアバーン アメリカ合衆国, カリフォルニア州, ロス ガトス, ケネディ コート 106 (72)発明者 サッソン ソメク アメリカ合衆国, カリフォルニア州, ロス アルトス ヒルズ, ムーディ ロ ード 25625 (72)発明者 ティモシー ウェイドマン アメリカ合衆国, カリフォルニア州, サニーヴェイル, ヘンダーソン アヴェ ニュー 776 (72)発明者 シャモウィル シャモウィリアン アメリカ合衆国, カリフォルニア州, サン ノゼ, リトル フォールズ ドラ イヴ 6536 (72)発明者 ファーハッド モグハダム アメリカ合衆国, カリフォルニア州, サラトガ, ヴィア コリーナ ドライヴ 15440 Fターム(参考) 4D075 AC64 AC73 AC74 AC79 AE03 BB18Y BB18Z BB23Z BB26Y BB44Z BB49Z BB52Z BB56Z BB70Z BB85Z BB93Y BB93Z BB95Y BB95Z CA23 CA36 CA47 DA06 DC22 DC24 EA12 EB42 EB43 EB47 EC30 EC35 EC37 4F042 AA02 AA07 AA10 DA05 DA09 DB04 DB51 DC01 DC03 DF09 DF25 DF29 EB02 EB09 EB21 EB30 4K030 DA08 EA01 GA12 HA03 KA01 KA26 LA15 5F045 AA08 AB32 AB33 AC11 DC63 DQ17 EB08 EB13 EB20 EF05 EH05 EH18 EH19 EJ02 EK07 EM04 EM05 EN04 GB16 HA16 5F058 BA20 BD01 BD04 BD10 BD15 BD18 BF07 BF25 BF29 BF46 BG01 BG04 BG10 BH02 BH03

Claims (61)

    【特許請求の範囲】
  1. 【請求項1】 (a)1又はそれ以上の移送チャンバ; (b)該1又はそれ以上の移送チャンバの各々の中に配
    置された基板操作部材; (c)1又はそれ以上の加工チャンバであって、各加工
    チャンバは少なくとも一つの分離された加工領域をその
    中に規定し、かつ、各加工領域は該1又はそれ以上の移
    送チャンバと連結されている、前記加工チャンバ; (d)該1又はそれ以上の移送チャンバと連通している
    1又はそれ以上のロードロックチャンバ;及び (e)該1又はそれ以上の移送チャンバと連通している
    1又はそれ以上の複数スロット基板予備加熱モジュー
    ル;を含む、基板を加工するための装置。
  2. 【請求項2】 更に前記ロードロックチャンバ内に配置
    された1又はそれ以上の複数スロット冷却ステーション
    を含む、請求項1に記載の装置。
  3. 【請求項3】 更に前記ロードロックチャンバと流体連
    通している真空ポンプを含む、請求項1に記載の装置。
  4. 【請求項4】 更に前記1又はそれ以上の加工チャンバ
    の各加工領域と流体連通している真空ポンプを含む、請
    求項1に記載の装置。
  5. 【請求項5】 各加工チャンバが2つの分離された加工
    領域を含む、請求項1に記載の装置。
  6. 【請求項6】 各加工領域がその中に配置されたガス分
    配アセンブリを含み、かつ各ガス分配アセンブリが1又
    はそれ以上のガス供給源からの加工ガスを共有してい
    る、請求項1に記載の装置。
  7. 【請求項7】 更に各加工領域に連通しているRF発電
    器を有する遠隔プラズマシステムを含む、請求項1に記
    載の装置。
  8. 【請求項8】 遠隔プラズマシステムが各加工領域と流
    体連通している、請求項1に記載の装置。
  9. 【請求項9】 更に前記1又はそれ以上のロードロック
    チャンバに連結された高圧堆積モジュールを含む、請求
    項2に記載の装置。
  10. 【請求項10】 前記高圧堆積モジュールが、1又はそ
    れ以上の基板ストリッピングチャンバを含むスピン・オ
    ン誘電モジュールである、請求項9に記載の装置。
  11. 【請求項11】 前記1又はそれ以上の複数スロット予
    備加熱モジュールが前記ロードロックチャンバ内に配置
    されている、請求項1に記載の装置。
  12. 【請求項12】 (a)高圧堆積モジュール; (b)該高圧堆積モジュールと連通している第一の移送
    チャンバ; (c)該第一の移送チャンバと連通しているロードロッ
    クチャンバ; (d)該ロードロックチャンバと連通している第二の移
    送チャンバ; (e)該第一の移送チャンバと連通している複数スロッ
    ト基板予備加熱モジュール; (f)該第二の移送チャンバ内に配置された基板操作部
    材;及び (g)1又はそれ以上の加工チャンバであって、各加工
    チャンバは少なくとも一つの分離された加工領域をその
    中に規定し、かつ、各加工領域は該第二の移送チャンバ
    と連結されている、前記加工チャンバ;を含む、基板を
    加工するための装置。
  13. 【請求項13】 前記高圧堆積モジュールが、(a)1
    又はそれ以上の基板スピナーチャンバ; (b)1又はそれ以上の基板硬化チャンバ; (c)1又はそれ以上の基板ストリッピングチャンバ; (d)1又はそれ以上のシリル化処理チャンバ;及び (e)該高圧処理チャンバ内に配置された第二の基板操
    作部材;を含む、請求項12に記載の装置。
  14. 【請求項14】 更に前記1又はそれ以上のロードロッ
    クチャンバの各々の中に配置された1又はそれ以上の複
    数スロット冷却ステーションを含む、請求項12に記載
    の装置。
  15. 【請求項15】 更に前記1又はそれ以上のロードロッ
    クチャンバと流体連通している真空ポンプを含む、請求
    項12に記載の装置。
  16. 【請求項16】 更に各加工領域と流体連通している真
    空ポンプを含む、請求項12に記載の装置。
  17. 【請求項17】 各加工チャンバが2つの分離された加
    工領域を含む、請求項12に記載の装置。
  18. 【請求項18】 各加工領域がその中に配置されたガス
    分配アセンブリを含み、かつ各ガス分配アセンブリが1
    又はそれ以上のガス供給源からの加工ガスを共有してい
    る、請求項12に記載の装置。
  19. 【請求項19】 更に各加工領域に連通しているRF発
    電器を有する遠隔プラズマシステムを含む、請求項12
    に記載の装置。
  20. 【請求項20】 各基板ストリッピングチャンバが酸化
    チャンバである、請求項19に記載の装置。
  21. 【請求項21】 前記酸化チャンバが、RF発電器又は
    マイクロ波発電器を有する遠隔プラズマシステムと連結
    している、請求項20に記載の装置。
  22. 【請求項22】 前記複数スロット予備加熱モジュール
    が前記ロードロックチャンバ内に配置されている、請求
    項12に記載の装置。
  23. 【請求項23】 (a)珪素/酸素化合物、有機溶剤、
    水及び界面活性剤を含むゾルゲル前駆物質を作る工程; (b)該ゾルゲル前駆物質を基板上に処理する工程 (c)処理されたゾルゲル前駆体を硬化して酸化物膜を
    形成する工程;及び (d)該膜を酸化環境にさらして中多孔性酸化物膜を形
    成する工程;を含む、基板上に中多孔性酸化物膜を形成
    するための方法。
  24. 【請求項24】 前記中多孔性酸化物膜が均一な直径の
    内部連通孔の構造を含む、請求項23に記載の方法。
  25. 【請求項25】 前記中多孔性酸化物膜が更に立方相構
    造を含む、請求項24に記載の方法。
  26. 【請求項26】 前記珪素/酸素化合物前駆体が、テト
    ラエチルオルトシリレート、テトラメトキシシラン、フ
    ェニルトリエチルオキシシラン、メチルトリエトキシシ
    ラン及びこれらの組み合わせからなる群より選ばれる、
    請求項23に記載の方法。
  27. 【請求項27】 前記有機溶剤が、エタノール、イソプ
    ロパノール、n−プロパノール、n−ブタノール、se
    c−ブタノール、t−ブタノール、エチレングリコール
    及びこれらの組み合わせからなる群より選ばれる、請求
    項23に記載の方法。
  28. 【請求項28】 前記界面活性剤が、ポリオキシエチレ
    ンオキサイド−プロピレンオキサイド−ポリエチレンオ
    キサイド トリブロック コポリマー、オクタエチレン
    グリコールモノデシルエーテル、オクタエチレングリコ
    ールモノヘキサデシルエーテル及びこれらの組み合わせ
    からなる群より選ばれる非イオン性界面活性剤である、
    請求項23に記載の方法。
  29. 【請求項29】 更に、前記ゾルゲル前駆物質の処理の
    前にゾルゲル前駆物質に酸又は塩基触媒を添加する工程
    を含む、請求項23に記載の方法。
  30. 【請求項30】 前記酸化環境が反応性酸素種を含むプ
    ラズマである、請求項23に記載の方法。
  31. 【請求項31】 前記反応性酸素種がオゾンである、請
    求項30に記載の方法。
  32. 【請求項32】 前記酸化環境が約200℃〜約400
    ℃の間の温度で維持される、請求項23に記載の方法。
  33. 【請求項33】 前記酸化物膜が約30秒〜約300秒
    の間前記酸化環境にさらされる、請求項23に記載の方
    法。
  34. 【請求項34】 前記中多孔性酸化物膜が約1.6〜約
    2.2の間の誘電率を示す、請求項23に記載の方法。
  35. 【請求項35】 前記中多孔性酸化物膜が少なくとも5
    0%の多孔率を有する、請求項23に記載の方法。
  36. 【請求項36】 前記中多孔性酸化物膜が約50℃〜約
    450℃の間の温度にて硬化される、請求項23に記載
    の方法。
  37. 【請求項37】 前記中多孔性酸化物膜が約1分〜約1
    0分の間硬化される、請求項34に記載の方法。
  38. 【請求項38】 更に、前記中多孔性酸化物膜を疎水性
    にするために前記中多孔性酸化物膜をシリル化する工程
    を含む、請求項23に記載の方法。
  39. 【請求項39】 前記中多孔性酸化物膜のシリル化が、
    テトラメチルジシラザン(TMDS)、ヘキサメチルジ
    シラザン(HMDS)、ジメチルアミノトリメチルシラ
    ン及びそれらの組み合わせからなる群より選ばれるシリ
    ル化剤によって成される、請求項38に記載の方法。
  40. 【請求項40】 前記シリル化工程が約25℃〜200
    ℃の間の温度にて行われる、請求項39に記載の方法。
  41. 【請求項41】 更に前記中多孔性酸化物膜上にキャッ
    ピング層を処理する工程を含む、請求項40に記載の方
    法。
  42. 【請求項42】 前記キャッピング層が、窒化珪素、二
    酸化珪素、酸窒化珪素、非晶質炭化珪素及びこれらの組
    み合わせからなる群より選ばれる物質から構成される、
    請求項41に記載の方法。
  43. 【請求項43】 (a)基板をチャンバ内に導入する工
    程; (b)該基板上にゾルゲル前駆物質を処理して酸化物膜
    を形成する工程であって、該ゾルゲル前駆物質は、珪素
    /酸素化合物、有機溶剤、水及び界面活性剤を含む、前
    記工程;及び (c)該膜を不活性環境下で約200℃〜約450℃の
    温度にて加熱することにより、該膜より該有機溶媒、水
    及び界面活性剤を除去して中多孔性酸化物膜を形成する
    工程;を含む、基板上に中多孔質酸化物膜を形成する方
    法。
  44. 【請求項44】 前記中多孔性酸化物膜が均一な直径を
    有する内部連通孔構造を含む、請求項43に記載の方
    法。
  45. 【請求項45】 前記中多孔質酸化物膜が更に立方相構
    造を含む、請求項44に記載の方法。
  46. 【請求項46】 前記珪素/酸素化合物前駆体が、テト
    ラエチルオルトシリレート、テトラメトキシシラン、フ
    ェニルトリエチルオキシシラン、メチルトリエトキシシ
    ラン及びこれらの組み合わせからなる群より選ばれる、
    請求項43に記載の方法。
  47. 【請求項47】 前記有機溶剤が、エタノール、イソプ
    ロパノール、n−プロパノール、n−ブタノール、se
    c−ブタノール、t−ブタノール、エチレングリコール
    及びこれらの組み合わせからなる群より選ばれる、請求
    項43に記載の方法。
  48. 【請求項48】 前記界面活性剤が、ポリオキシエチレ
    ンオキサイド−プロピレンオキサイド−ポリエチレンオ
    キサイド トリブロック コポリマー、オクタエチレン
    グリコールモノデシルエーテル、オクタエチレングリコ
    ールモノヘキサデシルエーテル及びこれらの組み合わせ
    からなる群より選ばれる非イオン性界面活性剤である、
    請求項43に記載の方法。
  49. 【請求項49】 更に、前記ゾルゲル前駆物質の処理の
    前にゾルゲル前駆物質に酸又は塩基触媒を添加する工程
    を含む、請求項43に記載の方法。
  50. 【請求項50】 前記不活性環境が、窒素、ヘリウム、
    アルゴン及びこれらの組み合わせからなる群より選ばれ
    る非反応性ガスを含む、請求項43に記載の方法。
  51. 【請求項51】 前記中多孔性酸化物膜が、約400℃
    〜約450℃の間の温度で前記酸化物膜をアニーリング
    することにより形成される、請求項43に記載の方法。
  52. 【請求項52】 前記中多孔性酸化物膜が約30秒〜約
    300秒の間アニールされる、請求項43に記載の方
    法。
  53. 【請求項53】 前記中多孔性酸化物膜が約1.6〜約
    2.2の間の誘電率を示す、請求項43に記載の方法。
  54. 【請求項54】 前記環境が反応性酸素種の酸化環境を
    含む、請求項43に記載の方法。
  55. 【請求項55】 前記酸化環境が約200℃〜約400
    ℃の間の温度で維持される、請求項54に記載の方法。
  56. 【請求項56】 前記酸化物膜が約30秒〜約300秒
    の間前記酸化環境にさらされる、請求項55に記載の方
    法。
  57. 【請求項57】 更に、前記中多孔性酸化物膜を疎水性
    にするためにシリル化する工程を含む、請求項43に記
    載の方法。
  58. 【請求項58】 前記シリル化工程が、テトラメチルジ
    シラザン(TMDS)、ヘキサメチルジシラザン(HM
    DS)、ジメチルアミノトリメチルシラン及びそれらの
    組み合わせからなる群より選ばれるシリル化剤によって
    成される、請求項43に記載の方法。
  59. 【請求項59】 前記シリル化工程が約25℃〜200
    ℃の間の温度にて行われる、請求項43に記載の方法。
  60. 【請求項60】 更に前記中多孔性酸化物膜上にキャッ
    ピング層を処理する工程を含む、請求項43に記載の方
    法。
  61. 【請求項61】 前記キャッピング層が、窒化珪素、二
    酸化珪素、酸窒化珪素、非晶質炭化珪素及びこれらの組
    み合わせからなる群より選ばれる物質から構成される、
    請求項60に記載の方法。
JP2001035825A 2000-02-10 2001-02-13 Pecvdキャッピングモジュールを含む低誘電率誘電体処理のための方法及び一体型装置 Withdrawn JP2002033314A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50212600A 2000-02-10 2000-02-10
US09/502126 2000-02-10

Publications (1)

Publication Number Publication Date
JP2002033314A true JP2002033314A (ja) 2002-01-31

Family

ID=23996454

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001035825A Withdrawn JP2002033314A (ja) 2000-02-10 2001-02-13 Pecvdキャッピングモジュールを含む低誘電率誘電体処理のための方法及び一体型装置

Country Status (5)

Country Link
US (1) US20040020601A1 (ja)
EP (1) EP1124252A2 (ja)
JP (1) JP2002033314A (ja)
KR (1) KR20010082111A (ja)
TW (1) TW490765B (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017485A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017484A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017483A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017487A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2004273786A (ja) * 2003-03-10 2004-09-30 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法
CN1327497C (zh) * 2002-03-04 2007-07-18 罗姆股份有限公司 薄膜的形成方法
WO2009044529A1 (ja) 2007-10-05 2009-04-09 Nec Electronics Corporation 疎水化多孔質膜の製造方法
JP2011074466A (ja) * 2009-09-30 2011-04-14 Nuflare Technology Inc 成膜装置および成膜方法
JP2011079733A (ja) * 2009-10-06 2011-04-21 Advantest Corp 製造装置および製造方法
JP2012169654A (ja) * 2004-12-22 2012-09-06 Applied Materials Inc 基板を処理するクラスタツールアーキテクチャ
JP2013531363A (ja) * 2010-04-30 2013-08-01 株式会社テラセミコン 基板処理装置
JP2013239752A (ja) * 2007-10-22 2013-11-28 Applied Materials Inc ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム
JP2013545285A (ja) * 2010-10-05 2013-12-19 アプライド マテリアルズ インコーポレイテッド オゾン硬化及び硬化後水分処理のためのモジュール
JP2015510260A (ja) * 2012-01-13 2015-04-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を処理する方法および装置
WO2020190449A1 (en) * 2019-03-20 2020-09-24 Applied Materials, Inc. Method of growing thick oxide films at low temperature of thermal oxide quality
JP2022501826A (ja) * 2018-09-29 2022-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 正確な温度及び流量制御を備えたマルチステーションチャンバリッド

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
KR100881722B1 (ko) * 2001-01-19 2009-02-06 도쿄엘렉트론가부시키가이샤 기판의 처리방법 및 기판의 처리장치
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
KR100645654B1 (ko) 2001-06-29 2006-11-13 로무 가부시키가이샤 반도체 장치 및 그 제조 방법
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4000036B2 (ja) * 2002-09-30 2007-10-31 東京エレクトロン株式会社 搬送装置
KR100481181B1 (ko) * 2002-11-08 2005-04-07 삼성전자주식회사 반도체소자의 다공성 물질막을 형성하는 방법
US20040096586A1 (en) * 2002-11-15 2004-05-20 Schulberg Michelle T. System for deposition of mesoporous materials
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
KR100943588B1 (ko) * 2003-09-19 2010-02-23 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US10086511B2 (en) * 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4601403B2 (ja) * 2004-11-25 2010-12-22 パナソニック株式会社 半導体レーザ素子の製造方法及びその製造装置
US20060182535A1 (en) * 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) * 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7798764B2 (en) * 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
US7194199B2 (en) * 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
CN103021908B (zh) * 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
US20090045164A1 (en) * 2006-02-03 2009-02-19 Freescale Semiconductor, Inc. "universal" barrier cmp slurry for use with low dielectric constant interlayer dielectrics
US7803719B2 (en) * 2006-02-24 2010-09-28 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device
WO2007095973A1 (en) * 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Integrated system for semiconductor substrate processing using liquid phase metal deposition
WO2007101207A2 (en) * 2006-02-27 2007-09-07 Anaconda Semi Lp Process chambers for substrate vacuum processing tool
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
KR101074186B1 (ko) * 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080145191A1 (en) * 2006-11-15 2008-06-19 Sokudo Co., Ltd. Actively chilled substrate transport module
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US8752449B2 (en) * 2007-05-08 2014-06-17 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US20090071406A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5238224B2 (ja) * 2007-11-06 2013-07-17 東京エレクトロン株式会社 逆止弁およびそれを用いた基板処理装置
US20090188624A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5225815B2 (ja) * 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN101988212B (zh) * 2009-08-05 2013-06-05 鸿富锦精密工业(深圳)有限公司 湿式镀膜装置
CN101988193B (zh) * 2009-08-05 2014-04-30 鸿富锦精密工业(深圳)有限公司 湿式镀膜***
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
JP5445006B2 (ja) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
TW201201915A (en) * 2010-07-14 2012-01-16 Hon Hai Prec Ind Co Ltd Roller type coating apparatus
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
TW201209212A (en) * 2010-08-16 2012-03-01 Hon Hai Prec Ind Co Ltd Coating device
KR101157192B1 (ko) * 2010-08-31 2012-06-20 주식회사 테라세미콘 배치식 기판 처리 장치
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8968877B2 (en) 2011-02-16 2015-03-03 Honeywell International Inc. Barrier materials for mirror assemblies
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
JP5791329B2 (ja) * 2011-03-31 2015-10-07 大陽日酸株式会社 気相成長装置
JP2012231123A (ja) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
JP5936853B2 (ja) * 2011-12-05 2016-06-22 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
US9337014B1 (en) 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
US20140014621A1 (en) * 2012-07-16 2014-01-16 Zhaoning Yu Analysis of pattern features
US9111971B2 (en) * 2012-07-30 2015-08-18 Applied Materials Israel, Ltd. System and method for temperature control of a semiconductor wafer
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
TWI474499B (zh) * 2012-10-12 2015-02-21 Iner Aec Executive Yuan Microcrystalline silicon thin film solar cell element and its manufacturing method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9717147B2 (en) 2013-09-26 2017-07-25 Applied Materials, Inc. Electronic device manufacturing system
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9209017B2 (en) 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
CN104022074B (zh) * 2014-06-02 2017-01-11 复旦大学 一种含纳米孔隙的低介电常数复合薄膜的制备方法
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6822953B2 (ja) * 2014-11-25 2021-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6386394B2 (ja) * 2015-02-18 2018-09-05 東芝メモリ株式会社 複合プロセス装置
JP6496210B2 (ja) * 2015-08-12 2019-04-03 日本電子株式会社 荷電粒子線装置
JP6649157B2 (ja) * 2016-03-30 2020-02-19 株式会社Screenホールディングス 基板処理装置
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10003018B1 (en) * 2017-05-08 2018-06-19 Tokyo Electron Limited Vertical multi-batch magnetic annealing systems for reduced footprint manufacturing environments
CN107634017A (zh) * 2017-08-24 2018-01-26 德淮半导体有限公司 晶圆退火装置
CN110323161B (zh) * 2018-03-30 2023-06-06 芝浦机械电子株式会社 有机膜形成装置以及有机膜制造方法
CN108342706A (zh) * 2018-04-02 2018-07-31 杭州赛威斯真空技术有限公司 一种束线型磁控溅射生产线
US10787739B2 (en) * 2018-10-29 2020-09-29 Applied Materials, Inc. Spatial wafer processing with improved temperature uniformity
US20200161171A1 (en) * 2018-11-16 2020-05-21 Applied Materials, Inc. Scaled liner layer for isolation structure
EP3672040A1 (en) * 2018-12-17 2020-06-24 Nexperia B.V. Device for enabling a rotating and translating movement by means of a single motor; apparatus and system comprising such a device
JP7213787B2 (ja) * 2018-12-18 2023-01-27 芝浦メカトロニクス株式会社 成膜装置
US11107709B2 (en) * 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
EP3733927B1 (en) * 2019-02-28 2024-06-19 TMEIC Corporation Film forming device
KR20200143605A (ko) 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자
DE102019123556A1 (de) * 2019-09-03 2021-03-04 Aixtron Se Lademodul für ein CVD-Reaktorsystem
CN111850518A (zh) * 2020-07-21 2020-10-30 上海理想万里晖薄膜设备有限公司 托盘预热腔及对应的pecvd设备
CN117187780A (zh) * 2022-05-30 2023-12-08 长鑫存储技术有限公司 半导体基板加工装置与膜厚改善方法
CN118136486A (zh) * 2024-05-08 2024-06-04 深圳市矩阵多元科技有限公司 基材翻转机构、基材翻转装置及基材种子层制备***

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4004130A (en) * 1975-10-23 1977-01-18 Fluoroware Systems Corporation Hot plates
US4315705A (en) * 1977-03-18 1982-02-16 Gca Corporation Apparatus for handling and treating wafers
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
JPS5534258A (en) * 1978-09-01 1980-03-10 Tokyo Denshi Kagaku Kabushiki Coating solution for forming silica film
US5024747A (en) * 1979-12-21 1991-06-18 Varian Associates, Inc. Wafer coating system
JPS5799639A (en) * 1980-12-12 1982-06-21 Fujitsu Ltd Treatment of negative type resist
JPS57135066A (en) * 1981-02-14 1982-08-20 Tatsumo Kk Rotary applying machine
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
US4507078A (en) * 1983-03-28 1985-03-26 Silicon Valley Group, Inc. Wafer handling apparatus and method
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS6126532A (ja) * 1984-07-13 1986-02-05 Sumitomo Electric Ind Ltd 光フアイバ−用母材の製造方法
US4966519A (en) * 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4816116A (en) * 1985-10-24 1989-03-28 Texas Instruments Incorporated Semiconductor wafer transfer method and arm mechanism
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
JPS63291419A (ja) * 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
JPH01135564A (ja) * 1987-11-23 1989-05-29 Tatsumo Kk 塗布装置
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5151871A (en) * 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
US5094884A (en) * 1990-04-24 1992-03-10 Machine Technology, Inc. Method and apparatus for applying a layer of a fluid material on a semiconductor wafer
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
JP2704309B2 (ja) * 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JP2843134B2 (ja) * 1990-09-07 1999-01-06 東京エレクトロン株式会社 塗布装置および塗布方法
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
KR0167572B1 (ko) * 1991-09-20 1999-02-01 이노우에 아키라 기판도포장치
US5187837A (en) * 1991-11-14 1993-02-23 White Consolidated Industries, Inc. Door hinge assembly
US5612082A (en) * 1991-12-13 1997-03-18 Symetrix Corporation Process for making metal oxides
US5275303A (en) * 1992-02-03 1994-01-04 Applied Materials, Inc. Valve closure mechanism for semiconductor deposition apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5289222A (en) * 1992-06-26 1994-02-22 Semiconductor Systems, Inc. Drain arrangement for photoresist coating apparatus
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US5392989A (en) * 1993-01-13 1995-02-28 Semiconductor Systems, Inc. Nozzle assembly for dispensing liquid
DE69304038T2 (de) * 1993-01-28 1996-12-19 Applied Materials Inc Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same
SG93216A1 (en) * 1993-03-25 2002-12-17 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
TW276353B (ja) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5766824A (en) * 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
US5427820A (en) * 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
EP0634783B1 (en) * 1993-07-16 1997-08-06 Semiconductor Systems, Inc. Thermal process module for substrate coat/develop system
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
KR100307852B1 (ko) * 1993-11-12 2001-12-01 제리 에스터슨 평탄한기판의박막코팅방법및장치
US5885661A (en) * 1993-11-24 1999-03-23 Semiconductor Systems, Inc. Droplet jet method for coating flat substrates with resist or similar materials
JP3388628B2 (ja) * 1994-03-24 2003-03-24 東京応化工業株式会社 回転式薬液処理装置
US5431700A (en) * 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
US5488015A (en) * 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5695817A (en) * 1994-08-08 1997-12-09 Tokyo Electron Limited Method of forming a coating film
TW294821B (ja) * 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
KR100370728B1 (ko) * 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. 기판을균일하게코팅하는방법및장치
JP3095202B2 (ja) * 1994-11-18 2000-10-03 東京応化工業株式会社 回転カップ式液体供給装置
FI98958C (fi) * 1995-04-13 1997-09-10 Spectra Physics Visiontech Oy Menetelmä säiliön paikantamiseksi säiliön vuorauksen kulumismittauksessa
JP2994228B2 (ja) * 1995-04-24 1999-12-27 東京応化工業株式会社 回転カップ式塗布装置及び塗布方法
JPH0945611A (ja) * 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd 回転式基板塗布装置
US5788868A (en) * 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5611886A (en) * 1995-09-19 1997-03-18 Integrated Solutions, Inc. Process chamber for semiconductor substrates
JPH09107013A (ja) * 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd 基板受け渡し装置
JP3227642B2 (ja) * 1995-10-13 2001-11-12 東京エレクトロン株式会社 塗布装置
JP3461068B2 (ja) * 1995-10-30 2003-10-27 東京応化工業株式会社 回転カップ式液体供給装置
US5725663A (en) * 1996-01-31 1998-03-10 Solitec Wafer Processing, Inc. Apparatus for control of contamination in spin systems
KR100274127B1 (ko) * 1996-04-23 2001-01-15 이시다 아키라 기판 온도 제어방법, 기판 열처리장치 및 기판 지지장치
US5858108A (en) * 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
US5756157A (en) * 1996-10-02 1998-05-26 Silicon Valley Group Method for processing flat panel displays and large wafers
JP3420900B2 (ja) * 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 塗布液塗布方法
TW353777B (en) * 1996-11-08 1999-03-01 Tokyo Electron Ltd Treatment device
US5810993A (en) * 1996-11-13 1998-09-22 Emec Consultants Electrolytic production of neodymium without perfluorinated carbon compounds on the offgases
US5838121A (en) * 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JP3315608B2 (ja) * 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 塗布液塗布方法
US5942037A (en) * 1996-12-23 1999-08-24 Fsi International, Inc. Rotatable and translatable spray nozzle
JP3333121B2 (ja) * 1996-12-25 2002-10-07 東京エレクトロン株式会社 塗布装置
JP3578577B2 (ja) * 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 処理液供給方法及びその装置
JP3559133B2 (ja) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
US5916368A (en) * 1997-02-27 1999-06-29 The Fairchild Corporation Method and apparatus for temperature controlled spin-coating systems
JP3693783B2 (ja) * 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 基板処理装置
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
JP3665826B2 (ja) * 1997-05-29 2005-06-29 Smc株式会社 基板熱処理装置
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6177133B1 (en) * 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
US6098843A (en) * 1998-12-31 2000-08-08 Silicon Valley Group, Inc. Chemical delivery systems and methods of delivery
US6214120B1 (en) * 1999-08-27 2001-04-10 Innovac Corporation High throughput multi-vacuum chamber system for processing wafers and method of processing wafers using the same
TW471011B (en) * 1999-10-13 2002-01-01 Semiconductor Energy Lab Thin film forming apparatus

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017484A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017483A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017487A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017485A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
CN1327497C (zh) * 2002-03-04 2007-07-18 罗姆股份有限公司 薄膜的形成方法
JP2004273786A (ja) * 2003-03-10 2004-09-30 Ulvac Japan Ltd 疎水性多孔質sog膜の作製方法
JP2012169654A (ja) * 2004-12-22 2012-09-06 Applied Materials Inc 基板を処理するクラスタツールアーキテクチャ
US8273410B2 (en) 2007-10-05 2012-09-25 Renesas Electronics Corporation Process for manufacturing hydrophobized microporous film
WO2009044529A1 (ja) 2007-10-05 2009-04-09 Nec Electronics Corporation 疎水化多孔質膜の製造方法
JP2009094183A (ja) * 2007-10-05 2009-04-30 Nec Electronics Corp 疎水化多孔質膜の製造方法
JP2013239752A (ja) * 2007-10-22 2013-11-28 Applied Materials Inc ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム
JP2011074466A (ja) * 2009-09-30 2011-04-14 Nuflare Technology Inc 成膜装置および成膜方法
JP2011079733A (ja) * 2009-10-06 2011-04-21 Advantest Corp 製造装置および製造方法
JP2013531363A (ja) * 2010-04-30 2013-08-01 株式会社テラセミコン 基板処理装置
JP2013545285A (ja) * 2010-10-05 2013-12-19 アプライド マテリアルズ インコーポレイテッド オゾン硬化及び硬化後水分処理のためのモジュール
JP2015510260A (ja) * 2012-01-13 2015-04-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を処理する方法および装置
JP2022501826A (ja) * 2018-09-29 2022-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
JP7121447B2 (ja) 2018-09-29 2022-08-18 アプライド マテリアルズ インコーポレイテッド 正確な温度及び流量制御を備えたマルチステーションチャンバリッド
WO2020190449A1 (en) * 2019-03-20 2020-09-24 Applied Materials, Inc. Method of growing thick oxide films at low temperature of thermal oxide quality

Also Published As

Publication number Publication date
KR20010082111A (ko) 2001-08-29
TW490765B (en) 2002-06-11
EP1124252A2 (en) 2001-08-16
US20040020601A1 (en) 2004-02-05

Similar Documents

Publication Publication Date Title
JP2002033314A (ja) Pecvdキャッピングモジュールを含む低誘電率誘電体処理のための方法及び一体型装置
US6559070B1 (en) Mesoporous silica films with mobile ion gettering and accelerated processing
US7655092B2 (en) Tandem process chamber
US5902088A (en) Single loadlock chamber with wafer cooling function
US7381052B2 (en) Apparatus and method for heating substrates
US6838115B2 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
KR100528355B1 (ko) 하나이상의가스를여기시키기위한장치
US7682517B2 (en) Method of processing substrate, and method of and program for manufacturing electronic device
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
US20070087522A1 (en) Dielectric Gap Fill With Oxide Selectively Deposited Over Silicon Liner
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
JP3666751B2 (ja) 絶縁膜の形成方法及び絶縁膜形成システム
JPH10154739A (ja) 超高スループット・ウェハ真空処理システム
JPH10275848A (ja) デュアル・ブレード・ロボット
JPH10154738A (ja) ウェハ・カセット回転台及びオンザフライ・ウェハ・センタ・ファインディングを有する前置ウェハ・ステージング
CN105374676B (zh) 低k电介质膜的形成
EP1685588A1 (en) Method for depositing materials on a substrate
WO2022125388A1 (en) Photoresist development with organic vapor
WO2007126445A2 (en) Method and system for patterning a dielectric film
WO2002004134A1 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
EP1079426A1 (en) Integration scheme using selfplanarized dielectric layer for shallow trench isolation (STI)
WO2023009364A1 (en) Rework of metal-containing photoresist
JP2004502535A (ja) マイクロエレクトロニックデバイスへの組込みに好適な低誘電率誘電体膜を形成する熱処理システムおよび方法
EP1299195A1 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
JPH0682650B2 (ja) 酸化膜形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080212

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080723