JP2001524251A - プラズマ加工処理システムのイオンエネルギーとプラズマ密度を制御するための方法と装置 - Google Patents

プラズマ加工処理システムのイオンエネルギーとプラズマ密度を制御するための方法と装置

Info

Publication number
JP2001524251A
JP2001524251A JP54413998A JP54413998A JP2001524251A JP 2001524251 A JP2001524251 A JP 2001524251A JP 54413998 A JP54413998 A JP 54413998A JP 54413998 A JP54413998 A JP 54413998A JP 2001524251 A JP2001524251 A JP 2001524251A
Authority
JP
Japan
Prior art keywords
plasma
power
high frequency
power circuit
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP54413998A
Other languages
English (en)
Other versions
JP4531138B2 (ja
Inventor
パトリック・ロジャー
ウィリアムズ・ノーマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2001524251A publication Critical patent/JP2001524251A/ja
Application granted granted Critical
Publication of JP4531138B2 publication Critical patent/JP4531138B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

(57)【要約】 プラズマ加工処理システムは、プラズマ反応装置、第1の電力回路、第2の電力回路、およびフィードバック回路を含んでいる。第1の電力回路は、プラズマチェンバー内に置かれた加工物に直流バイアスを発生させるのに適した第1の高周波(rf)エネルギーを、プラズマ反応装置に供給する。第2の電力回路は、プラズマチェンバー内にプラズマを打つのに適した第2の高周波エネルギーを、プラズマ反応装置に供給する。フィードバック回路は、第1の高周波エネルギーと関連した少なくとも1つのパラメーターを検出することおよび第1の電力回路にフィードバック制御信号を供給することによって、第1の電力回路を制御するために結合される。第1の電力回路は、プラズマチェンバー内のイオン化された粒子のエネルギーレベルが、第1の高周波エネルギーによって発生した直流バイアスを介して実質的に制御されるように、第1の高周波エネルギーを調整する。また、プラズマチェンバー内のプラズマ密度のレベルが実質的に制御されるように、第2の電力回路を制御するために、第2のフィードバック回路が備えられる。

Description

【発明の詳細な説明】 プラズマ加工処理システムのイオンエネルギーとプラズマ密度を制御するため の方法と装置 技術分野 本発明は、プラズマ加工処理システムに関し、特に、誘導性結合されたプラズ マ加工処理システムにおけるイオンエネルギーの量および/またはプラズマ密度 を制御するための方法と装置に関する。 背景技術 イオン化されたガス、またはプラズマは、半導体デバイスの加工処理と製造を 通して一般的に使われている。例えば、プラズマは、半導体集積回路ウェハーを エッチングしたりまたは半導体集積回路ウェハーから原料を取り去ったり、さら に、半導体、導体または絶縁体の表面上に原料をスパッタリングしたり蒸着する ために使用できる。製造または製造過程において使用するためのプラズマを発生 させることは、一般的に、様々なプロセスガスを、ガスが集積回路ウェハーなど の加工物と接触するプラズマ反応装置内のプラズマチェンバーに導入することに よって始まる。チェンバー内のガス粒子は、外部の電源からプラズマチェンバー に供給された高周波(rf)信号によって、プラズマ中でイオン化される。加工処 理の間に、プラズマおよびイオン化された粒子が、加工物と接触する。 プラズマチェンバーに加えられた高周波(rf)信号は、その時、電子とイオンの さらなる生成を引き起こす個々のガス粒子に対して衝突する電子を加速する電界 をもたらす。プラズマ反応装置内に電界をもたらすために、いくつかの方法があ る。プラズマ加工処理システムの2種類の一般的なタイプが、容量性結合された プラズマ加工処理システムと誘導性結合されたプラズマ加工処理システムである 。 図1は、半導体デバイスの加工処理と製造に使用される典型的な容量性結合さ れたプラズマ加工処理システム10を例示している。図に示されるように、プラ ズマ加工処理システム10は、その中にプラズマチェンバー13を有するプラズ マ反応装置12を備えている。プラズマ加工処理チェンバー13内には、コンデ ンサーを形成する2つの電極14aおよび14bが設けられている。電極14a はグラウンドに結合され、電極14bは整合ネットワーク18を介して電源16 から高周波(rf)信号を受け取るために接続されている。電源16がエネルギーを 与えられるときには、高周波(rf)信号は、電極14aと14bの間に成形され た容量性回路に加えられる。もしその時、プラズマチェンバー13内にイオン化 可能なガスが供給されるならば、高周波信号が加えられるときにプラズマ22が 形成されるであろう。 プラズマ加工処理システム10は、ただ1つの電源16を備えているので、電 源16により生成された高周波信号の電力を増大させることは、電極14bとウ ェハー24においてプラズマの密度(すなわち、プラズマ密度)と直流(dc)バ イアスを増大させる傾向がある。直流バイアスの増加は、通常、ウェハー24と 接触しているイオン化された粒子のエネルギー(すなわち、イオンエネルギー) を増大させるプラズマシース26を横切る電位降下に対応した増加を引き起こす 。 また、図2は、半導体デバイスの加工処理と製造の時に使われうる従来の誘導 性結合されたプラズマ加工処理システム30を例示している。誘導性結合された プラズマ加工処理システム30は、その中にプラズマチェンバー33を有するプ ラズマ反応装置32を含んでいる。図1のプラズマ加工処理システム10と違っ て、誘導性結合されたプラズマ加工処理システム30は、2つの電源34と36 を含み、これらはプラズマチェンバー33内に発生されたプラズマに影響を与え る。電源34は、プラズマ反応装置32内に置かれた電極である受け台40に、 整合ネットワーク38を介して高周波(rf)信号を供給するために設けられている 。電源34の高周波(rf)信号は、一般的に受け台40の一番上の表面44に置か れるウェハー42に直流バイアスを生じさせる。 電源36は、プラズマチェンバー33の近くに配置されたコイル48に、整合 ネットワーク46を介して高周波(rf)信号を供給するために設けられている。図 に示されるように、窓50、例えばセラミックのプレートは、一般的にプラズマ チェンバー33からコイル48を分離するために備えられる。また、図に示され るように、一般的に、プラズマ反応装置32に対して、製造工程のために必要な 最適な化学反応を供給するガス供給メカニズム52が設けられている。ガス排気 メカニズム54は、プラズマチェンバー33内の粒子を取り去り、プラズマチェ ンバー33内に特定の気圧を維持する。結果として、電源36により生成された 高周波(rf)信号が、プラズマチェンバー33に供給されるイオン化可能なガスを 伴うプラズマ56を発生する。 プラズマ放電における高周波(rf)電力の制御と供給は、プラズマ加工処理にお いて基本的な重要性をもっている。プラズマチェンバーにおける実際の電力の量 は、プロセス条件に大いに影響している。プラズマチェンバーに供給された実際 の電力の大きな変動は、気圧、温度、そしてエッチング速度などの他のプロセス 可変パラメーターの予期されている作用を変化させてしまう。 図1と図2において説明されるように、プラズマチェンバー内に前もって決定 された高周波電力を得るための最も一般的に使われる方法は、電力回路内に整合 ネットワークを備えることである。整合ネットワークは、プラズマ放電のインピ ーダンス(容量性のまたは誘導性のリアクタンス)を、本質的に、電源に対する 実質的な抵抗負荷に変換する。単一のまたは複数の電源は、その時、要求された プロセスパラメーターに依存する前もって決定された電力レベルに設定できる。 一例として、典型的な整合ネットワークは、整合させる構成要素として(低い 方から高い方までの高周波のための)可変のコンデンサーおよび/またはインダ クタ、さらには、(マイクロ波周波数での使用のための)可変のキャビティータ ップまたは整合スタブを含んでいる。整合ネットワークは、手動によってまたは 自動的に調整できるが、ほとんどの整合ネットワークは変化する負荷条件に対し て自動的に適合する。 プラズマチェンバーに供給される高周波(rf)電力の量をさらに制御する努力に おいて、典型的なプラズマ加工処理システムでは、単一のまたは複数の電源から の出力が、監視され、そして制御される。これは、通常、電源自身の出力におい て、ある程度、整合ネットワークでの電力損失を無視してよいという仮定に基づ いている。 しかし、プラズマチェンバーに供給される高周波(rf)電力は、例えば整合ネッ トワーク自体における予期しない損失のために、実質的に高周波電源出力よりも 少ないことが突き止められた。容量性結合されたプラズマ加工処理システムの整 合ネットワークでの損失を明らかにするために、付加的な感知回路と制御回路が 電力回路に付加された。例えば、米国特許第5175472、5474648、および5556549 は、プラズマチェンバー内の要求された高周波電力レベルに達するために、電源 の出力を調整する付加的なフィードバック制御ループ回路を提供するために、高 周波センサーとコントローラの使用の種々の方法を開示している。 コイルに供給された高周波電力がプラズマ密度を制御し、受け台に供給された 高周波電力が、(すなわち、直流バイアスを制御することによって)ウェハーと 接触しているイオンのエネルギーを制御するという点で、2つの電源がお互いに 独立であると、長い間信じられていたので、そのようなフィードバック技術は、 誘導性結合されたプラズマ加工処理システムにおいて使われなかった。そのため 、2つの電源を持つことによって、プロセス上の付加的な制御は、2つの電源の 出力を独立に設定して、それらを開ループモードで(すなわち、フィードバック なしで)操作することによって本来提供されると想定されていた。 しかし、実際には、プラズマ密度とイオンエネルギーは、ソースとウェハー受 け台に供給された電力の間で結合しているので、真に独立したものではない。こ の結合は、図3に示されており、例えば、それは、コイルに供給される高周波電 力を設定する様々な高周波電力、およびプラズマチェンバーのトップとウェハー の表面の間の様々なキャップ(すなわち、4または6cm)にふさわしい、直流 バイアスに対するウェハーに供給された高周波電力のグラフである。図3にプロ ットされたデータは、カリフォルニア、フレモントのラム・リサーチ・コーポレ ーションから入手できるTCP9600SE加工処理システムから収集された。 図に示されるように、受け台に供給された高周波電力(すなわち、底部の電力) が増大する時に直流バイアスの大きさは増加する傾向がある。しかし、与えられ た底部電力のために生じる直流バイアスは、また、コイルに供給される高周波電 力(すなわち、TCP電力)に依存し、さらにある程度はギャップ間隔60に依 存する。また、2つの高周波電源の間のこの結合は、ウェハーと接触しているイ オンのエネルギーに反映している。従って、プラズマ密度がTCP電源によって もっぱら制御されるような場合、一方においてイオンエネルギーは、底部電源に よって独占的に制御されることがない。 従って、誘導性結合されたプラズマ加工処理システムにおいて、プラズマ密度 とイオンエネルギーをより効果的に制御するための方法と装置が必要とされてい る。 発明の概要 本発明の一実施例のとおり、プラズマ反応装置、第1の電力回路、第2の電力 回路、およびフィードバック回路を含むプラズマ加工処理システムが提供される 。プラズマ反応装置内には、プラズマチェンバーが設けられている。第1の電力 回路は、プラズマチェンバー内の第1の高周波(rf)エネルギーを供給するため に設けられている。第1の高周波エネルギーは、プラズマチェンバー内に置かれ た加工物に直流バイアスを発生させるのに適したものである。第2の電力回路は 、プラズマチェンバー内に第2の高周波エネルギーを供給するために設けられて いる。第2の高周波エネルギーは、プラズマチェンバー内で例えばプラズマチェ ンバーに供給されたガス分子と原子をイオン化してプラズマを打つのに適したも のである。フィードバック回路は、第1の電力回路に結合され、第1の高周波エ ネルギーと関連した少なくとも1つのパラメーターを検出するために設けられて いる。フィードバック回路は、その時、検出されたパラメーターに基づくフィー ドバック制御信号を第1の電力回路に出力する。第1の電力回路は、このフィー ドバック制御信号にすぐに反応し、プラズマチェンバー内のイオン化された粒子 のエネルギーレベルが第1の高周波エネルギーによって発生した直流バイアスを 介して実質的に制御されるような第1の高周波エネルギーをプラズマチェンバー に供給する。 本発明の他の実施例において、第2のフィードバック回路が備えられる。第2 のフィードバック回路は、第2の電力回路に結合されて、第2の高周波エネルギ ーと関連した少なくとも1つのパラメーターを検出するために設けられている。 第2のフィードバック回路は、パラメーターに基づく第2のフィードバック制御 信号を第2の電力回路に出力する。第2の電力回路は、第2のフィードバック制 御信号に反応して、プラズマチェンバー内のプラズマ密度のレベルが実質的に制 御されるような第2の高周波エネルギーをプラズマチェンバーに供給する。 さらに、本発明の他の実施例のとおり、第1の電力回路および第2の電力回路 を備えている誘導性結合されたプラズマ反応装置において、イオンエネルギーと プラズマ密度を独立して制御するための制御回路を使用する方法が提供される。 この方法は、プラズマ反応装置内の電極に供給するとき、第1の電力回路からの 出力信号の少なくとも1つのパラメーターを測定すること、および、測定された パラメーターと要求パラメーターレベルに基づいて第1の電力回路からの出力信 号を調整することを含んでいる。従って、第1の電力回路の出力が、イオンエネ ルギーレベルを制御する直流(dc)バイアスを電極に発生させるので、第1の電 力回路の出力の大きさを介してイオンエネルギーを独立に制御することを可能に している。さらに、プラズマ密度も、プラズマを発生させる第2の電力回路の出 力の大きさによって独立に制御される。 さらに、本発明の他の実施例のとおり、この方法は、プラズマ反応装置に第1 の高周波(rf)信号を供給すること、第1の高周波信号によって直流バイアスを 発生させること、プラズマ反応装置に第2の高周波信号を供給すること、第2の 高周波信号によってプラズマチェンバー内にプラズマを打つこと、第1の高周波 信号のパラメーターを検出すること、第1の高周波信号のパラメーターを要求パ ラメーターと比較すること、および比較結果に基づいて、第1の高周波信号によ って設定されるように加工物と接触しているイオン化された粒子のエネルギーレ ベルが直流バイアスの大きさを介して実質的に制御されるように第1の高周波信 号を修正することをさらに含んでいる。 さらに本発明の別の実施例のとおり、この方法は、第2の高周波信号の第2の パラメーターを検出すること、この第2のパラメーターを第2の要求パラメータ ーレベルと比較し、比較結果に基づいて、プラズマ反応装置内のプラズマ密度が 実質的に制御されるように第2の高周波信号を修正することを含んでいる。 本発明に関して割愛したことおよび本発明の他の特徴、様相、および利点は、 添付図面を用いた本発明の以下の詳細な説明からより明白になる。 図面の簡単な説明 図1は、単一な電源を備えている従来の容量性結合されたプラズマ加工処理シ ステムを説明するブロック図である。 図2は、2つの電源を備えている従来の誘導性結合されたプラズマ加工処理シ ステムを説明するブロック図である。 図3は、図2の中の従来の誘導性結合されたプラズマ加工処理システムの直流 (dc)バイアスレベルを説明するグラフである。 図4aは、本発明の一実施形態における、フィードバック回路を備えることで 、改善された誘導性結合されたプラズマ加工処理システムを説明するブロック図 である。 図4bは、本発明の一実施形態における、2つのフィードバック回路を備える ことで、改善された誘導性結合されたプラズマ加工処理システムを説明するブロ ック図である。 図5は、図4aおよび図4bの誘導性結合されたプラズマ加工処理システムに おいて使われ得る本発明の実施形態におけるフィードバック回路の1つの実施例 を説明するブロック図である。 図6aは、図2に示されるような従来の誘導性結合されたプラズマ加工処理シ ステムのプラズマチェンバーに供給された結果として生じている負荷電力を説明 するグラフである。 図6bは、本発明の一実施形態における、図4aに示されるような改善された 誘導性結合されたプラズマ加工処理システムのプラズマチェンバーに供給された 結果として生じている負荷電力を説明するグラフである。 図7は、図2に示されるような従来の誘導性結合されたプラズマ加工処理シス テムにおいて電源から見た好適な整合ネットワークと処理チェンバー負荷を説明 する簡素化された概略回路である。 図8は、図6aおよび図6bにおいてプロットされたデータに相当している負 荷抵抗と電力送り出し効率との関係を説明するグラフである。 好適な実施形態の詳細な説明 プラズマ処理は、例えば半導体ウェハーなどの加工物の処理結果を評価するこ とによって、最終的に最適化され、そして特徴付けられる。この処理結果は、プ ラズマ処理パラメーターの関数として特徴付けられ、その関数は、例えば高周波 電力、プラズマガス気圧、温度、プラズマチェンバーのキャップ間隔、プラズマ 形成に用いるガスのタイプ、そしてガス流量等である。上記のように、プラズマ 処理のよりよい制御のために、処理チェンバーに実際供給される高周波電力の特 性を制御することが望ましい。 本発明の様々な実施形態の方法と装置は、誘導性結合されたプラズマ加工処理 システムにおけるプラズマ密度および/またはイオンエネルギー上の改善された 制御を提供する。例えば、本発明によって、プラズマ密度とイオンエネルギーは 、プラズマチェンバーに適用されるような電源のそれぞれの高周波電力の影響を 本質的に結び付けない1つ以上のフィードバック制御回路によって独立して制御 できる。 この考えをもって、図4aは、本発明の一実施形態に従って、バイアス電力回 路の付加的なフィードバック回路100を除いて、図2に示されたプラズマ加工 処理システムと同様な改善された誘導性結合されたプラズマ加工処理システム3 0’を説明するブロック図である。 図に示されるように、フィードバック回路100は受け台40と電源34の間 に連結されている。フィードバック回路100は、整合ネットワーク38によっ て受け台40に供給された高周波信号の少なくとも1つのパラメーターを測定す るために設けられている。このパラメーターは、例えば、電流、電圧、電力、イ ンピーダンス、および/または電力回路とプラズマ反応装置32内の受け台40 と負荷に供給されたときの高周波信号に関連した位相角等を含んでいる。これら の感知されたパラメーターのうちの1つ以上に基づいて、例えば、供給された高 周波電力または負荷インピーダンスが、測定され、および/または、派生される 。 フィードバック回路100は、例えば受け台40に供給された高周波信号の電 圧(例えば、実効値電圧)を監視し、供給された電力を計算し、供給された電力 と要求された電力レベルとを比較するために設けられている論理回路および/ま たはコンピュータ処理回路を含んでいる。この比較結果は、例えばフィードバッ ク制御信号として電源34に供給される。このフィードバック制御信号は、要求 された電力レベルと一致するように、電源34の出力を調整する。 当業者ならば、他のパラメーターが監視されて、これらのパラメータが、同様 に、受け台40に供給された高周波信号を調整するために使用できることを認識 するだろう。一例としては、もしユーザーが、気圧、ガス供給量またはTCP電 力などの他の処理パラメーターを変える場合に、固定したイオンエネルギーを維 持したいならば、コントローラは、固定した実効値電圧レベルを受け台40に維 持するために設定できる。これは、同様に、直流バイアスとイオンエネルギーを 固定することに相当するであろう。 図4bは、本発明の別の実施例に従って、プラズマを発生する電力回路の第2 のフィードバック回路102を除いて、図4aに示されたプラズマ加工処理シス テムと同様な改善された誘導性結合されたプラズマ加工処理システム30”を説 明するブロック図である。 図に示されるように、フィードバック回路102はコイル48と電源36の間 に連結されている。フィードバック回路102は、整合ネットワーク46によっ てコイル48に供給された高周波信号の少なくとも1つのパラメーターを測定す るために設けられている。フィードバック回路100と同様に、パラメーターは 、例えば、電流、電圧、電力、インピーダンス、および/または電力回路とプラ ズマ反応装置32内のコイル48と負荷に供給された高周波信号に関連した位相 角等を含んでいる。これらの感知されたパラメーターのうちの1つ以上に基づい て、例えば、供給された高周波電力または負荷インピーダンスが計算される。 一例として、フィードバック回路102は、コイル48に加えられた高周波信 号の電圧(例えば、実効値電圧)を監視し、供給された電力を計算し、供給され た電力と要求された電力レベルとを比較するために設けられている論理回路およ び/またはコンピュータ処理回路を含んでいる。この比較結果は、例えばフィー ドバック制御信号として電源36に供給される。このフィードバック制御信号は 、要求された電力レベルと一致するように、電源36の出力を調整する。 さらに、フィードバック回路100と102を、命令を実行するコンピュータ と、このコンピュータを走らせる他のコンピュータのような一般的なコンピュー タ処理回路に分けることができることが知られている。さらに、分けられたコン ピュータ処理回路は、1つ以上のフィードバック制御信号を発生することにおい て、電源から、感知されたパラメーターのそれぞれだけでなく製造プロセスの状 態を考慮することをさらに含んでいるかもしれない。 図5は、例えば図4aおよび図4bにおいて、誘導性結合されたプラズマ加工 処理システムの電源にフィードバック制御信号を供給するために使用されるフィ ードバック回路100’の一実施例を説明するブロック図である。フィードバッ ク回路100’は、センサー104とコントローラ106を含んでいる。センサ ー104は、負荷に供給した高周波(rf)信号の1つ以上のパラメータ(例えば、 ケーブル、コネクタ、受け台、コイル/共鳴器、プラズマ、ウェハー、または同 類、およびこれらに関連した要素)を測定または検出するために設けられ、感知 されたパラメーター信号をコントローラ106に出力する。 好適な実施例におけるセンサー104は、コロラドのフォートコリンズにある アドバンスト・エネルギー・コーポレーション(Advanced Energy Corporation )から入手できるRFZ60高周波プローブである。RFZ60を用いて、電力 、電圧、および複素数インピーダンスが、順方向および反射方向の高周波のベク トル結合を表現する低レベルの信号から取り出される。センサーは、様々な知ら れている負荷、および(アドバンスト・エネルギー・コーポレーションから提供 される)推定アルゴリズムを使って較正され、高調波はプローブ内のフィルタで 抑圧される。 コントローラ106は、センサー104から、感知されたパラメーター信号を 受け取り、フィードバック制御信号を電源(例えば、電源34または36)に供 給するために連結される。コントローラ106は、さらに、電源に対するオペレ ーションの要求レベルを定義する要求パラメーターレベルの指示を受け取るため に設けられている。例えば、要求パラメーターレベルは、コントローラ106, 或いは、コントローラ106に情報を供給する別の回路/デバイス(電源自身な ど)に対して直接ユーザーによって設定または信号入力される。コントローラ1 06は、例えば、プロセッサ、メモリー、および入出力メカニズムを含んでいる コンピュータシステムである。 好ましい実施例では、コントローラ106は、アドバンスト・エネルギー・コ ーポレーションから入手できるインテリジェントケーブルデバイスを含んでいる 。インテリジェントケーブルデバイスは、RFZ60プローブと電源の電力制御 回路に連結される。インテリジェントケーブルは、どのような残留計算でも完遂 し、電源内の電力制御回路(図示せず)から受け取れるように、要求パラメータ ーレベルに基づくフィードバック制御信号を生成する。さらに、インテリジェン トケーブルは、能力を監視および/または制御する付加的なパラメータを供給す るために、パーソナルコンピュータ(PC)等のコンピュータシステムに連結さ れる。 フィードバック回路100’無しでは、電力回路は、本質的に開ループモード で動作し、要求された電力が(例えば)マシンコンソールにおいて設定されて、 電源は整合ネットワークと負荷にその量の電力を供給する。しかし、フィードバ ック回路100’を備えると、電力回路は、本質的に、要求された電力レベルが 実際にセンサー104によって測定されたように負荷に加えることを保証するた めに、電源から供給された高周波電力、電圧および/または電流の量を制御する 閉ループモードで動作する。 本発明の様々な実施例の利点は、ラムリサーチTCP9600SEシステムか ら収集されたデータのテスト結果を示す図6aおよび図6bにおいて明確に説明 される。図6aにおいては、システムは、図2(データを収集するために高周波 プローブが使用されたことを例外とする)に例示するように構成されている開ル ープモードで動作された。図6bにおいては、システムは、図4aと図5に従っ て配置された閉ループモードで動作された。 図6aに例示するような開ループモードでは、受け台に加えられた高周波電力 の測定電圧は、電源34(バイアス電力)と電源36(TCP電力)からの出力 の関数である。電源34によって生成された高周波信号の電圧は垂直軸に沿って プロットされ、センサー104によって測定された実際の負荷電力は水平軸に沿 ってプロットされている。この例において、バイアス電力のレンジは100ワッ トから500ワットまでであり、TCP電力のレンジは0ワットから800ワッ トまでであり、双方とも100ワット間隔でプロットされている。 図6bに例示するような閉ループモードでは、また、電源34によって生成さ れた高周波信号の電圧が垂直軸に沿ってプロットされ、センサー104によって 測定された実際の負荷電力が水平軸に沿ってプロットされている。また、この例 では、バイアス電力のレンジは100ワットから500ワットまでであり、TC P電力のレンジは0ワットから800ワットまでであり、双方とも100ワット 間隔でプロットされている。図に示されるように、供給された高周波電力は、今 度は実質的に一定で、TCP電力から独立している。 この考えをもって、今や電力回路の効率か考慮される。負荷への電力供給の効 率は、種々のシステムの間で製法を移す時に、かなりの関心と重要性をもってい るかもしれない。ネットワークを通る電力転送の効率は次のように定義される。 効率=(PL/PG) …(等式1) ここで、PLは負荷に供給された電力であり、PGは電源から供給された電力で ある(ケーブルでの電力損失を無視し、反射電力が低いと仮定する)。例えば、PL とPGは、定義された間隔によってセンサーとコントローラにより測定されて、 記録できる。 図7は、電源から見たときの好適な整合ネットワークと処理チェンバー負荷を 説明する簡素化された概略回路200を示す図である。回路200は、整合抵抗 RM202、整合インダクタンス204、整合連続静電容量206、負荷インピ ーダンス208、および電流(i)210を含んでいる。 従って、効率は次の式によって表される。 効率=PL/(PL+PM) =(i2×RL)/{(i2×RL)+(i2×RM)} =RL/(RL+RM) …(等式2) ここで、PMは、整合ネットワークにおいて浪費された電力である。もし整合 抵抗RMが一定であるなら、そのとき、等式1対RLから得られた効率のプロット は、RMの値が抽出できる等式2の簡単な形状を持っているべきである。RLが単 一に0と等しいとき、RLがRMよりもずっと大きく、そして曲線は化学反応、電 力、気圧などから独立であり、整合ネットワークと負荷抵抗にだけ依存するとき には、効率は0から上がる。もしそれらの内部抵抗が違っているならば、整合ネ ットワークは同じ電源に対して異なる電力を供給することが知られている。それ にもかかわらず、効率を知り、フィードバック回路を使うことによって、供給電 力はより効果的に制御できる。 図8は、電力送出効率と図6aおよび図6bのデータに相当している負荷抵抗 との関係を説明するグラフである。データは、上記等式2によって記述された曲 線のまわりで接近してかたまりになっており、さらに、電源の効率が単一よりも 極めて少なく、そして、その効率はプラズマ負荷の大きさに従って変わることを 例示している。従って、閉ループモードにおいては、供給電力が、要求された値 に正確に設定できるのに対して、開ループモードでは、プラズマに実際に供給さ れた電力は本質的に未知である。 さらに、図4bにおいて説明されたシステム構成(すなわち、2つのフィード バック回路)においては、整合ネットワーク、浮遊容量、インダクタンス、また は電力回路と加工処理システムの他の要素が原因となる、効率におけるどのよう な損失からも独立な(理論的)ソースにおいて、供給電力を制御することができ るという付加された利益がある。 本発明の一実施例のとおり、プラズマ反応装置のイオンエネルギーを制御する ための方法が提供される。この方法は、プラズマ反応装置に高周波信号を供給す ること、プラズマ反応装置内に置かれた加工物に高周波信号によって直流バイア スを発生させること、プラズマ反応装置に第2の高周波信号を供給し、さらに、 第2の高周波信号によってプラズマ反応装置内にプラズマを打つこと、高周波セ ンサーで高周波信号のパラメーター、即ち、高周波信号が整合ネットワークによ って生成されそして調節された後に検出されているパラメーターを検出すること 、このパラメーターと要求されたパラメーターレベルとを比較すること、さらに 、比較結果に基づいて、高周波信号によって設定されるように、加工物と接触し ているイオン化された粒子のエネルギーレベルが直流バイアスの大きさを介して 実質的に制御されるように高周波信号を修正することを含んでいる。 本発明の別の実施例のとおり、上記方法はプラズマ密度を制御するためにさら に用いることができる。そのために、この方法は、第2の高周波信号の第2のパ ラメーターを検出すること、第2のパラメーターと第2の要求パラメーターレベ ルとを比較すること、および、この第2の比較結果に基づいて、プラズマ反応装 置内のプラズマの密度が実質的に制御されるように第2の高周波信号を修正する ことを含んでいる。 本発明の方法と装置は、直流バイアスを発生させるための電源と、プラズマを 発生させるための電源の、少なくとも2つの電源を備えている他のプラズマ加工 処理システムに適用可能である。一例として、本発明は、誘導性結合プラズマ(I nductively Coupled Plasma:ICP)、変圧器結合プラズマ(Transformer Coupl ed Plasma:TCP)、電子サイクロトロン共鳴(Electroncyclotron:ECR)、 およびヘリコンまたはヘリカルに共鳴しているプラズマ加工処理システムに適用 可能である。
【手続補正書】特許法第184条の8第1項 【提出日】平成10年3月18日(1998.3.18) 【補正内容】 明細書 プラズマ加工処理システムのイオンエネルギーとプラズマ密度を制御するため の方法と装置 技術分野 本発明は、プラズマ加工処理システムに関し、特に、誘導性結合されたプラズ マ加工処理システムにおけるイオンエネルギーの量および/またはプラズマ密度 を制御するための方法と装置に関する。 背景技術 イオン化されたガス、またはプラズマは、半導体デバイスの加工処理と製造を 通して一般的に使われている。例えば、プラズマは、半導体集積回路ウェハーを エッチングしたりまたは半導体集積回路ウェハーから原料を取り去ったり、さら に、半導体、導体または絶縁体の表面上に原料をスパッタリングしたり蒸着する ために使用できる。製造または製造過程において使用するためのプラズマを発生 させることは、一般的に、様々なプロセスガスを、ガスが集積回路ウェハーなど の加工物と接触するプラズマ反応装置内のプラズマチェンバーに導入することに よって始まる。チェンバー内のガス粒子は、外部の電源からプラズマチェンバー に供給された高周波(rf)エネルギーによって、プラズマ中でイオン化される。 加工処理の間に、プラズマおよびイオン化された粒子が、加工物と接触する。 プラズマチェンバーに加えられた高周波(rf)エネルギーは、その時、電子とイ オンのさらなる生成を引き起こす個々のガス粒子に対して衝突する電子を加速す る電界をもたらす。プラズマ反応装置内に電界をもたらすために、いくつかの方 法がある。プラズマ加工処理システムの2種類の一般的なタイプが、容量性結合 されたプラズマ加工処理システムと誘導性結合されたプラズマ加工処理システム である。 図1は、例えば、1997年マグロウヒル発行の「マイクロチップの製造」第 3版(Van Zant,pp.267,268)に開示されるような、半導体デバイスの加工処 理と製造に使用される典型的な容量性結合されたプラズマ加工処理システム10 を例示し ている。図に示されるように、プラズマ加工処理システム10は、その中にプラ ズマチェンバー13を有するプラズマ反応装置12を備えている。プラズマ加工 処理チェンバー13内には、コンデンサーを形成する2つの電極14aおよび1 4bが設けられている。電極14aはグラウンドに結合され、電極14bは整合 ネットワーク18を介して電源16から高周波(rf)エネルギーを受け取るために 接続されている。電源16がエネルギーを与えられるときには、高周波(rf)エ ネルギーは、電極14aと14bの間に成形された容量性回路に加えられる。も しその時、プラズマチェンバー13内にイオン化可能なガスが供給されるならば 、高周波信号が加えられるときにプラズマ22が形成される。 プラズマ加工処理システム10は、ただ1つの電源16を備えているので、電 源16により生成された高周波エネルギーの電力を増大させることは、電極14 bとウェハー24においてプラズマの密度(すなわち、プラズマ密度)と直流( dc)バイアスを増大させる傾向がある。直流バイアスの増加は、通常、ウェハー 24と接触しているイオン化された粒子のエネルギー(すなわち、イオンエネル ギー)を増大させるプラズマシース26を横切る電位降下に対応した増加を引き 起こす。 また、図2は、半導体デバイスの加工処理と製造のための従来の誘導性結合さ れたプラズマ加工処理システム30を示す図である。図2に示されるシステムは 、米国特許第4,946,458及び5,571,366に開示されるタイプのものである。誘導性 結合されたプラズマ加工処理システム30は、その中にプラズマチェンバー33 を有するプラズマ反応装置32を含んでいる。図1のプラズマ加工処理システム 10と違って、誘導性結合されたプラズマ加工処理システム30は、2つの電源 34と36を含み、これらはプラズマチェンバー33内に発生されたプラズマに 影響を与える。電源34は、プラズマ反応装置32内に置かれた電極である受け 台(例えば、加工物ホルダー)40に、整合ネットワーク38を介して高周波(r f)エネルギー信号を供給するために設けられている。電源34の高周波(rf)エネ ルギーは、一般的に受け台40の一番上の表面44に置かれるウェハー42に直 流バイアスを生じさせる電極40に供給される。 電源36は、プラズマチェンバー33の近くに配置されたコイル48に、整合 ネットワーク46を介して高周波(rf)エネルギーを供給するために設けられてい る。 窓50、例えばセラミックのプレートは、プラズマチェンバー33からコイル4 8を分離する。また、図に示されるように、一般的に、プラズマ反応装置32に 対して、製造工程のために必要な最適な化学反応を供給するガス供給メカニズム 52が設けられている。ガス排気メカニズム54は、プラズマチェンバー33内 の粒子を取り去り、プラズマチェンバー33内に特定の気圧を維持する。結果と して、電源36により生成された高周波(rf)エネルギーが、プラズマチェンバー 33に供給されるイオン化可能なガスを伴うプラズマ56を発生する。 プラズマ放電における高周波(rf)電力の制御と供給は、プラズマ加工処理にお いて基本的な重要性をもつている。プラズマチェンバーにおける実際の電力の量 は、プロセス条件に大いに影響している。プラズマチェンバーに供給された実際 の電力の大きな変動は、気圧、温度、そしてエッチング速度などの他のプロセス 可変パラメーターの予期されている作用を変化させてしまう。 図1と図2において説明されるように、プラズマチェンバー内に前もって決定 された高周波電力を得るための最も一般的に使われる方法は、電力回路内に整合 ネットワークを備えることである。整合ネットワークは、プラズマ放電のインピ ーダンス(容量性のまたは誘導性のリアクタンス)を、本質的に、電源に対する 実質的な抵抗負荷に変換する。単一のまたは複数の電源は、その時、要求された プロセスパラメーターに依存する前もって決定された電力レベルに設定できる。 一例として、典型的な整合ネットワークは、整合させる構成要素として(低い 方から高い方までの高周波のための)可変のコンデンサーおよび/またはインダ クタ、さらには、(マイクロ波周波数での使用のための)可変のキャビティータ ップまたは整合スタブを含んでいる。整合ネットワークは、手動によってまたは 自動的に調整できが、ほとんどの整合ネットワークは変化する負荷条件に対して 自動的に適合する。 プラズマチェンバーに供給される高周波(rf)電力の量をさらに制御する努力に おいて、典型的なプラズマ加工処理システムでは、単一のまたは複数の電源から の出力が、監視され、そして制御される。これは、通常、電源自身の出力におい て、ある程度、整合ネットワークでの電力損失を無視してよいという仮定に基づ いている。 しかし、プラズマチェンバーに供給される高周波(rf)電力は、例えば整合ネッ ト ワーク自体における予期しない損失のために、実質的に高周波電源出力よりも少 ないことが突き止められた。容量性結合されたプラズマ加工処理システムの整合 ネットワークでの損失を明らかにするために、付加的な感知回路と制御回路が電 力回路に付加された。例えば、米国特許第5175472、5474648、および5556549は 、プラズマチェンバー内の要求された高周波電力レベルに達するために、電源の 出力を調整する付加的なフィードバック制御ループ回路を提供するために、高周 波センサーとコントローラの使用の種々の方法を開示している。 コイルに供給された高周波電力がプラズマ密度を制御し、受け台に供給された 高周波電力が、(すなわち、直流バイアスを制御することによって)ウェハーと 接触しているイオンのエネルギーを制御するという点で、2つの電源がお互いに 独立であると、長い間信じられていたので、そのようなフィードバック技術は、 誘導性結合されたプラズマ加工処理システムにおいて使われなかった。そのため 、2つの電源を持つことによって、プロセス上の付加的な制御は、2つの電源の 出力を独立に設定して、それらを開ループモードで(すなわち、フィードバック なしで)操作することによって本来提供されると想定されていた。 しかし、実際には、プラズマ密度とイオンエネルギーは、ソースとウェハー受 け台に供給された電力の間で結合しているので、真に独立したものではない。こ の結合は、図3に示されており、例えば、それは、コイルに供給される高周波電 力を設定する様々な高周波電力、およびプラズマチェンバーのトップとウェハー の表面の間の様々なギャップ(すなわち、4または6cm)にふさわしい、直流 バイアスに対するウェハーに供給された高周波電力のグラフである。図3にプロ ットされたデータは、カリフォルニア、フレモントのラム・リサーチ・コーポレ ーションから入手できるTCP9600SE加工処理システムから収集された。 図に示されるように、受け台に供給された高周波電力(すなわち、底部の電力) が増大する時に直流バイアスの大きさは増加する傾向がある。しかし、与えられ た底部電力のために生じる直流バイアスは、また、コイルに供給される高周波電 力(すなわち、TCP電力)に依存し、さらにある程度はギャップ間隔60に依 存する。また、2つの高周波電源の間のこの結合は、ウェハーと接触しているイ オンのエネルギーに反映している。従って、プラズマ密度がTCP電源によって もっぱら制御されるような場合、 一方においてイオンエネルギーは、底部電源によって独占的に制御されることが ない。 従って、誘導性結合されたプラズマ加工処理システムにおいて、プラズマ密度 とイオンエネルギーをより効果的に制御するための方法と装置が必要とされてい る。 発明の概要 本発明の一実施例のとおり、プラズマ加工処理システムは、プラズマ反応装置 、第1の電力回路、第2の電力回路、およびフィードバック回路を含んでいる。 プラズマ反応装置内には、1つのプラズマ反応炉を有する。第1の電力回路は、 プラズマチェンバー内の第1の高周波(rf)エネルギーを供給するために設けら れている。第1の高周波エネルギーは、プラズマチェンバー内に置かれた加工物 に直流バイアスを発生させるのに適したものである。第2の電力回路は、プラズ マチェンバー内に第2の高周波エネルギーを供給するために設けられている。第 2の高周波エネルギーは、プラズマチェンバー内で例えばプラズマチェンバーに 供給されたガス分子と原子をイオン化してプラズマを打つのに適したものである 。フィードバック回路は、第1の電力回路に結合され、第1の高周波エネルギー と関連した少なくとも1つのパラメーターを検出するために設けられている。フ ィードバック回路は、その時、検出されたパラメーターに基づくフィードバック 制御信号を第1の電力回路に出力する。第1の電力回路は、このフィードバック 制御信号にすぐに反応し、プラズマチェンバー内のイオン化された粒子のエネル ギーレベルが第1の高周波エネルギーによって発生した直流バイアスを介して実 質的に制御されるような第1の高周波エネルギーをプラズマチェンバーに供給す る。 本発明の他の実施例において、第2の電力回路に結合された第2のフィードバ ック回路は、第2の高周波エネルギーと関連した少なくとも1つのパラメーター を検出する。第2のフィードバック回路は、パラメーターに基づく第2のフィー ドバック制御信号を第2の電力回路に出力する。第2の電力回路は、第2のフィ ードバック制御信号に反応して、プラズマチェンバー内のプラズマ密度のレベル が実質的に制御されるような第2の高周波エネルギーをプラズマチェンバーに供 給する。 さらに、本発明の他の実施例のとおり、第1の電力回路およひ第2の電力回路 を 備えている誘導性結合されたプラズマ反応装置においては、制御回路は、イオン エネルギーとプラズマ密度を独立して制御する。この制御回路は、プラズマ反応 装置内の電極に供給するとき、第1の電力回路からの出力信号の少なくとも1つ のパラメーターの測定量に反応する。制御回路は、測定されたパラメーターと要 求パラメーターレベルに基づいて第1の電力回路からの出力信号を調整する。従 って、第1の電力回路の出力が、イオンエネルギーレベルを制御する直流(dc) バイアスを電極に発生させるので、イオンエネルギーは、第1の電力回路の出力 の大きさを介して独立に制御される。さらに、プラズマ密度も、プラズマを発生 させる第2の電力回路の出力の大きさをによって独立に制御される。 さらに、本発明の他の実施例のとおり、この方法は、第1のソースからプラズ マ反応装置に第1の高周波(rf)エネルギーを供給すること、第1のソースから の第1の高周波エネルギーに応じて加工物上に直流バイアスを発生させること、 第2のソースからプラズマ反応装置にエネルギーを供給すること、第2のソース からの高周波エネルギーによってプラズマチェンバー内にプラズマを打つこと、 第1の高周波ソースがプラズマに供給するエネルギーに関わるパラメーターを検 出すること、このパラメーターと要求パラメーターとを比較すること、および比 較結果に基づいて、第1の高周波ソースによって設定されるように加工物と接触 しているイオン化された粒子のエネルギーレベルが直流バイアスの大きさを介し て実質的に制御されるように第1の高周波ソースの出力を修正することをさらに 含んでいる。 さらに本発明の別の実施例のとおり、この方法は、第2のソースがプラズマに 供給する高周波エネルギーの第2のパラメーターを検出すること、この第2のパ ラメーターを第2の要求パラメーターレベルと比較し、比較結果に基づいて、プ ラズマ反応装置内のプラズマ密度が実質的に制御されるように第2の高周波ソー スを修正することを含んでいる。 本発明に関して割愛したことおよび本発明の他の特徴、様相、および利点は、 添付図面を用いた本発明の以下の詳細な説明からより明白になる。 図面の簡単な説明 図1は、単一な電源を備えている従来の容量性結合されたプラズマ加工処理シ ス テムを説明するブロック図である。 図2は、2つの電源を備えている従来の誘導性結合されたプラズマ加工処理シ ステムを説明するブロック図である。 図3は、図2のコイルに供給される電力と図2の加工物ホルダーに供給される 電力の関数として直流(dc)バイアスレベルをグラフ化したものである。 図4aは、本発明の一実施形態における、フィードバック回路を備えることで 、改善された誘導性結合されたプラズマ加工処理システムを説明するブロック図 である。 図4bは、本発明の一実施形態における、2つのフィードバック回路を備える ことで、改善された誘導性結合されたプラズマ加工処理システムを説明するブロ ック図である。 図5は、図4aおよび図4bの誘導性結合されたプラズマ加工処理システムに おいて使われ得る本発明の実施形態におけるフィードバック回路の1つの実施例 を説明するブロック図である。 図6aは、図2に示されるような従来の誘導性結合されたプラズマ加工処理シ ステムのプラズマチェンバーに供給された結果として生じている負荷電力を説明 するグラフである。 図6bは、本発明の一実施形態における、図4aに示されるような改善された 誘導性結合されたプラズマ加工処理システムのプラズマチェンバーに供給された 結果として生じている負荷電力を説明するグラフである。 図7は、図2に示されるような従来の誘導性結合されたプラズマ加工処理シス テムにおいて電源から見た好適な整合ネットワークと処理チェンバー負荷を説明 する簡素化された概略回路図である。 図8は、図6aおよび図6bにおいてプロットされたデータに相当している負 荷抵抗と電力送り出し効率との関係を説明するグラフである。 好適な実施形態の詳細な説明 プラズマ処理は、例えば半導体ウェハーなどの加工物の処理結果を評価するこ とによって、最終的に最適化され、そして特徴付けられる。この処理結果は、プ ラズ マ処理パラメーターの関数として特徴付けることができ、その関数は、例えば高 周波電力、プラズマガス気圧、温度、プラズマチェンバーのギャップ間隔、プラ ズマ形成に用いるガスのタイプ、そしてガス流量等である。上記のように、プラ ズマ処理のよりよい制御のために、処理チェンバーに実際供給される高周波電力 の特性を制御することが望ましい。 本発明の様々な実施形態の方法と装置は、誘導性結合されたプラズマ加工処理 システムにおけるプラズマ密度および/またはイオンエネルギー上の改善された 制御を提供する。例えば、本発明によって、プラズマ密度とイオンエネルギーは 、プラズマチェンバーに適用されるような電源のそれぞれの高周波電力の影響を 本質的に結び付けない1つ以上のフィードバック制御回路によって独立して制御 できる。 この考えをもって、図4aは、本発明の一実施形態に従って、バイアス電力回 路の付加的なフィードバック回路100を除いて、図2に示されたプラズマ加工 処理システムと同様な改善された誘導性結合されたプラズマ加工処理システム3 0’を説明するブロック図である。 図に示されるように、フィードバック回路100は、受け台40と電源34の 間に連結され、整合ネットワーク38によって受け台40に供給された高周波信 号の少なくとも1つのパラメーターを測定する。このパラメーターは、例えば、 電流、電圧、電力、インピーダンス、および/または電力回路とプラズマ反応装 置32内の受け台40と負荷に供給されたときの高周波信号に関連した位相角等 を含んでいる。これらの感知されたパラメーターのうちの1つ以上に基づいて、 例えば、供給された高周波電力または負荷インピーダンスが、測定され、および /または、派生される。 フィードバック回路100は、例えば受け台40に供給された高周波信号の電 圧(例えば、実効値電圧)を監視し、供給された電力を計算し、供給された電力 と要求された電力レベルとを比較するために設けられている論理回路および/ま たはコンピュータ処理回路を含んでいる。この比較結果は、例えばフィードバッ ク制御信号として電源34に供給される。このフィードバック制御信号は、要求 された電力レベルと一致するように、電源34の出力を調整する。 当業者ならば、他のパラメーターが監視されて、これらのパラメータが、同様 に、 受け台40に供給された高周波信号を調整するために使用できることを認識する だろう。一例としては、もしユーザーが、気圧、ガス供給量またはTCP電力な どの他の処理パラメーターを変える場合に、固定したイオンエネルギーを維持し たいならば、コントローラは、固定した実効値電圧レベルを受け台40に維持す るために設定できる。これは、同様に、直流バイアスとイオンエネルギーを固定 することに相当するであろう。 図4bは、本発明の別の実施例に従って、プラズマを発生する電力回路の第2 のフィードバック回路102を除いて、図4aに示されたプラズマ加工処理シス テムと同様な改善された誘導性結合されたプラズマ加工処理システム30”を説 明するブロック図である。 図に示されるように、フィードバック回路102は、コイル48と電源36の 間に連結され、整合ネットワーク46によってコイル48に供給された高周波信 号の少なくとも1つのパラメーターを測定する。フィードバック回路100と同 様に、パラメーターは、例えば、電流、電圧、電力、インピーダンス、および/ または電力回路とプラズマ反応装置32内のコイル48と負荷に供給された高周 波エネルギーに関連した位相角等を含んでいる。これらの感知されたパラメータ ーのうちの1つ以上に基づいて、例えば、供給された高周波電力または負荷イン ピーダンスが計算される。 一例として、フィードバック回路102は、コイル48に加えられた高周波信 号の電圧(例えば、実効値電圧)を監視し、供給された電力を計算し、供給され た電力と要求された電力レベルとを比較するための論理回路および/またはコン ピュータ処理回路を含んでいる。この比較結果は、例えばフィードバック制御信 号として電源36に供給される。このフィードバック制御信号は、要求された電 力レベルと一致するように、電源36の出力を調整する。 さらに、フィードバック回路100と102を、命令を実行するコンピュータ と、このコンピュータを走らせる他のコンピュータのような一般的なコンピュー タ処理回路に分けることができることが知られている。分けられたコンピュータ 処理回路は、1つ以上のフィードバック制御信号を発生することにおいて、電源 から、感知されたパラメーターのそれぞれだけでなく製造プロセスの状態を考慮 するデータを さらに含むことができる。 図5は、例えば図4aおよび図4bにおいて、誘導性結合されたプラズマ加工 処理システムの電源にフィードバック制御信号を供給するために使用されるフィ ードバック回路100’の一実施例を説明するブロック図である。フイードバッ ク回路100’は、センサー104とコントローラ106を含んでいる。センサ ー104は、負荷に供給した高周波(rf)信号の1つ以上のパラメータ(例えば、 ケーブル、コネクタ、受け台、コイル/共鳴器、プラズマ、ウェハー、または同 類、およびこれらに関連した要素)を測定または検出し、感知されたパラメータ ー信号をコントローラ106に出力する。 好適な実施例におけるセンサー104は、コロラドのフォートコリンズにある アドバンスト・エネルギー・コーポレーション(Advanced Energy Corporation )から入手できるRFZ60高周波プローブである。RFZ60を用いて、電力 、電圧、および複素数インピーダンスが、順方向および反射方向の高周波のベク トル結合を表現する低レベルの信号から取り出される。センサーは、様々な知ら れている負荷、および(アドバンスト・エネルギー・コーポレーションから提供 される)推定アルゴリズムを使って較正され、高調波はプローブ内のフィルタで 抑圧される。 コントローラ106は、センサー104から、感知されたパラメーター信号を 受け取り、フィードバック制御信号を電源(例えば、電源34または36)に供 給する。コントローラ106は、さらに、電源に対するオペレーションの要求レ ベルを定義する要求パラメーターレベルの指示を受け取る。例えば、要求パラメ ーターレベルは、コントローラ106,或いは、コントローラ106に情報を供 給する別の回路/デバイス(電源自身など)に対して直接ユーザーによって設定 または信号入力される。コントローラ106は、例えば、プロセッサ、メモリー 、および入出力メカニズムを含んでいるコンピュータシステムである。 好ましい実施例では、コントローラ106は、アドバンスト・エネルギー・コ ーポレーションから入手できるインテリジェントケーブルデバイスを含んでいる 。インテリジェントケーブルデバイスは、RFZ60プローブと電源の電力制御 回路に連結される。インテリジェントケーブルは、どのような残留計算でも完遂 し、電源内の電力制御回路(図示せず)から受け取れるように、要求パラメータ ーレベルに 基づくフィードバック制御信号を生成する。さらに、インテリジェントケーブル は、能力を監視および/または制御する付加的なパラメータを供給するために、 パーソナルコンピュータ(PC)等のコンピュータシステムに連結される。 フィードバック回路100’無しでは、電力回路は、本質的に開ループモード で動作し、要求された電力が(例えば)マシンコンソールにおいて設定されて、 電源は整合ネットワークと負荷にその量の電力を供給する。しかし、フィードバ ック回路100’を備えると、電力回路は、本質的に、要求された電力レベルが 実際にセンサー104によって測定されたように負荷に加えることを保証するた めに、電源から供給された高周波電力、電圧および/または電流の量を制御する 閉ループモードで動作する。 本発明の様々な実施例の利点は、ラムリサーチTCP9600SEシステムか ら収集されたデータのテスト結果を示す図6aおよび図6bにおいて明確に説明 される。図6aのデータは、システムが、データを収集するために高周波プロー ブが使用されたことを例外として図2に例示するように構成され開ループモード で動作されたときに収集された。図6bのデータは、システムが、図4aと図5 に従って配置された閉ループモードで動作されたときに収集された。 図6aに例示するような開ループモードでは、受け台に加えられた高周波電力 の測定電圧は、電源34(バイアス電力)と電源36(TCP電力)からの出力 の関数である。電源34によって生成された電圧は垂直軸に沿ってプロットされ 、センサー104によって測定された実際の負荷電力は水平軸に沿ってプロット されている。この例において、バイアス電力のレンジは100ワットから500 ワットまでであり、TCP電力のレンジは0ワットから800ワットまでであり 、双方とも100ワット間隔でプロットされている。 図6bに例示するような閉ループモードでは、また、電源34によって生成さ れた高周波信号の電圧が垂直軸に沿ってプロットされ、センサー104によって 測定された実際の負荷電力が水平軸に沿ってプロットされている。また、この例 では、バイアス電力のレンジは100ワットから500ワットまでであり、TC P電力のレンジは0ワットから800ワットまでであり、双方とも100ワット 間隔でプロットされている。図に示されるように、供給された高周波電力は、今 度は実質的に 一定で、TCP電力から独立している。 この考えをもって、今や電力回路の効率が考慮される。負荷への電力供給の効 率は、種々のシステムの間で製法を移す時に、かなりの関心と重要性をもってい る。ネットワークを通る電力転送の効率は次のように定義される。 効率=(PL/PG) …(等式1) ここで、PLは負荷に供給された電力であり、PGは電源から供給された電力で ある(ケーブルでの電力損失を無視し、反射電力が低いと仮定する)。例えば、PL とPGは、定義された間隔によってセンサーとコントローラにより測定されて、 記録できる。 図7は、電源から見たときの好適な整合ネットワークと処理チェンバー負荷を 説明する簡素化された概略回路200を示す図である。回路200は、整合抵抗 RM202、整合インダクタンス204、整合連続静電容量206、負荷インピ ーダンス208、および電流(i)210を含んでいる。 従って、効率は次の式によって表すことができる。 効率=PL/(PL+PM) =(i2×RL)/{(i2×RL)+(i2×RM)} =RL/(RL+RM) …(等式2) ここで、PMは、整合ネットワークにおいて浪費された電力である。もし整合 抵抗RMが一定であるなら、そのとき、等式1対RLから得られた効率のプロット は、RMの値が抽出できる等式2の簡単な形状を持っているべきである。RLが単 一に0と等しいとき、RLがRMよりもずっと大きく、そしてプロットは化学反応 、電力、気圧などから独立であり、整合ネットワークと負荷抵抗にだけ依存する ときには、効率は0から上がる。もし整合ネットワークが異なる内部抵抗を持っ ているならば、整合ネットワークは同じ電源に対して異なる供給電力を引き起こ す。それにもかかわらず、効率を知り、フィードバッタ回路を使うことによって 、供給電力はより効果的に制御できる。 図8は、電力送出効率と図6aおよび図6bのデータに相当している負荷抵抗 との関係を説明するグラフである。データは、上記等式2によって記述された曲 線のまわりで接近してかたまりになっており、さらに、その効率はプラズマ負荷 の大き さに従って変わることを例示している。従って、閉ループモードにおいては、供 給電力が、要求された値に正確に設定できるのに対して、開ループモードでは、 プラズマに実際に供給された電力は本質的に未知である。 さらに、図4bにおいて説明されたシステム構成(すなわち、2つのフィード バック回路)においては、整合ネットワーク、浮遊容量、インダクタンス、また は電力回路と加工処理システムの他の要素が原因となる、効率におけるどのよう な損失からも独立な(理論的)ソースにおいて、供給電力を制御することができ るという付加された利益がある。 本発明の一実施例のとおり、プラズマ反応装置のイオンエネルギーを制御する ための方法方法は、(a)第1のソースからプラズマ反応装置に高周波エネルギ ーを供給すること、(b)連結された第1のソースからプラズマ反応装置内に置 かれた加工物に高周波エネルギーによって直流バイアスを発生させること、(c )第2のソースからプラズマ反応装置に第2の高周波エネルギーを供給し、さら に、第2の高周波ソースからのエネルギーによってプラズマ反応装置内にプラズ マを打つこと、(d)高周波センサーで高周波エネルギーのパラメーター、即ち 、第1のソースからの高周波エネルギーが整合ネットワークによって生成されそ して調節された後に検出されたパラメーターを検出すること、(e)この検出さ れたパラメーターと要求されたパラメーターレベルとを比較すること、さらに、 (f)比較結果に基づいて、高周波信号によって設定されるように、加工物と接 触しているイオン化された粒子のエネルギーレベルが直流バイアスの大きさを介 して制御されるように第1のソースの高周波出力を修正することを含んでいる。 本発明の別の実施例のとおり、上記と同様な方法は、プラズマ密度を制御する 。そのために、この同様な方法は、第2の高周波ソースによってプラズマに供給 された高周波の第2のパラメーターを検出すること、この第2のパラメーターと 第2の要求パラメーターレベルとを比較すること、および、この第2の比較結果 に基づいて、プラズマ反応装置内のプラズマの密度が制御されるように第2の高 周波ソースの出力を修正することを含んでいる。 本発明の方法と装置は、直流バイアスを発生させるための電源と、プラズマを 発生させるための電源の、少なくとも2つの電源を備えている他のプラズマ加工 処理 システムに適用可能である。一例として、本発明は、誘導性結合プラズマ(Induc tively Coupled Plasma:ICP)、変圧器結合プラズマ(Transformer Coupled P lasma:TCP)、電子サイクロトロン共鳴(Electroncyclotron:ECR)、およ びヘリコンまたはヘリカルに共鳴しているプラズマ加工処理システムに適用可能 である。 請求の範囲 1. 電極(40)を有する加工物ホルダー(40)を含み、プラズマ処理チェンバ ー(32)内の加工物(42)を処理するための交流プラズマを制御するための装置 であって、 第1の交流ソース(34)と、前記第1のソース(34)と電極(40)との間に接続され た第1の整合ネットワーク(38)と、 前記第1の交流ソースに対する負荷に含まれるプラズマと、 負荷の電気パラメータのためのセンサー配置とを備え、 前記第1の交流ソース(34)と第1の整合ネットワーク(38)と電極(40)は、前記 加工物(42)に直流電圧を加えるための電極(40)を介してプラズマと加工物(42)と の間に交流が結合されるように構築され且つ配置されていると共に、 前記第1の交流ソース(34)が電極(40)を介して負荷に加える交流を制御するた めにセンサー配置(104)に反応するコントローラ配置によって特徴づけられる ことを特徴とする装置。 2. センサー配置(104)は、第1の整合ネットワータの出力端子から電極(3 4)に向かって見るときの負荷の電気パラメーターを感知し、コントローラ配置( 100,106)は、第1の交流ソースの電気パラメーターを制御するために第1の整 合ネットワークの出力端子から電極に向かって見るときの負荷の感知された電気 パラメーターに反応する ことを特徴とする請求項1記載の装置。 3. 第1の交流ソース(34)の電気パラメーターが出力電力であることを特徴 とする請求項1または請求項2記載の装置。 4. プラズマ処理チェンバー(32)は、チェンバー内のガスをプラズマに励磁 するためのリアクタンスと、第2の交流ソース(36)とを備え、プラズマが第2の 交流ソース(36)にとって負荷であるようにリアクタンス(48)と第2の交流ソース (36)との間に接続された第2の整合ネットワーク(46)に結合されている ことを特徴とする請求項1乃至3の何れかに記載の装置。 5. コントローラ(102)配置は、第2の交流ソース(36)によってリアクタ ンスを介して負荷に供給された交流を制御するために、センサー配置(102)に 対してす ぐに反応する ことを特徴とする請求項4記載の装置。 6. 第2の交流ソースによってリアクタンス(48)を介して負荷に供給された 交流を制御するためのセンサー配置(102)は、第2の交流ソース(36)におけ る負荷の電気パラメーターを感知し、さらに、コントローラ配置(102)は、第 2の交流ソース(36)の電気パラメーターを制御するために、第2の交流ソース における負荷の感知された電気パラメーターに反応する ことを特徴とする請求項5記載の装置。 7. コントローラ配置(100,102)は、第1および第2のソースの電気パラメ ーターが互いに独立して制御されるように、第1の交流ソースの電気パラメータ ーと第2の交流ソースの電気パラメーターを個々に制御するために、第1の整合 ネットワークの出力端子から電極(34)に向かって見るときの負荷の感知された 電気パラメーターと第2の交流ソース(36)の負荷の感知された電気パラメータ ーに反応する ことを特徴とする請求項5又は請求項6記載の装置。 8. センサー配置は、第1の整合ネットワーク(38)の出力端子から電極(40 )へ向けて結合された電力と、電極(40)から第1の整合ネットワーク(38)の 出力端子に結合された反射電力に関連した電気パラメーターを監視する ことを特徴とする請求項1乃至請求項7の何れかに記載の装置。 9. 第1のソース(34)とプラズマは、加工物(42)における直流バイアスを 設定し、第1のソース(34)は、加工物(42)のプラズマ入射におけるイオン化 された粒子のエネルギーレベルを制御し、さらに、センサーとコントローラ配置 は、加工物(42)におけるプラズマ入射におけるイオン化された粒子のエネルギ ーレベルを制御するために、直流バイアスに呼応して第1のソース(34)を制御 する ことを特徴とする請求項1乃至請求項8の何れかに記載の装置。 10. 電極(40)を含む加工物ホルダー(40)を有するプラズマ処理チェンバ ー(32)の交流プラズマで加工物(42)を処理する方法であって、 プラズマが第1のソース(34)の負荷に含められるように、第1のソース(34 )からの第1の整合ネットワーク(38)と電極(40)を介して加工物(42)へ交 流を加えること、負荷の電気パラメーターを感知すること(104)を含み、 負荷の感知された電気パラメーターに呼応して第1の整合ネットワークを介し て負荷に加えるときの第1のソースの電気パラメーターを制御する(100)こと によって特徴付けられている ことを特徴とする方法。 11. 感知ステップ(104)は、第1の整合ネットワークの出力端子から電極 (34)に向かって見るときの負荷の電気パラメーターを感知することを含み、さ らに、第1の整合ネットワークの出力端子から電極に向かって見るときの負荷の 感知された電気のパラメーターに呼応して第1の交流ソースの電気パラメーター を制御する ことを特徴とする請求項10記載の方法。 12. 第1の交流ソース(34)の電気パラメーターは、高周波(rf)ソースの出 力電力である ことを特徴とする請求項10又は請求項11記載の方法。 13. リアクタンスに結合されたプラズマ負荷に関連している感知されたパラ メーターに呼応して、第2の交流ソース(36)によってリアクタンスを介して負 荷に供給された第2の交流を制御することをさらに含んでいる ことを特徴とする請求項10乃至請求項12の何れかに記載の方法。 14. 第2の交流ソース(36)における負荷の電気パラメーターを感知するこ と、第2の交流ソースの負荷の感知された電気パラメーターに呼応して第2の交 流ソース(36)の電気パラメーターを制御することをさらに含んでいる ことを特徴とする請求項13記載の方法。 15. 第1の交流ソース(34)の電気パラメーター、および第2の交流ソース (36)の電気パラメーターを独立に制御し、これによって、第1および第2のソ ースの電気パラメーターは、第1の交流ソース(34)の負荷の感知された電気パ ラメーターと第2の交流ソース(36)の負荷の感知された電気パラメーターに呼 応してそれぞれ制御される ことを特徴とする請求項13又は請求項14記載の方法。 16. 感知ステップは、第1の整合ネットワーク(38)の出力端子から電極( 40)に向けて結合された電力と、電極(40)から第1の整合ネットワーク(38) の出力端子に結合された反射電力に関連した電気パラメーターに呼応して第1の ソース(3 4)を制御することを含んでいる ことを特徴とする請求項10乃至請求項15の何れかに記載の方法。 17. 第1のソース(34)とプラズマは、加工物における直流バイアスを設定 し、第1のソースは、加工物のプラズマ入射におおけるイオン化された粒子のエ ネルギーレベルを制御する ことを特徴とする請求項10乃至請求項16の何れかに記載の方法。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H05H 1/46 H01L 21/302 A (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,ML,MR, NE,SN,TD,TG),AP(GH,GM,KE,L S,MW,SD,SZ,UG,ZW),EA(AM,AZ ,BY,KG,KZ,MD,RU,TJ,TM),AL ,AM,AT,AU,AZ,BA,BB,BG,BR, BY,CA,CH,CN,CZ,DE,DK,EE,E S,FI,GB,GE,GH,GM,GW,HU,ID ,IL,IS,JP,KE,KG,KR,KZ,LC, LK,LR,LS,LT,LU,LV,MD,MG,M K,MN,MW,MX,NO,NZ,PL,PT,RO ,RU,SD,SE,SG,SI,SK,SL,TJ, TM,TR,TT,UA,UG,UZ,VN,YU,Z W

Claims (1)

  1. 【特許請求の範囲】 1. プラズマチェンバーを取り囲んでいるプラズマ反応装置と; プラズマチェンバー内の加工物に直流バイアスを発生させるのに適した第1の 高周波エネルギーをプラズマチェンバー内に供給するために構成された第1の電 力回路と; プラズマチェンバー内の粒子をイオン化してプラズマチェンバー内にプラズマ を打つのに適した第2の高周波エネルギーをプラズマチェンバー内に供給するた めに構成された第2の電力回路と; 第1の電力回路に連結されると共に、第1の高周波エネルギーの少なくとも1 つのパラメーターを検出し、これに基づいて第1の電力回路に対してフィードバ ック制御信号を出力するために構成されたフィードバック回路とを備え、 第1の電力回路は、プラズマチェンバー内のイオン化された粒子のエネルギー レベルが直流バイアスだけを介して実質的に制御されるように、第1の高周波エ ネルギーをプラズマチェンバーに供給するときにフィードバック制御信号に対し てすぐに反応する ことを特徴とするプラズマ加工処理システム。 2. 第1の電力回路が、プラズマチェンバー内の電極を含み、第1の高周波 信号を前記電極に供給するために構成されている ことを特徴とする請求項1記載のプラズマ加工処理システム。 3. 第1の電力回路が、フィードバック制御回路に結合されて、フィードバ ック制御信号に反応し、第1の不整合な高周波信号を生成する第1の高周波電源 と、 第1の高周波電源に結合され、前記第1の不整合な高周波信号を受け取り、前 記電極に第1の高周波信号を出力するために構成された整合ネットワークとをさ らに含んでいる ことを特徴とする請求項2記載のプラズマ加工処理システム。 4. 第1の高周波エネルギーのパラメーターは、電流、電圧、電力、インピ ーダンス、および第1の高周波信号と関連した位相角のグループから選ばれるこ とを特徴とする請求項3記載のプラズマ加工処理システム。 5. フィードバック回路は、整合ネットワークと電極との間に設けられ、少 なくとも1つの感知パラメーター信号を出力するために構成されたセンサーと; センサーおよび第1の高周波電源に結合されて、感知パラメーター信号および 要求パラメーターレベルに基づくフィードバック制御信号を出力するために構成 されたコントローラとを含んでいる ことを特徴とする請求項4記載のプラズマ加工処理システム。 6. センサーが高周波プローブであることを特徴とする請求項5記載のプラ ズマ加工処理システム。 7. コントローラがコンピュータシステムであることを特徴とする請求項5 記載のプラズマ加工処理システム。 8. 第1の高周波信号を実質的に一定の2乗平均電圧レベルに維持するため にフィードバック回路が作用することを特徴とする請求項5記載のプラズマ加工 処理システム。 9. 第2の電力回路は、プラズマチェンバーに接近して配置されたコイルを 含み、第2の高周波信号をコイルに供給するために構成されている ことを特徴とする請求項1記載のプラズマ加工処理システム。 10. 第2の電力回路が、第2の不整合な高周波信号を生成する第2の高周波 電源と、 第2の高周波電源に結合され、前記第2の不整合な高周波信号を受け取り、そ してコイルに対して第2の高周波信号を出力するために構成された第2の整合ネ ットワークとをさらに含んでいる ことを特徴とする請求項9記載のプラズマ加工処理システム。 11. 第2の電力回路に結合され、第2の高周波エネルギーの少なくとも1つ のパラメーターを検出し、これに基づいて第2の電力回路に第2のフィードバッ ク制御信号を出力するために構成された第2のフィードバック回路を備え、 第2の電力回路は、プラズマチェンバー内のプラズマ密度のレベルが第2の高 周波信号だけを介して実質的に制御されるように、第2の高周波エネルギーをプ ラズマチェンバーに供給するときに、第2のフィードバック制御信号に対して反 応する ことを特徴とする請求項10記載のプラズマ加工処理システム。 12. 第2の高周波電源が、第2のフィードバック制御回路に結合され、第2 のフィードバック制御信号に反応する ことを特徴とする請求項11記載のプラズマ加工処理システム。 13. プラズマ加工処理システムにおける直流(dc)バイアスを制御するため の装置であって、 第1の電力回路は、第1の高周波信号の少なくとも1つのパラメーターを監視 する制御回路を含み、第1の高周波信号をプラズマ加工処理システム内の負荷に 供給するために設けられた第1の電力回路と; 第2の高周波信号をプラズマ加工処理システム内の負荷に供給するように設け られた第2の電力回路とを備え、 第1および第2の高周波信号は、制御回路によって直流バイアスが第1の高周 波信号を介して独立に制御されるように、お互いに実質的に非結合である ことを特徴とする直流バイアスを制御するための装置。 14. 第1の高周波信号が供給されるときに、第1の電力回路は、負荷の加工 物部分に直流バイアスを発生させるための、プラズマ加工処理システム内に配置 された電極を含んでいる ことを特徴とする請求項13記載の装置。 15. 第2の高周波信号が供給されるときに、第2の電源は、負荷のイオン化 可能な部分からプラズマを発生するための、プラズマ加工処理システム内に配置 されたコイルを含んでいる ことを特徴とする請求項14記載の装置。 16. 第2の電力回路は、プラズマ加工処理システム内で発生したプラズマの プラズマ密度が、第2の高周波信号を介して独立に制御されるように、第2の高 周波信号の少なくとも1つのパラメーターを監視すると共に負荷に供給されると きに第1および第2の高周波信号をさらに非結合にする第2の電力制御回路を含 んでいる ことを特徴とする請求項13記載の装置。 17. 第1の電力回路と第2の電力回路を備えているプラズマ反応装置のイオ ンエネルギーとプラズマ密度を制御するために制御回路を使用する方法であって 、 プラズマ反応装置内の電極に供給される第1の電力回路からの出力信号の少な くとも1つのパラメーターを測定し; 測定されたパラメーターおよび指定されたパラメーターレベルに基づいて第1 の電力回路からの出力信号を調整し; それによって、イオンエネルギーは、直流(dc)バイアスを電極に発生させる 第1の電力回路の出力の大きさを介して制御され、プラズマ密度は、プラズマを 発生させる第2の電力回路の出力の大きさを介して独立に制御される ことを特徴とする制御回路を使用する方法。 18. 第1の電力回路によってプラズマ反応装置に第1の高周波(rf)信号を 供給し; プラズマ反応装置のプラズマチェンバー内に置かれた加工物に、第1の高周波 信号によって直流バイアスを発生させ; 第2の電力回路によってプラズマ反応装置に第2の高周波信号を供給し、 第2の高周波信号によってプラズマ反応装置内にイオン化された粒子を含んで いるプラズマを打ち; 第1の高周波信号が第1の電力回路内の整合ネットワークにより生成されそし て調節された後に、高周波センサーによって第1の高周波信号のパラメーターを 検出し; このパラメーターと指定されたパラメーターレベルとを比較し; この比較結果に基づいて、加工物と接触しているイオン化された粒子のエネル ギーレベルが、第1の高周波信号によって設定されるように直流バイアスの大き さだけを介して実質的に制御されるように、第1の高周波信号を修正する ことを特徴とする請求項17記載の方法。 19. 第1の高周波信号のパラメーターが、電流、電圧、電力、インピーダン ス、および位相角のグループから選択される ことを特徴とする請求項18記載の方法。 20. さらにプラズマ密度を制御するための方法であって、 第2の電力回路内の第2の整合ネットワークにより生成されそして調節された 後に第2の高周波信号の第2のパラメーターを検出し; 第2のパラメーターと第2の要求パラメーターレベルとを比較し; 第2の比較結果に基づいて、プラズマ反応装置内のプラズマの密度が第2の高 周波信号の大きさだけを介して実質的に制御されるように第2の高周波信号を修 正する ことを特徴とする請求項19記載の方法。
JP54413998A 1997-04-16 1998-04-15 プラズマ加工処理システム及びプラズマ加工処理方法 Expired - Lifetime JP4531138B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/843,476 1997-04-16
US08/843,476 US6174450B1 (en) 1997-04-16 1997-04-16 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
PCT/US1998/007336 WO1998047168A1 (en) 1997-04-16 1998-04-15 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system

Publications (2)

Publication Number Publication Date
JP2001524251A true JP2001524251A (ja) 2001-11-27
JP4531138B2 JP4531138B2 (ja) 2010-08-25

Family

ID=25290097

Family Applications (1)

Application Number Title Priority Date Filing Date
JP54413998A Expired - Lifetime JP4531138B2 (ja) 1997-04-16 1998-04-15 プラズマ加工処理システム及びプラズマ加工処理方法

Country Status (8)

Country Link
US (1) US6174450B1 (ja)
EP (1) EP0976141B1 (ja)
JP (1) JP4531138B2 (ja)
KR (1) KR100582096B1 (ja)
AU (1) AU7111298A (ja)
DE (1) DE69836857T2 (ja)
TW (1) TW434698B (ja)
WO (1) WO1998047168A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003510833A (ja) * 1999-09-30 2003-03-18 ラム リサーチ コーポレーション プラズマリアクタにおける高周波電源調節のための電圧制御センサおよび制御インタフェース
JP2007150012A (ja) * 2005-11-29 2007-06-14 Matsushita Electric Ind Co Ltd プラズマ処理装置および方法
JP2009543298A (ja) * 2006-06-28 2009-12-03 ラム リサーチ コーポレーション プラズマ処理チャンバの非拘束状態の検出方法および装置
JP2012044045A (ja) * 2010-08-20 2012-03-01 Toshiba Corp 制御装置、プラズマ処理装置、及び制御方法
KR20160113983A (ko) * 2015-03-23 2016-10-04 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 처리 장치 및 전원 제어 방법
JP7359789B2 (ja) 2018-06-13 2023-10-11 ラム リサーチ コーポレーション 基板処理システムのための直接駆動rf回路

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
US6265831B1 (en) * 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
DE60015270T2 (de) 1999-04-14 2006-02-09 Surface Technology Systems Plc, Newport Verfahren und gerät zur stabilisierung eines plasmas
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
JP4666740B2 (ja) * 2000-10-06 2011-04-06 川崎マイクロエレクトロニクス株式会社 半導体製造装置、被処理基板表面の処理方法およびプラズマ生成物の付着状態の観察方法
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6714033B1 (en) * 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
EP1444727A4 (en) * 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
US6713969B2 (en) * 2002-01-31 2004-03-30 Tokyo Electron Limited Method and apparatus for determination and control of plasma state
US6946847B2 (en) * 2002-02-08 2005-09-20 Daihen Corporation Impedance matching device provided with reactance-impedance table
AU2003247538A1 (en) * 2002-07-03 2004-01-23 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analys of semiconductor plasma parameters
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
US7345428B2 (en) * 2002-09-23 2008-03-18 Turner Terry R Transducer package for process control
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7276135B2 (en) * 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
KR101533473B1 (ko) * 2007-12-13 2015-07-02 램 리써치 코포레이션 플라즈마 비한정 센서 및 그의 방법
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
WO2009140371A2 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US8044594B2 (en) * 2008-07-31 2011-10-25 Advanced Energy Industries, Inc. Power supply ignition system and method
TWI578854B (zh) 2008-08-04 2017-04-11 Agc北美平面玻璃公司 使用電漿增強化學氣相沉積以形成塗層之方法
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
EP2790205B1 (en) 2009-02-17 2018-04-04 Solvix GmbH A power supply device for plasma processing
US9378930B2 (en) * 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
JP5342930B2 (ja) * 2009-05-25 2013-11-13 株式会社アルバック プラズマ処理装置、プラズマ処理方法
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
JP5632626B2 (ja) * 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5498217B2 (ja) * 2010-03-24 2014-05-21 株式会社ダイヘン 高周波測定装置、および、高周波測定装置の校正方法
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8773019B2 (en) 2012-02-23 2014-07-08 Mks Instruments, Inc. Feedback control and coherency of multiple power supplies in radio frequency power delivery systems for pulsed mode schemes in thin film processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10821542B2 (en) * 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
MX2017007356A (es) 2014-12-05 2018-04-11 Agc Flat Glass Europe S A Fuente de plasma del catodo hueco.
MX2017007357A (es) 2014-12-05 2018-04-24 Agc Flat Glass Na Inc Fuente de plasma utilizando un revestimiento de reduccion de macro-particulas y metodo de uso de una fuente de plasma utilizando un revestimiento de reduccion de macro-particulas para la deposicion de revestimientos de pelicula delgada y modificacion de superficies.
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
EA201891455A1 (ru) * 2015-12-18 2019-01-31 Эй-Джи-Си Флет Гласс Норт Эмерике, Инк. Ионный источник с полым катодом и способ экстрагирования и ускорения ионов
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11587773B2 (en) 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
JP7450387B2 (ja) * 2019-12-27 2024-03-15 株式会社ダイヘン インピーダンス調整装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US5242561A (en) * 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003510833A (ja) * 1999-09-30 2003-03-18 ラム リサーチ コーポレーション プラズマリアクタにおける高周波電源調節のための電圧制御センサおよび制御インタフェース
JP4828755B2 (ja) * 1999-09-30 2011-11-30 ラム リサーチ コーポレーション プラズマリアクタシステム、プラズマリアクタに供給する電力を制御する方法およびプラズマ処理システム
JP2007150012A (ja) * 2005-11-29 2007-06-14 Matsushita Electric Ind Co Ltd プラズマ処理装置および方法
JP2009543298A (ja) * 2006-06-28 2009-12-03 ラム リサーチ コーポレーション プラズマ処理チャンバの非拘束状態の検出方法および装置
JP2012044045A (ja) * 2010-08-20 2012-03-01 Toshiba Corp 制御装置、プラズマ処理装置、及び制御方法
KR20160113983A (ko) * 2015-03-23 2016-10-04 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 처리 장치 및 전원 제어 방법
KR102283193B1 (ko) 2015-03-23 2021-07-29 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 처리 장치 및 전원 제어 방법
JP7359789B2 (ja) 2018-06-13 2023-10-11 ラム リサーチ コーポレーション 基板処理システムのための直接駆動rf回路

Also Published As

Publication number Publication date
DE69836857D1 (de) 2007-02-22
KR20010006293A (ko) 2001-01-26
KR100582096B1 (ko) 2006-05-23
EP0976141B1 (en) 2007-01-10
EP0976141A1 (en) 2000-02-02
JP4531138B2 (ja) 2010-08-25
WO1998047168A1 (en) 1998-10-22
US6174450B1 (en) 2001-01-16
DE69836857T2 (de) 2007-07-05
TW434698B (en) 2001-05-16
AU7111298A (en) 1998-11-11

Similar Documents

Publication Publication Date Title
JP2001524251A (ja) プラズマ加工処理システムのイオンエネルギーとプラズマ密度を制御するための方法と装置
JP4897195B2 (ja) プラズマ処理方法、プラズマ処理装置およびプラズマ処理装置の製造方法
TWI768395B (zh) 電漿處理裝置及電漿處理方法
US6022460A (en) Enhanced inductively coupled plasma reactor
US6177646B1 (en) Method and device for plasma treatment
US5650032A (en) Apparatus for producing an inductive plasma for plasma processes
TW589680B (en) MERIE plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
TW407396B (en) Method of and apparatus for minimizing plasma instability in an RF processor
US8193097B2 (en) Plasma processing apparatus and impedance adjustment method
US5824606A (en) Methods and apparatuses for controlling phase difference in plasma processing systems
JP3987131B2 (ja) 誘導増強された反応性イオンエッチング
US6792889B2 (en) Plasma processing apparatus and method capable of performing uniform plasma treatment by control of excitation power
JP6424024B2 (ja) プラズマ処理装置及びプラズマ処理方法
US8241457B2 (en) Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR19980042054A (ko) 플라즈마 외장에서 발생하는 고주파를 필터링하는 플라즈마처리장치 및 방법
TW202107949A (zh) 用於主動調諧電漿功率源的方法及設備
US20120212135A1 (en) Control apparatus, plasma processing apparatus, method for controlling control apparatus
JP2634313B2 (ja) 半導体ウェーハ製造用プラズマ処理方法
US6954033B2 (en) Plasma processing apparatus
JP3923323B2 (ja) プラズマ処理装置及びプラズマ処理方法
US6812044B2 (en) Advanced control for plasma process
JP2001007089A (ja) プラズマ処理方法及び装置
WO2022103765A1 (en) Systems and methods for radiofrequency signal generator-based control of impedance matching system
JP2001244098A (ja) プラズマ処理方法及びプラズマ処理装置
JPH0426781A (ja) プラズマ処理方法およびその装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080318

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080616

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080718

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080717

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090313

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090413

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090525

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090512

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090605

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090721

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091020

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100525

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100609

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130618

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term