JP2001524251A - プラズマ加工処理システムのイオンエネルギーとプラズマ密度を制御するための方法と装置 - Google Patents
プラズマ加工処理システムのイオンエネルギーとプラズマ密度を制御するための方法と装置Info
- Publication number
- JP2001524251A JP2001524251A JP54413998A JP54413998A JP2001524251A JP 2001524251 A JP2001524251 A JP 2001524251A JP 54413998 A JP54413998 A JP 54413998A JP 54413998 A JP54413998 A JP 54413998A JP 2001524251 A JP2001524251 A JP 2001524251A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- power
- high frequency
- power circuit
- processing system
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
- H01J37/32706—Polarising the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/3299—Feedback systems
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
Abstract
Description
Claims (1)
- 【特許請求の範囲】 1. プラズマチェンバーを取り囲んでいるプラズマ反応装置と; プラズマチェンバー内の加工物に直流バイアスを発生させるのに適した第1の 高周波エネルギーをプラズマチェンバー内に供給するために構成された第1の電 力回路と; プラズマチェンバー内の粒子をイオン化してプラズマチェンバー内にプラズマ を打つのに適した第2の高周波エネルギーをプラズマチェンバー内に供給するた めに構成された第2の電力回路と; 第1の電力回路に連結されると共に、第1の高周波エネルギーの少なくとも1 つのパラメーターを検出し、これに基づいて第1の電力回路に対してフィードバ ック制御信号を出力するために構成されたフィードバック回路とを備え、 第1の電力回路は、プラズマチェンバー内のイオン化された粒子のエネルギー レベルが直流バイアスだけを介して実質的に制御されるように、第1の高周波エ ネルギーをプラズマチェンバーに供給するときにフィードバック制御信号に対し てすぐに反応する ことを特徴とするプラズマ加工処理システム。 2. 第1の電力回路が、プラズマチェンバー内の電極を含み、第1の高周波 信号を前記電極に供給するために構成されている ことを特徴とする請求項1記載のプラズマ加工処理システム。 3. 第1の電力回路が、フィードバック制御回路に結合されて、フィードバ ック制御信号に反応し、第1の不整合な高周波信号を生成する第1の高周波電源 と、 第1の高周波電源に結合され、前記第1の不整合な高周波信号を受け取り、前 記電極に第1の高周波信号を出力するために構成された整合ネットワークとをさ らに含んでいる ことを特徴とする請求項2記載のプラズマ加工処理システム。 4. 第1の高周波エネルギーのパラメーターは、電流、電圧、電力、インピ ーダンス、および第1の高周波信号と関連した位相角のグループから選ばれるこ とを特徴とする請求項3記載のプラズマ加工処理システム。 5. フィードバック回路は、整合ネットワークと電極との間に設けられ、少 なくとも1つの感知パラメーター信号を出力するために構成されたセンサーと; センサーおよび第1の高周波電源に結合されて、感知パラメーター信号および 要求パラメーターレベルに基づくフィードバック制御信号を出力するために構成 されたコントローラとを含んでいる ことを特徴とする請求項4記載のプラズマ加工処理システム。 6. センサーが高周波プローブであることを特徴とする請求項5記載のプラ ズマ加工処理システム。 7. コントローラがコンピュータシステムであることを特徴とする請求項5 記載のプラズマ加工処理システム。 8. 第1の高周波信号を実質的に一定の2乗平均電圧レベルに維持するため にフィードバック回路が作用することを特徴とする請求項5記載のプラズマ加工 処理システム。 9. 第2の電力回路は、プラズマチェンバーに接近して配置されたコイルを 含み、第2の高周波信号をコイルに供給するために構成されている ことを特徴とする請求項1記載のプラズマ加工処理システム。 10. 第2の電力回路が、第2の不整合な高周波信号を生成する第2の高周波 電源と、 第2の高周波電源に結合され、前記第2の不整合な高周波信号を受け取り、そ してコイルに対して第2の高周波信号を出力するために構成された第2の整合ネ ットワークとをさらに含んでいる ことを特徴とする請求項9記載のプラズマ加工処理システム。 11. 第2の電力回路に結合され、第2の高周波エネルギーの少なくとも1つ のパラメーターを検出し、これに基づいて第2の電力回路に第2のフィードバッ ク制御信号を出力するために構成された第2のフィードバック回路を備え、 第2の電力回路は、プラズマチェンバー内のプラズマ密度のレベルが第2の高 周波信号だけを介して実質的に制御されるように、第2の高周波エネルギーをプ ラズマチェンバーに供給するときに、第2のフィードバック制御信号に対して反 応する ことを特徴とする請求項10記載のプラズマ加工処理システム。 12. 第2の高周波電源が、第2のフィードバック制御回路に結合され、第2 のフィードバック制御信号に反応する ことを特徴とする請求項11記載のプラズマ加工処理システム。 13. プラズマ加工処理システムにおける直流(dc)バイアスを制御するため の装置であって、 第1の電力回路は、第1の高周波信号の少なくとも1つのパラメーターを監視 する制御回路を含み、第1の高周波信号をプラズマ加工処理システム内の負荷に 供給するために設けられた第1の電力回路と; 第2の高周波信号をプラズマ加工処理システム内の負荷に供給するように設け られた第2の電力回路とを備え、 第1および第2の高周波信号は、制御回路によって直流バイアスが第1の高周 波信号を介して独立に制御されるように、お互いに実質的に非結合である ことを特徴とする直流バイアスを制御するための装置。 14. 第1の高周波信号が供給されるときに、第1の電力回路は、負荷の加工 物部分に直流バイアスを発生させるための、プラズマ加工処理システム内に配置 された電極を含んでいる ことを特徴とする請求項13記載の装置。 15. 第2の高周波信号が供給されるときに、第2の電源は、負荷のイオン化 可能な部分からプラズマを発生するための、プラズマ加工処理システム内に配置 されたコイルを含んでいる ことを特徴とする請求項14記載の装置。 16. 第2の電力回路は、プラズマ加工処理システム内で発生したプラズマの プラズマ密度が、第2の高周波信号を介して独立に制御されるように、第2の高 周波信号の少なくとも1つのパラメーターを監視すると共に負荷に供給されると きに第1および第2の高周波信号をさらに非結合にする第2の電力制御回路を含 んでいる ことを特徴とする請求項13記載の装置。 17. 第1の電力回路と第2の電力回路を備えているプラズマ反応装置のイオ ンエネルギーとプラズマ密度を制御するために制御回路を使用する方法であって 、 プラズマ反応装置内の電極に供給される第1の電力回路からの出力信号の少な くとも1つのパラメーターを測定し; 測定されたパラメーターおよび指定されたパラメーターレベルに基づいて第1 の電力回路からの出力信号を調整し; それによって、イオンエネルギーは、直流(dc)バイアスを電極に発生させる 第1の電力回路の出力の大きさを介して制御され、プラズマ密度は、プラズマを 発生させる第2の電力回路の出力の大きさを介して独立に制御される ことを特徴とする制御回路を使用する方法。 18. 第1の電力回路によってプラズマ反応装置に第1の高周波(rf)信号を 供給し; プラズマ反応装置のプラズマチェンバー内に置かれた加工物に、第1の高周波 信号によって直流バイアスを発生させ; 第2の電力回路によってプラズマ反応装置に第2の高周波信号を供給し、 第2の高周波信号によってプラズマ反応装置内にイオン化された粒子を含んで いるプラズマを打ち; 第1の高周波信号が第1の電力回路内の整合ネットワークにより生成されそし て調節された後に、高周波センサーによって第1の高周波信号のパラメーターを 検出し; このパラメーターと指定されたパラメーターレベルとを比較し; この比較結果に基づいて、加工物と接触しているイオン化された粒子のエネル ギーレベルが、第1の高周波信号によって設定されるように直流バイアスの大き さだけを介して実質的に制御されるように、第1の高周波信号を修正する ことを特徴とする請求項17記載の方法。 19. 第1の高周波信号のパラメーターが、電流、電圧、電力、インピーダン ス、および位相角のグループから選択される ことを特徴とする請求項18記載の方法。 20. さらにプラズマ密度を制御するための方法であって、 第2の電力回路内の第2の整合ネットワークにより生成されそして調節された 後に第2の高周波信号の第2のパラメーターを検出し; 第2のパラメーターと第2の要求パラメーターレベルとを比較し; 第2の比較結果に基づいて、プラズマ反応装置内のプラズマの密度が第2の高 周波信号の大きさだけを介して実質的に制御されるように第2の高周波信号を修 正する ことを特徴とする請求項19記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/843,476 | 1997-04-16 | ||
US08/843,476 US6174450B1 (en) | 1997-04-16 | 1997-04-16 | Methods and apparatus for controlling ion energy and plasma density in a plasma processing system |
PCT/US1998/007336 WO1998047168A1 (en) | 1997-04-16 | 1998-04-15 | Methods and apparatus for controlling ion energy and plasma density in a plasma processing system |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2001524251A true JP2001524251A (ja) | 2001-11-27 |
JP4531138B2 JP4531138B2 (ja) | 2010-08-25 |
Family
ID=25290097
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP54413998A Expired - Lifetime JP4531138B2 (ja) | 1997-04-16 | 1998-04-15 | プラズマ加工処理システム及びプラズマ加工処理方法 |
Country Status (8)
Country | Link |
---|---|
US (1) | US6174450B1 (ja) |
EP (1) | EP0976141B1 (ja) |
JP (1) | JP4531138B2 (ja) |
KR (1) | KR100582096B1 (ja) |
AU (1) | AU7111298A (ja) |
DE (1) | DE69836857T2 (ja) |
TW (1) | TW434698B (ja) |
WO (1) | WO1998047168A1 (ja) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003510833A (ja) * | 1999-09-30 | 2003-03-18 | ラム リサーチ コーポレーション | プラズマリアクタにおける高周波電源調節のための電圧制御センサおよび制御インタフェース |
JP2007150012A (ja) * | 2005-11-29 | 2007-06-14 | Matsushita Electric Ind Co Ltd | プラズマ処理装置および方法 |
JP2009543298A (ja) * | 2006-06-28 | 2009-12-03 | ラム リサーチ コーポレーション | プラズマ処理チャンバの非拘束状態の検出方法および装置 |
JP2012044045A (ja) * | 2010-08-20 | 2012-03-01 | Toshiba Corp | 制御装置、プラズマ処理装置、及び制御方法 |
KR20160113983A (ko) * | 2015-03-23 | 2016-10-04 | 도쿄엘렉트론가부시키가이샤 | 전원 시스템, 플라즈마 처리 장치 및 전원 제어 방법 |
JP7359789B2 (ja) | 2018-06-13 | 2023-10-11 | ラム リサーチ コーポレーション | 基板処理システムのための直接駆動rf回路 |
Families Citing this family (232)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3959200B2 (ja) * | 1999-03-19 | 2007-08-15 | 株式会社東芝 | 半導体装置の製造装置 |
US6265831B1 (en) * | 1999-03-31 | 2001-07-24 | Lam Research Corporation | Plasma processing method and apparatus with control of rf bias |
DE60015270T2 (de) | 1999-04-14 | 2006-02-09 | Surface Technology Systems Plc, Newport | Verfahren und gerät zur stabilisierung eines plasmas |
US7141757B2 (en) * | 2000-03-17 | 2006-11-28 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent |
US7030335B2 (en) | 2000-03-17 | 2006-04-18 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US8048806B2 (en) * | 2000-03-17 | 2011-11-01 | Applied Materials, Inc. | Methods to avoid unstable plasma states during a process transition |
US6894245B2 (en) * | 2000-03-17 | 2005-05-17 | Applied Materials, Inc. | Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US8617351B2 (en) | 2002-07-09 | 2013-12-31 | Applied Materials, Inc. | Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction |
US20070048882A1 (en) * | 2000-03-17 | 2007-03-01 | Applied Materials, Inc. | Method to reduce plasma-induced charging damage |
US6853141B2 (en) * | 2002-05-22 | 2005-02-08 | Daniel J. Hoffman | Capacitively coupled plasma reactor with magnetic plasma control |
US7220937B2 (en) * | 2000-03-17 | 2007-05-22 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination |
US6592710B1 (en) * | 2001-04-12 | 2003-07-15 | Lam Research Corporation | Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator |
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US6893907B2 (en) | 2002-06-05 | 2005-05-17 | Applied Materials, Inc. | Fabrication of silicon-on-insulator structure using plasma immersion ion implantation |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US7166524B2 (en) * | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7288491B2 (en) * | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7094316B1 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US7183177B2 (en) * | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US7303982B2 (en) * | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7137354B2 (en) * | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
US7094670B2 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7320734B2 (en) * | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US7430984B2 (en) * | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US7479456B2 (en) * | 2004-08-26 | 2009-01-20 | Applied Materials, Inc. | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
TW529085B (en) * | 2000-09-22 | 2003-04-21 | Alps Electric Co Ltd | Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system |
JP4666740B2 (ja) * | 2000-10-06 | 2011-04-06 | 川崎マイクロエレクトロニクス株式会社 | 半導体製造装置、被処理基板表面の処理方法およびプラズマ生成物の付着状態の観察方法 |
WO2002075801A2 (en) * | 2000-11-07 | 2002-09-26 | Tokyo Electron Limited | Method of fabricating oxides with low defect densities |
WO2002075332A1 (en) * | 2001-03-16 | 2002-09-26 | Tokyo Electron Limited | Impedance monitoring system and method |
US6741446B2 (en) | 2001-03-30 | 2004-05-25 | Lam Research Corporation | Vacuum plasma processor and method of operating same |
US7096819B2 (en) * | 2001-03-30 | 2006-08-29 | Lam Research Corporation | Inductive plasma processor having coil with plural windings and method of controlling plasma density |
US6714033B1 (en) * | 2001-07-11 | 2004-03-30 | Lam Research Corporation | Probe for direct wafer potential measurements |
EP1444727A4 (en) * | 2001-10-22 | 2007-07-18 | Unaxis Usa Inc | PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA |
US6727655B2 (en) * | 2001-10-26 | 2004-04-27 | Mcchesney Jon | Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber |
US6713969B2 (en) * | 2002-01-31 | 2004-03-30 | Tokyo Electron Limited | Method and apparatus for determination and control of plasma state |
US6946847B2 (en) * | 2002-02-08 | 2005-09-20 | Daihen Corporation | Impedance matching device provided with reactance-impedance table |
AU2003247538A1 (en) * | 2002-07-03 | 2004-01-23 | Tokyo Electron Limited | Method and apparatus for non-invasive measurement and analys of semiconductor plasma parameters |
TWI283899B (en) * | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
JP4370789B2 (ja) * | 2002-07-12 | 2009-11-25 | 東京エレクトロン株式会社 | プラズマ処理装置及び可変インピーダンス手段の校正方法 |
US7345428B2 (en) * | 2002-09-23 | 2008-03-18 | Turner Terry R | Transducer package for process control |
US20040060660A1 (en) * | 2002-09-26 | 2004-04-01 | Lam Research Inc., A Delaware Corporation | Control of plasma density with broadband RF sensor |
US7355687B2 (en) * | 2003-02-20 | 2008-04-08 | Hunter Engineering Company | Method and apparatus for vehicle service system with imaging components |
US7795153B2 (en) * | 2003-05-16 | 2010-09-14 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters |
US7470626B2 (en) * | 2003-05-16 | 2008-12-30 | Applied Materials, Inc. | Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure |
US7910013B2 (en) * | 2003-05-16 | 2011-03-22 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure |
US7247218B2 (en) * | 2003-05-16 | 2007-07-24 | Applied Materials, Inc. | Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power |
US7452824B2 (en) * | 2003-05-16 | 2008-11-18 | Applied Materials, Inc. | Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters |
US7901952B2 (en) * | 2003-05-16 | 2011-03-08 | Applied Materials, Inc. | Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters |
US7062411B2 (en) * | 2003-06-11 | 2006-06-13 | Scientific Systems Research Limited | Method for process control of semiconductor manufacturing equipment |
US7291360B2 (en) * | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US20050211546A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US7244474B2 (en) * | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US20050211171A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US7695590B2 (en) * | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7276135B2 (en) * | 2004-05-28 | 2007-10-02 | Lam Research Corporation | Vacuum plasma processor including control in response to DC bias voltage |
US8058156B2 (en) * | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7767561B2 (en) * | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US7531469B2 (en) * | 2004-10-23 | 2009-05-12 | Applied Materials, Inc. | Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current |
US7666464B2 (en) * | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US20060231388A1 (en) * | 2005-04-14 | 2006-10-19 | Ravi Mullapudi | Multi-station sputtering and cleaning system |
US7428915B2 (en) * | 2005-04-26 | 2008-09-30 | Applied Materials, Inc. | O-ringless tandem throttle valve for a plasma reactor chamber |
US7359177B2 (en) * | 2005-05-10 | 2008-04-15 | Applied Materials, Inc. | Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
US7109098B1 (en) | 2005-05-17 | 2006-09-19 | Applied Materials, Inc. | Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7422775B2 (en) * | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7312162B2 (en) * | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US7335611B2 (en) * | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US7323401B2 (en) * | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7312148B2 (en) * | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US7429532B2 (en) * | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US8932430B2 (en) * | 2011-05-06 | 2015-01-13 | Axcelis Technologies, Inc. | RF coupled plasma abatement system comprising an integrated power oscillator |
US8217299B2 (en) * | 2007-02-22 | 2012-07-10 | Advanced Energy Industries, Inc. | Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch |
KR101533473B1 (ko) * | 2007-12-13 | 2015-07-02 | 램 리써치 코포레이션 | 플라즈마 비한정 센서 및 그의 방법 |
US7713757B2 (en) * | 2008-03-14 | 2010-05-11 | Applied Materials, Inc. | Method for measuring dopant concentration during plasma ion implantation |
WO2009140371A2 (en) * | 2008-05-14 | 2009-11-19 | Applied Materials, Inc. | Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery |
US8044594B2 (en) * | 2008-07-31 | 2011-10-25 | Advanced Energy Industries, Inc. | Power supply ignition system and method |
TWI578854B (zh) | 2008-08-04 | 2017-04-11 | Agc北美平面玻璃公司 | 使用電漿增強化學氣相沉積以形成塗層之方法 |
US8395078B2 (en) | 2008-12-05 | 2013-03-12 | Advanced Energy Industries, Inc | Arc recovery with over-voltage protection for plasma-chamber power supplies |
EP2790205B1 (en) | 2009-02-17 | 2018-04-04 | Solvix GmbH | A power supply device for plasma processing |
US9378930B2 (en) * | 2009-03-05 | 2016-06-28 | Applied Materials, Inc. | Inductively coupled plasma reactor having RF phase control and methods of use thereof |
JP5342930B2 (ja) * | 2009-05-25 | 2013-11-13 | 株式会社アルバック | プラズマ処理装置、プラズマ処理方法 |
KR100938782B1 (ko) | 2009-07-06 | 2010-01-27 | 주식회사 테스 | 플라즈마 발생용 전극 및 플라즈마 발생장치 |
JP5632626B2 (ja) * | 2010-03-04 | 2014-11-26 | 東京エレクトロン株式会社 | 自動整合装置及びプラズマ処理装置 |
JP5498217B2 (ja) * | 2010-03-24 | 2014-05-21 | 株式会社ダイヘン | 高周波測定装置、および、高周波測定装置の校正方法 |
JP5546921B2 (ja) * | 2010-03-26 | 2014-07-09 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8552665B2 (en) | 2010-08-20 | 2013-10-08 | Advanced Energy Industries, Inc. | Proactive arc management of a plasma load |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
SI23626A (sl) | 2011-01-19 | 2012-07-31 | Institut@@quot@JoĹľef@Stefan@quot | Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8773019B2 (en) | 2012-02-23 | 2014-07-08 | Mks Instruments, Inc. | Feedback control and coherency of multiple power supplies in radio frequency power delivery systems for pulsed mode schemes in thin film processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US10821542B2 (en) * | 2013-03-15 | 2020-11-03 | Mks Instruments, Inc. | Pulse synchronization by monitoring power in another frequency band |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) * | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
MX2017007356A (es) | 2014-12-05 | 2018-04-11 | Agc Flat Glass Europe S A | Fuente de plasma del catodo hueco. |
MX2017007357A (es) | 2014-12-05 | 2018-04-24 | Agc Flat Glass Na Inc | Fuente de plasma utilizando un revestimiento de reduccion de macro-particulas y metodo de uso de una fuente de plasma utilizando un revestimiento de reduccion de macro-particulas para la deposicion de revestimientos de pelicula delgada y modificacion de superficies. |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9947514B2 (en) * | 2015-09-01 | 2018-04-17 | Mks Instruments, Inc. | Plasma RF bias cancellation system |
US9721765B2 (en) | 2015-11-16 | 2017-08-01 | Agc Flat Glass North America, Inc. | Plasma device driven by multiple-phase alternating or pulsed electrical current |
US9721764B2 (en) | 2015-11-16 | 2017-08-01 | Agc Flat Glass North America, Inc. | Method of producing plasma by multiple-phase alternating or pulsed electrical current |
US10573499B2 (en) | 2015-12-18 | 2020-02-25 | Agc Flat Glass North America, Inc. | Method of extracting and accelerating ions |
US10242846B2 (en) | 2015-12-18 | 2019-03-26 | Agc Flat Glass North America, Inc. | Hollow cathode ion source |
EA201891455A1 (ru) * | 2015-12-18 | 2019-01-31 | Эй-Джи-Си Флет Гласс Норт Эмерике, Инк. | Ионный источник с полым катодом и способ экстрагирования и ускорения ионов |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10510512B2 (en) * | 2018-01-25 | 2019-12-17 | Tokyo Electron Limited | Methods and systems for controlling plasma performance |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11587773B2 (en) | 2019-05-24 | 2023-02-21 | Applied Materials, Inc. | Substrate pedestal for improved substrate processing |
JP7450387B2 (ja) * | 2019-12-27 | 2024-03-15 | 株式会社ダイヘン | インピーダンス調整装置 |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS60126832A (ja) * | 1983-12-14 | 1985-07-06 | Hitachi Ltd | ドライエツチング方法および装置 |
US5242561A (en) * | 1989-12-15 | 1993-09-07 | Canon Kabushiki Kaisha | Plasma processing method and plasma processing apparatus |
US5175472A (en) | 1991-12-30 | 1992-12-29 | Comdel, Inc. | Power monitor of RF plasma |
DE69226253T2 (de) * | 1992-01-24 | 1998-12-17 | Applied Materials Inc | Plasmaätzverfahren und Reaktor zur Plasmabearbeitung |
US5571366A (en) * | 1993-10-20 | 1996-11-05 | Tokyo Electron Limited | Plasma processing apparatus |
US5556549A (en) | 1994-05-02 | 1996-09-17 | Lsi Logic Corporation | Power control and delivery in plasma processing equipment |
US5474648A (en) | 1994-07-29 | 1995-12-12 | Lsi Logic Corporation | Uniform and repeatable plasma processing |
US5688357A (en) * | 1995-02-15 | 1997-11-18 | Applied Materials, Inc. | Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor |
-
1997
- 1997-04-16 US US08/843,476 patent/US6174450B1/en not_active Expired - Lifetime
-
1998
- 1998-04-08 TW TW087105288A patent/TW434698B/zh not_active IP Right Cessation
- 1998-04-15 KR KR1019997009377A patent/KR100582096B1/ko not_active IP Right Cessation
- 1998-04-15 AU AU71112/98A patent/AU7111298A/en not_active Abandoned
- 1998-04-15 WO PCT/US1998/007336 patent/WO1998047168A1/en active IP Right Grant
- 1998-04-15 JP JP54413998A patent/JP4531138B2/ja not_active Expired - Lifetime
- 1998-04-15 EP EP98918130A patent/EP0976141B1/en not_active Expired - Lifetime
- 1998-04-15 DE DE69836857T patent/DE69836857T2/de not_active Expired - Lifetime
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003510833A (ja) * | 1999-09-30 | 2003-03-18 | ラム リサーチ コーポレーション | プラズマリアクタにおける高周波電源調節のための電圧制御センサおよび制御インタフェース |
JP4828755B2 (ja) * | 1999-09-30 | 2011-11-30 | ラム リサーチ コーポレーション | プラズマリアクタシステム、プラズマリアクタに供給する電力を制御する方法およびプラズマ処理システム |
JP2007150012A (ja) * | 2005-11-29 | 2007-06-14 | Matsushita Electric Ind Co Ltd | プラズマ処理装置および方法 |
JP2009543298A (ja) * | 2006-06-28 | 2009-12-03 | ラム リサーチ コーポレーション | プラズマ処理チャンバの非拘束状態の検出方法および装置 |
JP2012044045A (ja) * | 2010-08-20 | 2012-03-01 | Toshiba Corp | 制御装置、プラズマ処理装置、及び制御方法 |
KR20160113983A (ko) * | 2015-03-23 | 2016-10-04 | 도쿄엘렉트론가부시키가이샤 | 전원 시스템, 플라즈마 처리 장치 및 전원 제어 방법 |
KR102283193B1 (ko) | 2015-03-23 | 2021-07-29 | 도쿄엘렉트론가부시키가이샤 | 전원 시스템, 플라즈마 처리 장치 및 전원 제어 방법 |
JP7359789B2 (ja) | 2018-06-13 | 2023-10-11 | ラム リサーチ コーポレーション | 基板処理システムのための直接駆動rf回路 |
Also Published As
Publication number | Publication date |
---|---|
DE69836857D1 (de) | 2007-02-22 |
KR20010006293A (ko) | 2001-01-26 |
KR100582096B1 (ko) | 2006-05-23 |
EP0976141B1 (en) | 2007-01-10 |
EP0976141A1 (en) | 2000-02-02 |
JP4531138B2 (ja) | 2010-08-25 |
WO1998047168A1 (en) | 1998-10-22 |
US6174450B1 (en) | 2001-01-16 |
DE69836857T2 (de) | 2007-07-05 |
TW434698B (en) | 2001-05-16 |
AU7111298A (en) | 1998-11-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2001524251A (ja) | プラズマ加工処理システムのイオンエネルギーとプラズマ密度を制御するための方法と装置 | |
JP4897195B2 (ja) | プラズマ処理方法、プラズマ処理装置およびプラズマ処理装置の製造方法 | |
TWI768395B (zh) | 電漿處理裝置及電漿處理方法 | |
US6022460A (en) | Enhanced inductively coupled plasma reactor | |
US6177646B1 (en) | Method and device for plasma treatment | |
US5650032A (en) | Apparatus for producing an inductive plasma for plasma processes | |
TW589680B (en) | MERIE plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression | |
TW407396B (en) | Method of and apparatus for minimizing plasma instability in an RF processor | |
US8193097B2 (en) | Plasma processing apparatus and impedance adjustment method | |
US5824606A (en) | Methods and apparatuses for controlling phase difference in plasma processing systems | |
JP3987131B2 (ja) | 誘導増強された反応性イオンエッチング | |
US6792889B2 (en) | Plasma processing apparatus and method capable of performing uniform plasma treatment by control of excitation power | |
JP6424024B2 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
US8241457B2 (en) | Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system | |
KR19980042054A (ko) | 플라즈마 외장에서 발생하는 고주파를 필터링하는 플라즈마처리장치 및 방법 | |
TW202107949A (zh) | 用於主動調諧電漿功率源的方法及設備 | |
US20120212135A1 (en) | Control apparatus, plasma processing apparatus, method for controlling control apparatus | |
JP2634313B2 (ja) | 半導体ウェーハ製造用プラズマ処理方法 | |
US6954033B2 (en) | Plasma processing apparatus | |
JP3923323B2 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
US6812044B2 (en) | Advanced control for plasma process | |
JP2001007089A (ja) | プラズマ処理方法及び装置 | |
WO2022103765A1 (en) | Systems and methods for radiofrequency signal generator-based control of impedance matching system | |
JP2001244098A (ja) | プラズマ処理方法及びプラズマ処理装置 | |
JPH0426781A (ja) | プラズマ処理方法およびその装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050414 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080318 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080616 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080718 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080717 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081216 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090313 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090427 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090413 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090525 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090512 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090622 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090605 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090721 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20091020 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20091130 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20091116 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20100525 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20100609 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130618 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |