TW434698B - Methods and apparatus for controlling ion energy and plasma density in a plasma processing system - Google Patents

Methods and apparatus for controlling ion energy and plasma density in a plasma processing system Download PDF

Info

Publication number
TW434698B
TW434698B TW087105288A TW87105288A TW434698B TW 434698 B TW434698 B TW 434698B TW 087105288 A TW087105288 A TW 087105288A TW 87105288 A TW87105288 A TW 87105288A TW 434698 B TW434698 B TW 434698B
Authority
TW
Taiwan
Prior art keywords
plasma
power
source
radio frequency
load
Prior art date
Application number
TW087105288A
Other languages
English (en)
Inventor
Roger Patrick
Norman Williams
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW434698B publication Critical patent/TW434698B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

4346 98 經濟部中央標準局貝工消費合作社印裳 A7 __B7 .__五、發明说明(ί ) 本發明關於電漿處理系統,並且尤其關於用以控制感 應耦合電漿處理系統內的離子能量的數量及/或電漿密度 之方法與裝置。 在半導體元件的處理及製造期間內,通常採用離子化 氣體或電漿。例如,能夠使用電漿去從半導體積體電路晶 圓蝕刻或移除材料,並且噴濺或沉積材料至半導性、傳導 性或絕緣表面。通常藉由引入不同的處理氣體到電漿反鹰/ 器之內的電漿反應室中,會開始生成烘生產或製造程序內 使用的電漿,在反應室中氣體與比如積體電路晶圖之工件 接觸。反應室.中氣體的分子藉由從外部功率源提供給電漿 反應室的射頻(rf)信號而被離子化成爲電漿。在處理期間 內,電漿及離子化粒子接觸工件。 施加至電漿反應室之射頻信號引入使電子加速的電 場,電子然後碰撞個別的氣體分子造成電子舆離子的進一 歩產生。有數種方法去引入軍場於電漿反應器內。兩種常 見型式的電漿處理系統是電容式耦合輋槳處理系統以及感 應耦合電漿處理系統。 第1圖舉例說明半導體元件之處理及製造中所用的一 ' 種典型的電容式耦合電漿處琿系統10。如圖中所示,電 漿處理系統10包括了具有電漿反應室13於其中的電漿反 應器12。在電漿處理室13之內有兩個電極14a與14b, 這形成了電容器。電極14a被連接至地並且電極14b被連 接去遂由匹配網路ί 8從電源供應器16接收射頻信號》當 » 電源供應器16被激化時,射頻信號被施加至在電極Ha與 (請先閱讀背面·之注項再填寫本頁) .-.4—装 ,tr 線 本紙乐尺度適用中國國家標準(CNS ) A4说格(210X297公釐).4 - 經濟部中央標準局員工消費合作社印聚 A7 B7 五、發明説明(2 ) 14b之間形成的電容電路。如果在電漿反應室13之內提 供可離子化氣體,則將在施加射頻信號時形成電漿。 由於電漿處理系統10只有一個電源供應器16,增加 由電源供應器Ιό產生之射頻信號的功率會傾向增加在電 極14b.與晶圓24的電漿之密度(亦§卩,電漿密度 > 以及直流 (dc)偏壓。直流偏壓之增加經常造成跨越電漿殼層26之 電位降其相對應的增加,這增加了接觸晶圓24之離子化 粒子的能量(亦即,離子能量)。 第2圖舉例說明一種亦能夠在半導體元件之處理與製 造中使用的傳統感應耦合電漿處理系統30。感應耦合電 漿處理系統30包括了具有電漿反應室33於其中的電漿反 應器32。不像第1圖的電漿處理系統10,感應耦合電漿 處理系統30包括了兩個功率供應器34與36,它們影響了 在電漿反應室33之內生成之電漿。電源供應器34被規劃 爲經由匹配網路3 8供應射頻信號給位在電漿反應器32內 的電極,夾頭4?)。電源供應器34用的射頻信號在典型地 位於夾頭40之上方表面44上的晶圓42上發展出直琦偏 壓。 電源供應器3 6被規劃爲释由匹配網路46供應射頻信 號給位在接近電漿反應室33的線圈48。如圖中所示,典 型地提供例如陶瓷板的反應窗50去使線圏48與電漿反應 室33隔離。亦顯示出典型地有一個氣體供應機構52可提 供製造程序所需的適當化學物給電漿反應器32。排氣機 構5 4從電漿反應室33內移除粒子並且在電漿反應室33內 本紙诙尺度適用中國國家標準(CN'S ) A4規格(210X297公瘦) <請先盹讀背面之注意事項再.填寫本頁) -----L--'----J·-裝 j-----訂------7.淥"--- 五. ;_I_ ft , 經濟部中央鞏局'®:工消費合作社印製 A7 B7 發明説明(3 ) 維持特別的壓力。結果,假始提供可離子化氣體給電漿反 應室33,則由電源供應器36產生的_射頻信號會生成電漿 56 » 電漿發射中射頻功率的控制與傳送在電漿處理中是絕 對的重要。電漿反應室內實際功率的量非常地影響處理條 件β傳送給電漿反應室之實瘵功率中明顯的變動會非預期 地改變了其它比如'壓力、溫度及蝕刻速率之程序可變參數 其預期的貢獻。 妞第1及2圖所舉例說明,在電漿反應室內獲得預定 的射頻功率最常用的方法是在功率電路內提供匹配網路。 匹配網路本質上轉換了電漿發射之阻抗(電容性或電感性 電抗)成爲電源供應器實質上的電阻性負載。然後電源供 應器(或多個功率供應器)能夠依據所要的處理參數被設定 至預定的功率位準。 舉例而言,典型的匹配網路包括了可變的電容器及/ 或電感器當做匹配元件(供低至高射頻頻率用),以及可變 的腔穴分接領或匹配短柱(在微波頻率時使用)。匹配緻路 可以被人工地或自動地調.整,然而,大多數匹配網路自動 ' 地針對變動的負載條件而調整* 爲了進一步地控制供應給電漿反應室之射頻功率的 量,在典型的電漿處理系統中監控並控制了來自電源供應 器(或功率供應器)之輸出。部份地根據了匹配爵路內之功 率損耗是可忽略的假設*這通常出現在電源供應器本身的 輸出。 本纸张尺度適用中國國家標準(CNS } A4規格(2丨OX297公釐) (請先閲讀背面之注意事項再填寫本K) .----^,裝ί.-----ir------γ 旅^--- 434698 A7 B7 五、發明説明(4 ) 然而,已經知道因爲例如匹配網路本身中非預期的損 耗,傳送給電漿反應室的射頻功率實質上比射頻功率供應 器的輸出更少。离了考慮電容式耦合電漿處理系統中匹配 網路內的損耗 < 已經加入額外的感測及控制電路到功率電 路。例如,美國專利5,175,47.2 ' 5,4 74τ648與 5,5 5 6,5 4 9公開了不同方式去使用射頻感測器與控制器 而提供調整電源供應器輸出用的額外的迴授控制迴路電 路-,以便在電漿反應室內達成所要的射頻功率位準。 這些迴授技術並未被用於感應耦合電漿處理系統中, 因爲長久以來相信兩個功率供應器是彼此獨立的,而提供 給線圈的射頻功率控制了電漿密度並且提供給夾頭的射頻 功率控制了接觸晶圓之離子的能量(亦即,藉由控制寅流 偏壓)。因此,用這兩個功率供應器,可假設藉由獨立地 設定兩個功率供應器的輸出而先天上提供了對程序的額外 控制,並且以開迴路模式(亦即&不用迴授)操作它們。 經濟部中央標準局員工消費合作社印製 (請先閱讀背雨之注意事項再填寫本I ) 線_ 然而,由於在功率源所提供的功率與晶圓夾頭之間有 耦合,實際上電漿密度舆離子能量不是真正獨立的。這耦 合被舉例說明於第3_中,例如,它是對於提供給線圈之 射頻功率其不同的射頻功率設定以及在電漿反躍室頂部舆, 晶圓表面之間不崗的間隙(亦即,4或6公分)下,,直流偏 壓相對於提供給晶圖的射頻功率之圖。繪示於第3圖中的 又 資料是由可自加州Fremont之Lam Research公司購得 之TCP 9600SE處理系統所收集》如圖所示,當提供給 夾頭的射頻功率(亦即,底部功率)增加時,直流偏壓的幅 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公董)_ ? 434698 A7 B7 .__ --------- - 五、發明説明(5 ) (請先閱讀背面之注意事項再填寫本頁) 度傾向於增加。然而,對於一給定的底部功率,所發生的 直流偏壓亦視提供給線圈的射頻功率(亦即,TCP功率) 而定,並且某些程度上視間隙距雞60而定《在兩個射頻 功率供應器之間的這耦合亦反映於接觸晶圓之離子的能 量。所以,當電漿密度似乎僅限於由TCP電源供應器控 制的同時,另一方面,離子能量並非僅限於由底部電源供 應器控制》 -所以,需要供更有效地控制感應耦合電漿處理系統中 的電漿密度與離子能量用的方法與裝置。 經濟部中央標率局員工消費合作社印裝. 依據本發明一實施例,提供一種電漿處理系雖,包括 了電漿反應器、第一功率電路、第二功率電路、以及迴授 電路。在電漿反應器之內有一電漿反應室。第一功率電路 被規劃爲在電漿反應室內供應第一射頻(rf)能量。第一射 頻能量逋合生成直流偏屋於放置在電漿反應室之內的工件 上。第二功率電路被規劃爲在電漿反應室內供應第二射頻 能量。第二射頻能量連合藉由例如使供應給電漿反應室的 氣體分子及原子離子化而在電漿反應室內撞擊電漿。迴授 電路被連接至第一功率電路並且規劃爲檢測關於第一射頻 ' 能量的至少一個參數。迴授電路然後根據所檢測的參數而 輪出一迴授控制信號給第一功率電路。第一功率電路反應 於這迴授控制信號並且供應第一射頻能量給電漿反應室, l· 使得在電漿反應室內之錐子化粒子其能量的位準是實質上 經由第一射頻能量所生成的直流偏壓而予以控制。 本發明另一個實施例中,提供了第二迴授電路。第二 本紙浪尺度適用中國國家標準(CNS ) A4規格(210X297公楚)_ g ^ 434698 A7 B7 五、發明説明(G ) 迴授電路連接至第二功率電路並且規劃爲檢測關於第二射 頻能量的至少一個參數。第二迴授電路根據該參數而輸出 第二迴授控制信號給第二功率電路。第二功率電路反應於 第二迴授控制信號並且供應第二射頻能量給電漿反應室, 使得在電漿反應室內之電槳密度的位準實質上受到控制》 依據本發明又另一個實施例,提供一種方法供使用控 制電路去獨立地控制具有第一功率電路與第二功率電路之 感應耦合電漿反應器內的離子能量以及電漿密度。該方法 包括了在第一功率電路施加至電漿反應器內一電極時測量 來自第一功率電路之輸出信號的至少一個參數,並且根據 所測量的參數及特定的參數位準而調整來自第一功率電路 的輸出信號。因爲第一功率電路的輸出造成在電極生成控 制了離子能量位準之直流(dc)偏壓,所以允許經由控制 第一功率電路之輸出的幅度而獨立地控制離子能量'而 且,亦經由控制辑電漿產生的第二功率電路之輪出的幅度 而獨立地控制電漿的密度。 經濟部中央標隼局員工消费合作社印製 依據本發明又另一個實施例,該方法能夠進一步包括 提供第一射頻(rf)信號給《漿反應器;用第一射頻信號生 成直流偏壓提供第二射頻信號給電漿反應器;在電漿反 應室內用第二射頻信號撞擊電漿;檢測第一射頻信號的一 參數;把參數與所要的參數位準相比較:以及根據比較結 果而修正第一射蘋信號,使得接觸工件之離子化粒子其能 量的位準實質上是經由第一射頻信號所建立之直流偏壓的 幅度而予以控制。 本紙張尺度適用中國國家標準(CNS ) 说格(2丨公釐). 4a469& A7 B7|五、發明説明(7 ) 經濟部中央標準局員工消費合作社印笨 依據本發明再另一個實施例*該方法亦包括了檢測第 二射頻信號的一個第二參數;把第二參數與所要的第二參 數位準相比較;以及稂據第二比較結果修正第二射頻信 號,使得電漿反應器內電漿之密度實質上受到控制。 當配合諸附圖而描述本發明以下諸細節時,本發明之 前述及其它特徵、論點及優點將變成更顯而易見的。 第1圖萆舉例說明'具有單一電源供應器的傳統電容式 耦合電漿處理系統之方塊圖: 第2圖是舉例說明具有兩個功率供應器的傳統感應耦 合電漿處理系統之方塊圖; 第3圖是舉例說明如第2圖中傳統感應耦合電漿處理 系統之直流(dc)偏壓位準的圖; 第4a圖是舉例說明依據本發明一實施例而具有一迴 授電路之改良式感應耦合電漿處理系統的方塊圖; 第4b圖是舉例說明依據本發明一實施例而具有兩個 迴授電路之改良式感應耦合電漿處理系統的方塊圖: 第5圖是舉例說明依據本發明一實施例能夠用於第4a 及圖之感應耦合電漿處理系統中之迴授電路其一實施 例的方塊圖: 第6a圖是舉例說明傳送給如第2圖中所示傳統感應耦 合電漿處理系統內電漿反應室之結果的負載功率之圖; V 第6b圖是舉例說明傳送給如第4a圖中所示依據本發 明一實施例之改良式感應耦合電漿處理系統內電漿反應室 之結果的負載功率之圖: (請先閲讀背&之注意事項再填寫本'^) —装j------訂-----Y線--- 本紙乐尺度適用中國國家標準(CNS ) A4^格< 210 X297公釐)_ 1〇 434698 Α7 Β7 經濟部中央標準局貝工消費合作社印製 五、發明説明(牙) 第7圖是舉例說明如第2圖中所示傳統感應耦合電漿 處理系統中的電源供應器所見之範例匹配網路及處理室負 載用的簡化的槪要電路:以及 第&圖是舉例說明符合第6a及6b圖中所繪示資料之功 率傳逯效率相對於負載電阻的圖。 電漿處理其特性爲評估例如半導體晶圓之工件上的處 理結果並藉此而於最終最佳化。處理結果可被記述其特性 爲例如射頻功率、電漿氣體壓力、溫度、電漿反應室間隙 距離、用以形成電漿之氣體型式、以及氣體流速之電漿處 理參數的函數。如上所述,爲了電漿處理的更佳控制,最 好控制實際上傳送給處理室之射頻功率的特性° 本發明諸不同實施例的方法與裝置提供了對感應耦合 電漿處埋系統中電漿密度及/或離子能量的改良控制。例 如,利用本發明能夠藉由實質上使施加至電漿反應室租各 個功率供應器其射頻功率貢獻解耦的一或多個迴授控制電 路而獨立地控制電漿密度與離子能量。 牢記此點,第4a圖是舉例說明依據本發明一實施例 之改良式感應耦合電漿處理系統的方塊圖,除了偏壓 功率電路中額外的迴授電路1〇〇以外,它類似於上述第2 圖中所示電漿處理系統。 如所示,迴授電路被連接在夾頭40與電源供應 ν 器34之間。迴授電路100被規劃爲測量由匹配網路38施 加至夾頭40的射頻信號中至少一個參數。諸參數能夠包 括例如關於施加至夾頭40以及電漿反應器32內之負載的 本紙張尺度適用中國國家標準(CNS ) A4規格(2Ϊ0Χ 297公釐)u _ (讀先閱讀背面之注^^項再填寫本!) -J, —裳一Τ-----訂 jr 線一----- • n^n In i- - · 434698 五 I_____^_I_ 經濟部中央標隼局員工消費合作社印装 A7 B7 發明説明(?) 功率電路以及射頻信號之電流'電壓、功率、阻抗、及/ 或柑位角。根據這些感測得之參數中一或多個,能夠測量 及/或推論例如存儲射頻功率或負載阻抗。 迴授電路100能夠包括例如邏輯及/或計算電路,它 被規劃爲監控施加至夾頭4〇之射頻信號的電壓(如均方根 電壓計算存儲功率,以及比較存儲功率與所要的功率 位準。然後這比較的結果能夠供應例如迴授控制信號給電 源供應器34。迴授控制信號調整了電源供應器34的輸出 去匹配所要的功率位準。 熟悉此技藝者將瞭解其它參數能夠被監控並且類似地 用於調整施加至夾頭4〇的射頻信號。舉例而言,如果使 用者期望維持固定的離子能量1同時變動其它比如壓力、 氣體流速或TCP功率的處理參數,能夠設定控制器在夾 頭4〇維持固定的均方根電壓位準。這將繼而柑當於固定 直流偏壓與離子能量3 第4b圖是舉例說明依據本發明另一實施例之改良式 感應耦合電漿處理系統30"用的方塊圖,除了電漿產生功 率電路內的第二迴授電路102之外,這類似於上述第4a圖 中所示電漿處理系統。 如所示,迴授電路102被連接在線圈48與電源供應 器36之間。迴授電路102被規劃爲測量由匹配網路46施 <· 加至線圈48之射頻信號中至少一參數。如同迴授電路 1.00,諸參數能夠包括例如關於施加至線圈4S以及電漿 反應器32內之負載的功率電路以及射頻信號其電流、電 本紙張尺度適用中國國家標隼(CNS ) A4规格(210X297公t ) - I2 1 〈請先閱讀背面乏注意事項再填寫本頁)
434698 A7 B7 經濟部中央標隼局貝工消費合作社印製 五、發明説明(10 )- 壓,功率、阻抗、及/或相位角。根據這些感測得之參數 中一或多個’能夠計算出例如存儲射頻功率或負載阻抗。 舉例而言,迴授電路1〇2能夠包括邏輯及/或計算電 路,它被規劃爲監控施加至線圈4S之射頻信號的電壓(例 如均方根電壓)’計算存儲功率,並且比較存儲功率與所 要的功率位準。然後這比較的結果能夠供應例如一迴授控 制信號給電源供應器36。迴授控制信號調整了電源供應 器36的輸出去匹配所要的功率位準。 另外,可知迴授電路100與102能夠共用一共同的計 算電路,比如執行電腦具體化指令的電腦系統,並且可知 共用的計算電路能夠進一步地包括了在產生一或多個迴授 控制信號時考慮製造程序的狀態還有各個從功率供應器感 測得之參數。 第5圖是舉例說明迴授電路100· —實施例之方塊圖, 該電路能夠被用於如第4a及4b圖中以便在感應耦合電漿 處理系統中提供一迴授控制信號給電源供應器。迴授電路 100’包括了感測器104以及控制器106。感測器1〇4被配 置爲測量或檢測施加至負載(例如續線、連接器、夾頭或 者線圈/共振器、電漿、晶圓等,以及任何關於這些的孤 立元件)之射頻信號的一或多個參數並且輸出感測得之參 數信號給控制器1 〇 6 » V • 在示範實施例中,感測器〖04是可由位於Col0rad4 州Fort Collins之先進能量公司獲得的RFZ60射頻探 棒。使用RFZ60,能夠從代表前向及被反射射頻波之向 本紙張尺度速用中國國家標準(CNS ) A4規格(210X297公釐)_ 13 . (請先M讀背fe之注意事項再填寫本頁〕 ---r —装—,i I ----- Her t - - t-t— 訂 I........... 1-SI· . - -- - 線1. 'I I * 434698 經濟部中失標举局員工消費合作社印製 A7 B7 五、發明説明(") 量組合的低位準信號推得功率、電壓、電流、及複數阻 抗\該感測器使用不同的已知負載與外插運算法則(由先 進能量公司提供)加以調校,而且在探棒內用濾波器抑制 諧振》 控制器106被連接成從感測器〗〇4接收感測得之參數 信號並且供應迴授控制信號給電源供應器(例如電源供應 器34或36)。控制器106進一步被配置爲接收所要的參數 位準標示,這定義了電源供應器之操作所要的位準。例 如,所要的參數位準能夠是由使用者直接地輸入至控制器 之設定値或信號,或输入至另一個提供訊息給控制器106 之電路/元件(比如電源供應器本身)。控制器106能夠是 例如包括了處理器、記憶體、與輸入/輸出機構之電腦系 統。 在較佳實施例中,控制器106包括了可從先進能韋公 司獲得的智慧型纜線元件。該智慧型纜線元件連接至 RFZ 60探棒以及電源供應器的功率控制電路。智慧型纜 線完成了任何剰餘的計算並且根據從電源供應器內的功率 控制電路(未繪·示出>所接收的所要參數位準而產生了迴授 ' 控制信號。另外,智慧型績線能夠連接至比如個人電腦 (PC>之電腦素統,以便提供額外的參數監控及/或控制能 力° 若無迴授電路100',則功率電路操作於基本的開迴 ‘ 路模式,其中在例如機器控制臺設定所要的功率並且電源 供應器傳送該數量之功率到匹配網路與負載。然而,利用 本紙張尺度適用中国围家標孪(^5)六4規格(21〇'乂 297公鐘〉-14· 丨_„---1----^-裝 j-----訂-------{線 - . (請先玷讀背面_之注意事項再填寫本X ) __ 434698 一五 _ _—ill 經濟部中央標準局員工消費合作社印製 A7 B7 發明説明(u) 迴授電路1〇〇τ,功率電路本質上操作於閉迴路模式以便 控制由電源供應器提供之射頻功率、電壓及/或電流的 量,在由感測器104予以測量時保證實際上施加了所要的 功率位準至負載。 本發明諸不同實施例的優點被圖像化地華例說明於第 6a及6b圖中,它們展示了從Lam Research公司之TCP 9600 SE系統所收集的資料之測試結果。在第6a圖中, 系統被規劃爲如第2圖中所示(除了使用射頻探棒去收集 資料)並且操作於開迴路模式。在第6b圖中,系統依據第 4a及5圖而配置並且操作於閉迴路模式。 在開迴路模式中,如第6a圖中所示·在夾頭測量得 之所傳送射頻功率中的電壓是來自電源烘應器34(偏壓功 率)與電源供應器36(TCP功率)之輸出的函數。由電源供 應器34產生之射頻信號的電壓是沿著垂直軸繪示,並且 由感測器104測量的實際負載功率沿著水平軸被繪示。此 例中,偏壓功率之範菌從100瓦特到500瓦特,並且TCP 功率之範圍從0瓦特到_&〇〇瓦特,兩者皆爲100瓦特之間 隔。 ' 在閉迴路模式中,如第圖中所示,由電源供應器 34產生之射頻信號的電壓再次沿著垂直軸繪示,並且沿 著水平軸繪示由感測器104測量的實際負載功率。此例 中,偏壓功率之範圍再次從100瓦特到500瓦特,並且 TCP功率之範圍從0瓦特到800瓦特,兩者皆爲100瓦特 之間隔。如圖所示,所傳送的射頻功率現在實質上是固定 本紙張尺度適用中國國家標準(CNS )六4規格(210X29?公塵)-15 _ Γ請先M讀背面之注意事項再填寫本頁) .裝. 434698 A7 B7 經 濟 部 t 央 標 準 員 工 消 费 合 作 社 印 % 五、發明説明(G ) 的並且獨立於TCP功率。 牢記此點,現在將考慮功率電路的效率。在不同的系 統之中傳送功率時,傳送到負載之功率的效率會是相當關 鍵且重要的。經由網路轉移之功率的效率可定義爲: 效率= (PL/PG) (方程式i) 其中PL是傳送給負載的功率,PG是由電源供應器提供之 功率(忽略纜線中之功率損耗並且假設反射的功率是低 的)。例如,能夠由感測器與控制器測量匕與化兩者並且 在定義的時間間隔予以記錄。 第7圖展示了簡化的槪要電路200,它舉例說明了由 電源供應器所見之示範性匹配網路及處理室負載。電路 2〇〇包括了匹配電阻RM202、匹配電感204、匹配串聯 電容206、負載阻抗208、以及電流(i)21〇。 因此,效率可被寫成: 效率=PL/(PL+PM) =(i2 x Rl)/ {(i2 x RL) + (i2 x Rm)} -Rl/(Rl+Rm) (方程式 2) 其中PM是匹配網路內被消耗的功率。如果匹配電阻尺从是 固定的,則從方程式1所得效率相對於1^的圖示應該具有 方程式2的簡單型式,可由該圖中得出rm之數値。效率 將從當等於0時的〇上昇到當RL遠大於時的1 '並且 曲線應該是獨立於化學物、功率、壓力等而且只視匹配網 路及負載電阻而定。已知如果匹配網路的內部電阻是不同 的,它們會因此對於相同的電源供應器造成不同的傳送功 I I— —I: —1-11 - I---Ύ '~ Γ I *s —It HI、言 一 (請先閱讀背面之注意事項再填寫本頁) _ 本紙诙尺度適用中國國家標準(CNS ) A4说格(210X297公釐) -16 - 434698 A7 _ _ B7 .______ 五、發明説明() 率。雖然如此,藉由明瞭效率並使用迴授電路,能夠更有 效地控制傳送的功率。 第8圖是舉例說明對應於符合第6 a及6b圖資料之負載 電阻的功率傳送效率其圖。該資料非常地密集^由上述方 程式2所述捆線週圍,並且舉例說明了電源供應器之效率 確實地比壹更少而且效率依據電漿負載的幅度而變動。所 以’在開迴路模式中,存儲於電漿內的真實功率實質上是 未知盼,然而在閉迴路模式中,所存儲的功率能夠被精確 地設定至某個所要的數値。 而且,利用第4b圖中舉例說明的系統架構(亦即,兩 個迴授電路)》將有額外的好處爲,於理論上,能夠不受 任何因匹配網路、孤立電容量 '電感或功率電路與處理系 • 統的其它元件所致的效率損耗影響而控制在功率源存儲的 功率。 經濟部中央標率局員工消费合作社印製 依據本發明一實施例,提供了一種供控制電漿反應德 中離子能量用的方法。該方法包括了提供一射頻信號給電 漿反應器;用該射頻信號生成直流偏壓於位在電漿反應器 內的工件上;提供第二射頻信號給電漿反應器並且用該第 二射頻信號在電漿反釋器之內撞擊電漿;利用射頻感測器 檢測該射頻信號之參數,而該參數是在已經產生射頻信號 並且由匹配網路予以處理之後被檢測:以及比較參數舆所 V- 要的參數位準並且根據該比較修正射頻信號,使得接觸工 件之離子化粒子其能量的位準實質上是依循由射頻信號所 建立的直流偏壓其幅度而予以控制。 本紙涞尺度適用中國國家標隼(CNS ) A4規格(210X297公t ) - 17 _ 434698 A7 B7 .___ 五、發明説明(15 ) 依據本發明另一個實施例,上述方法能夠進一步被用 以控制電漿密度。因此,該方法將包括檢測第二射頻信號 的第二參數;比較該第二參數以及所要的第二參數位準並 且根據這第二比較而修正第二射頻信號,使得在電漿反應 器之內的電漿密度實質上受到控制。 本發明諸方法與裝置可應用於其它具有至少兩個功率 供應器的電漿處理系統,一個供產生電漿而另一個供生成 直流偏壑。例如,本發明可應用於感應耦合電漿(ICP)、 變壓器耦合電漿(TCP)、電子迴旋共振(ECR)、以及螺 旋式或螺旋共振電漿處理系統。 雖然已經詳細地描述並舉例說明本發明,可淸楚地瞭 解這些只是舉例說明用並且將不被採用爲限制,本發明之 精神及範疇只受到諸申請專利範圍的條件所限制。 I— 1^— .^ϋ ....... —I .^1 : II ^—^1 ,一*aJ1^1. u ( * * * (請先閲讀背面之注意事項再填寫本頁) I_ 經濟部中央標準局員工消費合作社印裝 本纸張尺姐财關家鱗KNS ) A4i· ( 21Q X 297公康)-18- 434698 經濟部中央標準局員工消費合作社印家 A7 B7 五、發明説明(〖6) 元件標號對照 10…電容式耦合電漿處理系統 1 2,32……電漿反應器 1 3,33……電漿反應室 1 4 a, 14b......電極 1 6,3 4,3 6……電源供應器 1 8_,3 8,46 …匹配網路 2 4,4 2 .......晶圓 26……電槳殻層 30,30’......感應耦合電漿處理系統 40 ... ...夾頭 44 ... …上方表面 48 ... .--線圈 50... ...反應窗 52 ... …氣體供應機構 54 ... …排氣機構 6 0”. …間隙距離 10 0, 1 〇〇 ’......迴授電路 102 . ·-...第二迴授電路 104., .....感測器 106.. ....控制器 2 00 .. •...槪要電路 2 02 .. .…匹配電阻 本紙張尺度適用中國國家標準(CNS ) A4規格(2〗0X 297公釐) I L-------f ~裝一------訂-----乂 線 *— * - * (請先閲讀背面,之注意事項再填寫本頁) 434698 A7 B7 五、發明説明() 2 04.... ..E配電感 206 .... ..匹配串聯電容 20 8··… ..負載阻抗 2 1 0 .… ..電流 -—Li - n ----- rj. I --I I. ----訂------I! ϋ 球 il~ - - - {請先鬩讀背面之注意事項再填寫本頁) ~ 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標皁(CNS ) Λ4規格(210 X 297公釐) -20 -

Claims (1)

  1. 434698
    申請專利範圍 第87105288號申諳案申請專利範圍修正本 1.—種電漿處理系統,包含: 環繞電漿反應室的電漿反應器: 90,1.5. 修填 乎請i委 無明 /??·· } · / 二 1¾ 7' 第一功率電路,規劃爲在電漿反應室之內供應第一射頻(r〇 經濟部智慧財產局員工消費合作社印製 能量,該第一射頻能量適用於在電漿反應室內於工件上生成直 流偏壓: 第二功率電路,規劃爲在電漿反應室之內供應第二射頻能量, 該第二射頻能量適用於藉由在電漿反應室內使粒子離子化而 在電漿反應室之內撞擊電漿:以及 迴授電路,連接至該第一功率電路,並且被規劃爲檢測該第一 射頻能量的至少一參數以及根據該參數而輸出迴授控制信號 給該第一功率電路, 其中該第一功率電路反應於該迴授控制信號而供應該第一射 頻能量給該電漿反應室,使得在電漿反應室內離子化粒子其能 量的位準是實質上僅經由該直流偏壓予以控制。 2. 如申請專利範圍第1項之電漿處理系統,其中該第一功率電 路包括在電漿反應室內的一電極,並且被規劃爲供應第一射頻 信號給該電極。 3. 如申請專利範圍第2項之電漿處理系統,其中該第一功率電 路進一步包括了: 連接至該迴授控制電路的第一射頻功率供應器,該第一射頻功 率供應器反應於該迴授控制信號而產生第一未匹配射頻信 號:以及 連接至該第一射頻功率供應器的第一匹配網路’被規劃爲接收 修所 正提 〇之 (請先閱讀背面之注意事項再填寫本頁) 裝 n n «ϋ ί ϋ— ϋ — ^1 —^1 I 本紙張尺度適用+國國家標準(CNS)A4規格(210 X 297公釐) 21 434698 AS BS C8 D8 t、申請專利範圍 該第一未匹配射頻信號並且輸出該第一射頻信號給該電極。 4. 如申請專利範圍第3項之電漿處理系統,其中該第一射頻能 量的參數是從關於第一射頻信號之電流、電壓、功率、阻抗、 及相位角的集合中選出。 5. 如申請專利範圍第4項之電漿處理系統,其中該迴授電路包 括了: 感測器,配置在匹配網路與電極之間並且被規劃爲輸出至少一 個感測得之參數信號;以及 控制器,連接至該感測器及該第一射頻功率供應器並且被規劃 爲根據所感測得之參數信號及所要的參數位準而輸出迴授控 制信號。 6. 如申請專利範圍第5項之電漿處理系統,其中該感測器是射 頻探棒。 7. 如申請專利範圍第5項之電漿處理系統,其中該控制器是電 腦系統。 8. 如申請專利範圍第5項之電漿處理系統,其中該迴授電路之 效用爲維持該第一射頻信號於實質上固定的均方根電壓位準。 9. 如申請專利範圍第1項之電漿處理系統,其中該第二功率電 路包括了一個鄰近於電漿反應室而配置之線圈,並且該第二功 率電路被規劃爲供應第二射頻信號給該線圈。 10. 如申請專利範圍第9項之電漿處理系統,其中該第二功率 電路進一步包括了: 第二射頻功率供應器,產生第二未匹配射頻信號;以及 第二匹配網路,連接至第二射頻功率供應器並且被規劃爲接收 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (锖先閱讀背面之注意事項再填寫本頁) 裝--------訂--------線i 經濟部智慧財產局員工消費合作社印製 22 434698 A8 B8 C3 D8 六、申請專利範圍 該第二未匹配射頻信號以及輸出該第二射頻信號給該線圈。 11. 如申請專利範圍第10項之電漿處理系統,進一步包含: 第二迴授電路,連接至該第二功率電路,並且被規劃爲檢測該 第二射頻能量的至少一參數以及根據該參數而輸出第二迴授 控制信號給第二功率電路, 其中該第二功率電路反應於該第二迴授控制信號而供應該第 二射頻能量給該電漿反應室,使得在電漿反應室內的電漿密度 其位準實質上是僅經由該第二射頻信號予以控制。 12. 如申請專利範圍第11項之電漿處理系統,其中該第二射頻 功率供應器被規劃爲連接至第二迴授控制電路並且反應於第 二迴授控制信號。 13. —種於電漿處理系統內控制直流(dc)偏壓的裝置,該裝置 包含: 第一功率電路,配置爲供應第一射頻信號給在電漿處理系統內 的負載,該第一功率電路包括了監控第一射頻信號中至少一參 數用的控制電路;以及 第二功率電路,配置爲供應第二射頻信號給在電漿處理系統內 的負載1 其中該第一及第二射頻信號實質上是藉該控制電路彼此解 耦,使得直流偏壓是經由該第一射頻信號予以獨立地控制。 14. 如申請專利範圍第13項之裝置,其中該第一功率電路包括 了一個配置在電漿處理系統內的電極,以便在施加第一射頻信 號時生成該直流偏壓於負載的工件部份上。 15. 如申請專利範圍第14項之裝置|其中該第二電源供應器包 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----------! 裝--------訂i tt f I n n I (锖先閱讀背面之沒意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 23 434698 ' 8 8 8 8 Λ BCD 經濟部智葸財4局員工消費合作社印製 六、申請專利範圍 括了一個配置在電漿處理系統之內的線圏,以便在施加第二射 頻信號時從負載的可離子化部份生成電漿。 16. 如申請專利範圍第13項之裝置,其中該第二功率電路包括 了第二功率控制電路•它監控第二射頻信號的至少一參數,並 且進一步使第一及第二射頻信號在施加至負載時解耦,使得在 電漿處理系統內生成之電漿其電漿密度是經由該第二射頻信 號而予以獨立地控制。 17. —種控制電漿反應器離子能量與電漿密度之方法,其係使 用控制電路獨立地控制具有第一功率電路與第二功率電路之 電漿反應器內的離子能量與電漿密度,該方法包含: 在施加至電漿反應器內一電極時測量來自第一功率電路之輸 出信號的至少一參數;以及 根據所測量參數及特定的參數位準而調整來自第一功率電路 的該輸出信號,藉以使離子能量是經由造成直流(dc)偏壓在電 極生成用的第一功率電路其輸出之幅度而予以獨立地控制,並 且電漿密度是經由使電漿產生用的第二功率電路其輸出的幅 度而予以獨立地控制。 18. 如申請專利範圍第17項之方法,進一步包含: 利用第一功率電路提供第一射頻(rf)信號給電漿反應器; 利用第一射頻信號生成直流偏壓於位在電漿反應器之電漿反 應室內的工件上; 利用第二功率電路提供第二射頻信號給電漿反應器; 利用第二射頻信號撞擊電漿反應器內的電漿,該電漿包括了離 子化粒子; ---------t------ΐτ------, (請先閱讀背面之注意事項再填寫才美) 本紙乐尺度適用中國國家揉窣ί CNS ) Α4規格(210Χ297公* ) 24 434698 ABCD 六 經濟部智慧时/1局員工消費合作社印製 申請專利範圍 利用射頻感測器檢測第一射頻信號的參數,該參數是在第一射 頻信號已經產生並且由第一功率電路內的匹配網路處理之後 被檢測; 比較該參數及特定的參數位準;以及 根據比較結果修正該第一射頻信號,使得接觸工件之離子化粒 子其能量的位準實質上僅經由第一射頻信號所建立之直流偏 壓的幅度而予以控制。 19. 如申請專利範圍第18項之方法,其中該第一射頻信號的參 數是從電流、電壓、功率、阻抗,及相位角的集合中選出。 20. 如申請專利範圍第19項之方法,其係用以進一步控制電漿 密度,且進一步包含: 檢測第二射頻信號的第二參數,該第二參數是在第二射頻信號 已經產生並且由第二功率電路內的第二匹配網路處理之後被 檢測; 比較該第二參數及所要的第二參數位準:以及 根據第二比較結果修正該第二射頻信號,使得電漿反應器內電 漿的密度實質上僅經由第二射頻信號的幅度而予以控制。 21. —種於電漿處理系統內控制電漿之電漿密度的裝置,該裝 置包含: 第一功率電路,配置爲供應第一射頻信號給在電漿處理系統內 的負載;以及 第二功率電路,配置爲供應第二射頻信號給在電漿處理系統內 的負載,該第二功率電路包括監控第二射頻信號中至少一參數 用的控制電路 -------i 1¾.------IT------0 ' (請先鬩讀背面之注意事項再填寫) 本紙乐尺度逍用中國國家梂準(CNS ) A4規格(2!0X297公釐) 25 Θ 9 8 4 3 d· ABCD 經 濟 部 智 慧 財, 產 局 員 工 消 費 合 社 印 製 六、申請專利範圍 其中該第一及第二射頻信號實質上是藉該控制電路彼此解 耦,使得電漿密度經由該第二射頻功率予以獨立地控制。 22. 如申請專利範圍第21項之裝置,其中該第二電源供應器包 括了一個配置在電漿處理系統之內的線圈,以便在施加第二射 頻信號時從負載的可離子化部份生成電漿。 23. 如申請專利範圍第22項之裝置,其中該第一功率電路包括 了一個配置在電漿處理系統內的電極,以便在施加第一射頻信 號時生成一直流偏壓於負載的工件部份上。 24. 如申請專利範圍第21項之裝置,其中該第一功率電路包括 另一個控制電路,它監控第一射頻信號的至少一參數,並且進 ^步使第一及第二射頻信號在施加至負載時解耦,使得在電漿 處理系統內生成之一直流偏壓經由該第一射頻功率而予以獨 立地控制。 25. —種用以控制交流電漿之裝置,該交流電漿用以處理在一 電漿處理反應室內之工件,該反應室包含有一具有電極之工件 支撐物,該裝置包括一第一交流源,一連接於該第一交流源與 該電極之間之第一匹配網路;該第一交流源,第一匹配網路及 電極被設計,使得交流經由該電極被連接於該電漿及該工件之 間,俾以供給一偏壓至該工件,該電漿被包含於一負載內供該 第一交流源用,一電抗與該電漿處理反應室連接用以激發在反 應室內的氣體至一電漿,一第二交流源,一第二匹配網路連接 於該電抗及第二交流源之間,所以電漿係爲一負載供第二交流 源用;一感測器設計供該負載之電氣參數用:及一控制器響應 於該感測器用以控制交流第一交流源經由該電極洪給至該負 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀梵面之注蕙事項再填寫本頁) 訂---------線! -26 - 經濟部智慧財產局員工消費合作社印製 AS B8 C8 D8 六、申請專利範圍 載,及用以控制交流第二交流源經由該電抗供給至該負載。 26. 如申請專利範圍第25項之裝置,其中該第一交流源之電氣 參數係爲輸出功率。 27. 如申請專利範圍第24或25項之裝置,其中,該控制器係 響應於感測器用以控制第一及第二源之輸出功率。 28. 如申請專利範圍第25或26項之裝置,其中該感測器感測 如從第一匹配網路之多個輸出端往電極所觀看的負載之電氣 參數,及該控制器係響應於如從第一匹配網路之多個輸出端往 電極來觀看的負載所感測電氣參數,俾以控制第一交流源之電 氣參數。 29. 如申請專利範圔第25或26項之裝置,其中經由電抗用以 控制由第二交流源所供給之交流至該負載之感測器感測在第 二交流源上負載之一電氣參數*及該控制器係響應於在第二交 流源上負載之所感測電氣參數,俾以控制第二交流源之電氣參 數。 30. 如申請專利範圍第25或26項之裝置,其中該控制器係響 應於如從第一匹配網路之多個輸出端往電極來觀看的負載所 感測電氣參數,及響應於在第二交流電源上負載所感測電氣參 數俾以各別控制該第一交流源之電氣參數及第二交流源之電 氣參數,使得該第一及第二源之電氣參數被彼此獨立的控制= 31. 如申請專利範圍第25或26項之裝置,其中該感測器監示 多個與向前功率相關聯之電氣參數及反射功率,該向前功率從 第一匹配網路之多個輸出端連接至該電極,該反射功率從電極 連接至第一匹配網路之多個輸出端- 本紙張瓦度適用中國國家標準(CNS)A4規烙(21〇 x 297公爱) (請先閲讀背面之注意事項再填寫本頁) 訂---------線L- 27 4 3 46 8838 ABCD 六、申請專利範圍 32_如申請專利範圍第25或26項之裝置,其中,該第一源及 電漿在該工件上生成一直流偏壓,及該第一源控制投射在工件 上電漿之離子化之能量位準,以及該感測器及控制器響應該交 流偏壓以控制第一源俾以控制投射在工件上電漿之離子化之 能量位準。 33. 如申請專利範圍第25或26項之裝置,其中該感測器監示 多個與向前功率相關聯之電氣參數及反射功率,該向前功率從 第一匹配網路之多個輸出端連接至該電極,該反射功率從電極 連接至第一匹配網路之多個輸出端。 經濟部智慧財產局員工消費合作社印製 34. —種利用電漿處理反應室內之交流電漿處理工件之方法’ 該電漿處理反應室具有(a)—具有一電極之工件支撐物,及(b) 一電抗連接於在氣體中之氣體,該方法包括經由一第一匹配網 路與電極從第一源施加交流至工件,使得該電漿被包函在一負 載內供第一源使用,經由一第二匹配網路從一第二源施加一交 流至該電抗,將反應室內之氣體激發至一電漿,感測一電氣參 數,該參數爲響應於負載之至少一感測電氣參數之其中一者並 經由第一匹配網路,該第一源供給至該負載,以及控制一電氣 參數,該參數爲響應於負載之至少一感測電氣參數之其中一者 並經由第二匹配網路,該第二源供給至該負載。 35. 如申請專利範圍第34項之方法’其中該感測步驟包括感測 如從第一匹配網路之多個輸出端往電極所觀看的負載之電氣 參數,及響應於如從第一匹配網路之多個輸出端往電極來観着 的負載之所感測電氣參數而控制第一交流源之電氣參數。 36. 如申請專利範圍第34或35項之方法,其中,該第一交流 28 B8 CS D8 434698 、申請專利範圍 源之電氣參數係爲該射頻源之輸出功率a 37. 如申請專利範圍第34或35項之方法,其中,該第—及第 二交流源之電氣參數係爲雙功率。 38. 如申請專利範圍第34或35項之方法,進一步包括感測在 第一父流源上負載之電氣參數,及控制響應於第二交流源上負 載所感測電氣參數之第二交流源之電氣參數。 39. 如申請專利範圍第34或35項之方法,進一步包含獨立控 制第一交流源之電氣參數及第二交流源之電氣參數,使得該第 一及第二源之電氣參數響應於第一交流源上負載所感測電氣 參數及在第二交流源上負載所感測電氣參數分別地被控制。 4Ό.如申請專利範圍第34或35項之方法,其中該感測步驟包 含控制多個與向前功率相關聯之電氣參數及反射功率,該向前 功率從第一匹配網路之多個輸出端連接至該電極,該反射功率 從電極連接至第一匹配網路之多個輸出端。 41. 如申請專利範圍第34或35項之方法,其中該第一源及電 漿在該工件上生成一直流偏壓,及該第一源控制投射在工件上 電漿之離子化之能量位準,以及控制響應直流偏壓以控制第一 源俾以控制投射在工件上電漿之離子化之能量位準。 42. 如申請專利範圍第34或35項之方法,進一步包含獨立控 制第一交流源之電氣參數及第二交流源之電氣參數》使得該第 一及第二源之電氣參數響應於第一交流源上負載所感測電氣 參數及在第二交流源上負載所感測電氣參數分別地被控制;以 及響應於一與連接於一電抗之電漿負載相關之感測參數控制 經由該電抗由一第二交流源所供給至負載之交流電力。 本紙張尺度適用令國國家標準(CNS)A4規硌(210 X 297公釐) (請先閱讀t面之注意事項再填寫本頁) 經濟部智慧財產局具工消費合作杜印製 一°J1 n ! t— I n · ϋ I -- -- n n n n n n n - it I n n I ' 29
TW087105288A 1997-04-16 1998-04-08 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system TW434698B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/843,476 US6174450B1 (en) 1997-04-16 1997-04-16 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system

Publications (1)

Publication Number Publication Date
TW434698B true TW434698B (en) 2001-05-16

Family

ID=25290097

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087105288A TW434698B (en) 1997-04-16 1998-04-08 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system

Country Status (8)

Country Link
US (1) US6174450B1 (zh)
EP (1) EP0976141B1 (zh)
JP (1) JP4531138B2 (zh)
KR (1) KR100582096B1 (zh)
AU (1) AU7111298A (zh)
DE (1) DE69836857T2 (zh)
TW (1) TW434698B (zh)
WO (1) WO1998047168A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI448211B (zh) * 2006-06-28 2014-08-01 Lam Res Corp 感測電漿處理室中的非侷限的方法及設備
TWI586224B (zh) * 2008-05-14 2017-06-01 應用材料股份有限公司 對操作電漿製程之系統進行調諧的設備
US11984305B2 (en) 2019-05-24 2024-05-14 Applied Materials, Inc. Substrate pedestal for improved substrate processing

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
US6265831B1 (en) * 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
DE60015270T2 (de) 1999-04-14 2006-02-09 Surface Technology Systems Plc, Newport Verfahren und gerät zur stabilisierung eines plasmas
US6563076B1 (en) * 1999-09-30 2003-05-13 Lam Research Corporation Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
JP4666740B2 (ja) * 2000-10-06 2011-04-06 川崎マイクロエレクトロニクス株式会社 半導体製造装置、被処理基板表面の処理方法およびプラズマ生成物の付着状態の観察方法
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6714033B1 (en) * 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
EP1444727A4 (en) * 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
US6713969B2 (en) * 2002-01-31 2004-03-30 Tokyo Electron Limited Method and apparatus for determination and control of plasma state
US6946847B2 (en) * 2002-02-08 2005-09-20 Daihen Corporation Impedance matching device provided with reactance-impedance table
AU2003247538A1 (en) * 2002-07-03 2004-01-23 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analys of semiconductor plasma parameters
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
US7345428B2 (en) * 2002-09-23 2008-03-18 Turner Terry R Transducer package for process control
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7276135B2 (en) * 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
JP2007150012A (ja) * 2005-11-29 2007-06-14 Matsushita Electric Ind Co Ltd プラズマ処理装置および方法
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
KR101533473B1 (ko) * 2007-12-13 2015-07-02 램 리써치 코포레이션 플라즈마 비한정 센서 및 그의 방법
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US8044594B2 (en) * 2008-07-31 2011-10-25 Advanced Energy Industries, Inc. Power supply ignition system and method
TWI578854B (zh) 2008-08-04 2017-04-11 Agc北美平面玻璃公司 使用電漿增強化學氣相沉積以形成塗層之方法
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
EP2790205B1 (en) 2009-02-17 2018-04-04 Solvix GmbH A power supply device for plasma processing
US9378930B2 (en) * 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
JP5342930B2 (ja) * 2009-05-25 2013-11-13 株式会社アルバック プラズマ処理装置、プラズマ処理方法
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
JP5632626B2 (ja) * 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5498217B2 (ja) * 2010-03-24 2014-05-21 株式会社ダイヘン 高周波測定装置、および、高周波測定装置の校正方法
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012044045A (ja) * 2010-08-20 2012-03-01 Toshiba Corp 制御装置、プラズマ処理装置、及び制御方法
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8773019B2 (en) 2012-02-23 2014-07-08 Mks Instruments, Inc. Feedback control and coherency of multiple power supplies in radio frequency power delivery systems for pulsed mode schemes in thin film processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10821542B2 (en) * 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
MX2017007356A (es) 2014-12-05 2018-04-11 Agc Flat Glass Europe S A Fuente de plasma del catodo hueco.
MX2017007357A (es) 2014-12-05 2018-04-24 Agc Flat Glass Na Inc Fuente de plasma utilizando un revestimiento de reduccion de macro-particulas y metodo de uso de una fuente de plasma utilizando un revestimiento de reduccion de macro-particulas para la deposicion de revestimientos de pelicula delgada y modificacion de superficies.
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
EA201891455A1 (ru) * 2015-12-18 2019-01-31 Эй-Джи-Си Флет Гласс Норт Эмерике, Инк. Ионный источник с полым катодом и способ экстрагирования и ускорения ионов
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10515781B1 (en) 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7450387B2 (ja) * 2019-12-27 2024-03-15 株式会社ダイヘン インピーダンス調整装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US5242561A (en) * 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI448211B (zh) * 2006-06-28 2014-08-01 Lam Res Corp 感測電漿處理室中的非侷限的方法及設備
TWI586224B (zh) * 2008-05-14 2017-06-01 應用材料股份有限公司 對操作電漿製程之系統進行調諧的設備
US11984305B2 (en) 2019-05-24 2024-05-14 Applied Materials, Inc. Substrate pedestal for improved substrate processing

Also Published As

Publication number Publication date
DE69836857D1 (de) 2007-02-22
KR20010006293A (ko) 2001-01-26
KR100582096B1 (ko) 2006-05-23
EP0976141B1 (en) 2007-01-10
EP0976141A1 (en) 2000-02-02
JP4531138B2 (ja) 2010-08-25
JP2001524251A (ja) 2001-11-27
WO1998047168A1 (en) 1998-10-22
US6174450B1 (en) 2001-01-16
DE69836857T2 (de) 2007-07-05
AU7111298A (en) 1998-11-11

Similar Documents

Publication Publication Date Title
TW434698B (en) Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
JP4897195B2 (ja) プラズマ処理方法、プラズマ処理装置およびプラズマ処理装置の製造方法
TW407396B (en) Method of and apparatus for minimizing plasma instability in an RF processor
TWI362901B (zh)
TWI239794B (en) Plasma processing apparatus and method
CN101552187B (zh) 等离子体处理装置和等离子体处理方法
TW463201B (en) Plasma processor with coil having variable RF coupling
TW447226B (en) Antenna device for generating inductively coupled plasma
US7190119B2 (en) Methods and apparatus for optimizing a substrate in a plasma processing system
TW495793B (en) Method and apparatus for controlling power delivered to a multiple segment electrode
JP4828755B2 (ja) プラズマリアクタシステム、プラズマリアクタに供給する電力を制御する方法およびプラズマ処理システム
US8241457B2 (en) Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
TW202107949A (zh) 用於主動調諧電漿功率源的方法及設備
US20230298857A1 (en) Systems and Methods for Extracting Process Control Information from Radiofrequency Supply System of Plasma Processing System
KR20120096905A (ko) 제어 장치, 플라즈마 처리 장치 및 제어 장치를 제어하는 방법
US6954033B2 (en) Plasma processing apparatus
US9412670B2 (en) System, method and apparatus for RF power compensation in plasma etch chamber
CN101661871B (zh) 等离子体处理装置及其反馈控制方法、高频电力供给方法
JP2003224112A (ja) プラズマ処理装置及びプラズマ処理方法
Patrick et al. Application of direct bias control in high-density inductively coupled plasma etching equipment
TW538426B (en) Voltage control interface for recursive power control in a plasma reactor
JP6455783B2 (ja) 高周波電力システム及びこれを備えたプラズマ処理装置
TW402772B (en) Apparatus for stabilizing the machine operation
TW201538039A (zh) 高頻電源系統及具備該系統之電漿處理裝置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent