JP2000036596A - ゲ―トにド―ピングを施し、非常に浅いソ―ス/ドレイン拡張部を作成する方法および結果として得られる半導体 - Google Patents

ゲ―トにド―ピングを施し、非常に浅いソ―ス/ドレイン拡張部を作成する方法および結果として得られる半導体

Info

Publication number
JP2000036596A
JP2000036596A JP11117714A JP11771499A JP2000036596A JP 2000036596 A JP2000036596 A JP 2000036596A JP 11117714 A JP11117714 A JP 11117714A JP 11771499 A JP11771499 A JP 11771499A JP 2000036596 A JP2000036596 A JP 2000036596A
Authority
JP
Japan
Prior art keywords
dopant
type
source
gate stack
doping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11117714A
Other languages
English (en)
Inventor
Toshiharu Furukawa
フルカワ・トシハル
C Heiky Mark
マーク・シー・ヘイキー
J Holmes Stephen
スティーヴン・ジェイ・ホームズ
V Horak David
デビッド・ヴィー・ホラク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2000036596A publication Critical patent/JP2000036596A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 ゲートにドーピングを施し、非常に浅いソー
ス/ドレイン拡張部を作成する方法および結果として得
られる半導体を提供すること。 【解決手段】 本発明は、ゲート誘電体境界面まで貫通
して非常に狭いポリシリコン・ゲートに同時に高ドープ
を施しながら、非常に浅いソース/ドレイン(S/D)
拡張部を形成する方法に関する。また、本発明は、結果
として得られる半導体にも関する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に半導体ウェ
ハを製作する方法に関する。より詳細には、本発明は、
非常に狭いポリシリコン・ゲートに同時にドーピングを
施しながら、非常に浅いソース/ドレイン(S/D)拡
張部を形成する方法に関する。また、本発明は、結果と
して得られる半導体も含む。
【0002】
【従来の技術】これまで、より高性能のチップを求める
必要性から、金属酸化膜半導体電界効果トランジスタ
(MOSFET)では、大電流用にチャネル長が短縮さ
れてきた。このように高性能であるために、浅いソース
/ドレイン(S/D)拡張部と、ゲート誘電体境界面ま
で完全に貫通した高ドープ・ポリシリコン・ゲートが必
要になる。しかし、ポリシリコン幅が狭くなるにつれ
て、アスペクト比が1に近づき、ゲート定義エッチング
後に従来のイオン注入によってポリシリコン・ゲートの
最適ドーピングを行うことは非常に難しくなっている。
【0003】その結果、非常に浅いS/D拡張部を有す
る高ドープ・ポリシリコン・ゲートを作成可能な製作プ
ロセスを用意する必要性が存在する。
【0004】
【発明が解決しようとする課題】本発明の目的は、狭い
ドープ・ポリシリコン・ゲートおよび非常に浅いソース
/ドレイン拡張部を有するMOSFET、およびその製
造方法を提供することである。
【課題を解決するための手段】本発明は、少なくとも1
つのポリシリコン・ゲートと、少なくとも1つのソース
/ドレイン領域とを含む層(たとえば、基板)を設け、
少なくとも1つのゲート・スタックとソース/ドレイン
領域に同時にドーピングを施す方法である。その結果、
同時にゲート誘電体境界面まで完全に貫通した狭い(す
なわち、0.2μ未満)の高ドープ・ポリシリコン・ゲ
ート(すなわち、1019個を上回るドーパント原子/c
3)を設けながら、ドーパントが余分に横方向に散乱
せずに、非常に浅いS/D拡張部(すなわち、0.1μ
未満)を作成することができる。
【0005】また、本発明は、非常に狭いS/D拡張部
と、ゲート誘電体境界面まで貫通した高ドープ・ポリシ
リコン・ゲートとを示し、結果として得られる半導体も
含む。
【0006】
【発明の実施の形態】添付図面に関連して本発明の好ま
しい実施例について詳しく説明するが、添付図面では同
様の指定は同様の要素を示す。
【0007】本発明の所与の好ましい実施例について示
し詳述するが、特許請求の範囲の範囲を逸脱せずに様々
な変更および修正が可能であることを理解されたい。本
発明の範囲は、構成要素の数、その材料、その形状、そ
の相対配置などに決して限定されるものではなく、単に
好ましい実施例の一例として開示されているだけであ
る。特に、本発明は、高性能論理回路技術で使用するた
めに開示されている。しかし、本発明は、高密度不揮発
性ランダム・アクセス・メモリ(NVRAM)デバイス
またはその他のデバイスのゲートおよびS/D拡張部に
ドーピングを施すためにも使用することができる。
【0008】図1は、従来の技法によるデバイス分離形
成、NウェルおよびPウェル形成、ならびにゲート・ス
タック形成後であって、本発明によるドーピング前の高
性能相補形金属酸化膜半導体(CMOS)デバイス10
の断面図である。このデバイスは一般に、製造プロセス
のこの時点で、その表面に位置し、深さが0.3μ〜
0.4μの複数の浅いトレンチ分離(STI)14を有
するシリコン層または基板12を含む。デバイス10の
ウェル16、18は、分離トレンチ14間に位置する。
デバイスは、Pウェル16と、Nウェル18とを含む。
【0009】この製造の重大時期には、ポリシリコン・
ゲート・スタック20も存在する。ゲート・スタック2
0は一般に、ウェル16、18上にゲート誘電体層2
2、たとえば、二酸化ケイ素と、ポリシリコン・ボディ
またはゲート24とを含む。後でケイ化チタンを形成す
る必要をなくすため、ポリシリコン・ゲート24の上部
表面上には、ゲート・スタック・エッチングの前にゲー
ト配線材料26の層を設けることもできる。この配線材
料は、どのような高導電材料にすることもでき、たとえ
ば、タングステン(W)またはケイ化タングステン(W
Six)にすることができる。
【0010】この際、本発明により、ソース/ドレイン
(S/D)領域と同時にポリシリコン・ゲート24にド
ーピングが施される。
【0011】図2に示すように、本発明の第1の実施例
では、気相ドーピングを選択的に使用して、ポリシリコ
ン・ゲートとS/D領域の同時ドーピングを行う。特に
この実施例では、ドーピングを施さないゲート・スタッ
ク20およびウェル18は、適切な拡散防止材料40に
よって覆う。この拡散防止材料40は、化学蒸着法(C
VD)、気相成長法、スパッタリングなどの様々な方法
によって付着することができる。さらに、拡散防止材料
40は、様々な形を取ることができる。たとえば、プロ
セスの進捗状況に応じて、窒化ケイ素または二酸化ケイ
素を使用することができる。次に、マスクをしていない
領域にドーピングを施すため、使用するドーパントに応
じて800℃を上回る温度までデバイス10全体を加熱
しながら、選択した型、すなわち、n型またはp型のガ
ス(またはプラズマ)ドーピング・ソース30にS/D
領域19およびポリシリコン・ゲート24を曝す。この
ガスは、所望の型のドーピング、すなわち、p型または
n型に応じて様々な形を取ることができる。たとえば、
三塩化ヒ素(AsCl3)、三フッ化ヒ素(AsF3)、
ホスフィン(PH3)、三塩化リン(PCl3)、三フッ
化リン(PF3)、アルシン(AsH3)は、n型ガス・
ドーパントとして首尾よく使用されてきた。
【0012】一般に、加熱はファーネス加熱によって行
われる。しかし、代替策として、ドーピングを施す領域
のみ加熱することもできる。この代替策は、たとえば、
マスクを施したレーザ・ビーム(図示せず)または高速
熱アニール型ランプ(図示せず)により、ガス(または
プラズマ)ドーピング・ソース30にウェハを曝してい
る間に行うことができる。注目すべきこととして、マス
クを施したレーザ・ビームを使用する場合、拡散防止材
料40が不要な場合もある。
【0013】次に、拡散防止材料40を除去し、最初に
使用したドーピング・ソースに応じて反対の型のドーピ
ング・ソース、すなわち、p型またはn型を使用して残
りのゲート・スタック20およびS/D領域19につい
てプロセスを繰り返す。使用したp型ドーパントの例と
しては、ジボラン(B26)、三塩化ホウ素(BC
3)、三フッ化ホウ素(BF3)がある。
【0014】上記の方法は、ゲート誘電体境界面、すな
わち、ゲート・ボディ24と誘電体層22が接するとこ
ろまで貫通したポリシリコン・ゲート・ボディ24の高
ドープを確保するために側壁からドーピングを施した狭
い、すなわち、0.2μ未満のポリシリコン・ゲートを
設けるものである。イオン注入によるドーピングの際に
検出されるようにドーパントが余分に横方向に散乱せず
に、深さが0.1μ未満の範囲内の浅いS/D拡張部の
ドーピングも行われる。
【0015】本発明の第2の実施例は、固相ドーピング
の使用を含む。この実施例では、図3に示すように、た
とえば、化学蒸着法(CVD)により、ゲート・スタッ
ク120および関連のS/D領域の上に第1の型のドー
パント・ソース材料150をまず付着する。この第1の
型のドーパント材料150は様々な形を取ることができ
る。たとえば、p型ドーパント材料として、ホウ素ドー
プ済みケイ酸塩ガラス(BSG)を使用することができ
る。次に、薄い拡散防止材料140を付着する。この場
合も、この拡散防止材料140は様々な形を取ることが
でき、たとえば、窒化ケイ素または二酸化ケイ素にする
ことができる。
【0016】次に、第1の型のドーパント材料150が
選択したウェル領域、たとえば、nウェル領域118の
上でS/D領域およびポリシリコン・ゲート124のみ
に接触するように、第1の型のドーパント材料150と
拡散防止材料140にパターンを形成する(たとえば、
フォトレジスト(図示せず)を付着し、露光し、現像
し、エッチングを施すことによる)。次に、n型ドーパ
ントとして第2の型のドーパント・ソース材料160、
たとえば、ヒ素ドープ済みケイ酸塩ガラス(ASG)を
デバイス全体の上に付着する。
【0017】次に、デバイスを加熱して、ドーパントを
2つのドーパント・ソース材料150、160からポリ
シリコンおよびS/D領域に移動させる。あるいは、ド
ーピング領域、すなわち、pウェルまたはnウェルの順
序を切り替えることもできる。特に、n型ドーパント材
料150がpウェル領域116の上でS/D領域および
ゲート・スタック120のみに接触するように、第1の
型のドーパント材料150をn型ドーピング・ソース材
料にすることができ、拡散防止層140を付着してパタ
ーン形成することもできる。さらに、第2のp型ドーピ
ング・ソース材料を付着する前に、n型ドーパントをド
ライブインすることができる。次にp型ドーピング・ソ
ース材料を付着し、ドーパントをドライブインすること
になる。この方法により、両方のドーピング型について
ほぼ同じになるように接合深さを制御することができ
る。この場合も、結果は、非常に浅いS/D拡張部と、
ゲート誘電体境界面まで完全に貫通した高ドープ、すな
わち、1019個を上回るドーパント原子/cm3のポリ
シリコン・ゲートになる。
【0018】本発明の第3の実施例では、固相ドーピン
グおよび気相(またはプラズマ)ドーピングの組合せを
提供する。図4に示すように、第1のS/D領域219
Rとポリシリコン・ゲート224Rとを含む第1の領域
10Rには、拡散防止材料240の被覆により固体ドー
ピング・ソース250からドーピングを施す。特に、第
1の型のドーパント材料250が第1の領域10Rの選
択したウェル218の上でS/D領域219Rおよびポ
リシリコン・ゲート・スタック220Rのみに接触する
ように、p型ドーパントとしてのBSGなどの第1の型
のドーパント・ソース材料250と、窒化ケイ素または
二酸化ケイ素などの薄い拡散防止材料240とを付着
し、パターン形成し、エッチングを施す。
【0019】同時に、第2のS/D領域219Lとポリ
シリコン・ゲート224Lとを含む第2の領域10Lに
は、第1の領域10Rをマスクする拡散防止材料240
の層により気相(またはプラズマ)230からドーピン
グを施す。次に、第2の領域10Lの上のS/D領域2
19Lおよびポリシリコン・ゲート・スタック220L
をアルシン(AsH3)などの気相230に曝しなが
ら、800℃を上回る温度までデバイスを加熱する。こ
の場合も、代替策として、ドーピング領域、すなわち、
pウェルまたはnウェルの順序を切り替えることもでき
る。すなわち、n型ドーパント材料250がpウェル領
域216の上でS/D領域219Lおよびポリシリコン
・ゲート・スタック220Lのみに接触するように、n
型ドーピング・ソース材料、たとえば、ASGと、拡散
防止材料240、たとえば、二酸化ケイ素を付着し、パ
ターン形成することができる。さらに、気相ドーピング
によってp型ドーピングを行う前に、n型ドーパントを
ドライブインすることができる。この場合もやはり、こ
の方法により、両方の型のドーパント接合深さがほぼ同
じになるように制御することができ、結果として得られ
る半導体は、ゲート誘電体222の境界面まで完全に貫
通した高ドープ、すなわち、1019個を上回るドーパン
ト原子/cm3のポリシリコン・ゲート224Lと、非
常に浅い、すなわち、0.1μ未満のS/D拡張部とを
示す。
【0020】本発明の上記の実施例の結果として、深
い、すなわち、0.1μを上回るソース/ドレイン拡散
ドーピングがより容易に促進される。特に、図5に示す
ように、狭いポリシリコン・ゲート324とS/D拡張
部319にドーピングを施した後、二酸化ケイ素または
窒化ケイ素などのスペーサ形成材料342を付着し、エ
ッチングを施して、ゲート・スペーサ344を形成する
ことができる。固体ドーピング・ソース材料を使用する
場合、BSG352などのドーピング・ソース材料を使
用して、図5に示すようなスペーサ344の一部を形成
することができる。
【0021】次に、浅いS/D拡散319に隣接して深
いS/D拡散317を形成するために、反対の型のドー
ピング領域を覆うブロッキング・マスク(図示せず)に
よりN+またはP+イオン注入を実行する。広いポリシ
リコン・ゲート(図示せず)にもこの注入によってドー
ピングを施す。この相のドーパントは、高速熱アニール
(RTA)または従来のファーネスによって加熱するこ
とにより活性化する。短チャネル効果を最小限にするた
め、スペーサを使用して深いS/D拡散317をデバイ
スのゲート・エッジ325から十分離す。激しい接合部
漏れを発生せずに拡散領域の上にシリサイドを形成する
ために、また、ウェルへの短絡を起こさずに拡散接点を
形成するためにも、深いS/D拡散317が必要であ
る。
【0022】図6に示すように、深いS/D拡散(>
0.1μ)の形成後、拡散417、419の上にシリサ
イド480(サリサイド)を選択的に形成する。形成す
るシリサイドのタイプは、様々な形、たとえば、TiS
xまたはCoSixを取ることができる。次に、ゲート
・スタック420間およびその上のギャップを充填する
ために絶縁材料482を付着する。また、絶縁材料48
2も様々な形式、たとえば、二酸化ケイ素または窒化ケ
イ素を取ることができる。このステップの好ましい材料
は二酸化ケイ素である。
【0023】次に、研磨/除去、たとえば、化学機械的
研磨(CMP)により、この絶縁材料482を平坦化す
ることができる。次に、S/D拡散417まで絶縁材料
482を貫通する接点ホール484をエッチングで形成
する。この接点ホール484は高導電材料486で充填
する。この材料は、どのような高導電材料の形も取るこ
とができ、たとえば、タングステン(W)、タングステ
ン・シリサイド(WSix)、ケイ化チタン(TiS
x)、モリブデン(Mo)、ケイ化モリブデン(Mo
Six)、または大量ドープ・ポリシリコンにすること
ができる。次に、たとえば、CMPにより、高導電材料
486を平坦化して、接点プラグ488を形成する。次
に、接点プラグ488の上に第1のレベルの金属線49
0を形成し、電界効果トランジスタ(FET)デバイス
を所望の回路(図示せず)内に配線する。
【0024】図7および図8は、本発明の第4の実施例
を開示している。この場合、この実施例のプロセスによ
り、一方の型のデバイスについてゲート・スタック52
0を形成してドーピングを施し、もう一方のデバイス型
のゲート・スタック520を形成してドーピングを施
す。プロセス・ステップの残りは、上記の第1の実施例
の場合と同じなので、ここでは繰り返して説明しない。
【0025】ゲート・スタック520の形成後、すなわ
ち、ゲート誘電体522、ポリシリコン524、高導電
材料526、拡散防止層540を積層化した後、第1の
ウェル領域518、たとえば、nウェル領域の上での
み、ゲート・スタック520にパターン形成してエッチ
ングを施す。これにより、もう一方の型のデバイスが構
築されている領域内に定義済みの狭いゲート・スタック
528と未定義のゲート・スタック529のブロックと
が残る。次に、デバイス全体の上に、第1の型のドーピ
ング・ソース材料550、たとえば、BSGなどのp型
ドーパントと、拡散防止材料590とを付着する。この
付着は、様々な形式、たとえば、CVDによって行うこ
とができる。これに続いて、従来の加熱方法によりドー
パントのドライブインを行う。
【0026】次に、図8に示すように、第2のウェル領
域516、たとえば、pウェル領域の上のゲート・スタ
ック529にパターン形成してエッチングを施す。図示
していないフォトレジストを追加して、第1のウェル領
域518を覆うこともできる。次に、第1の実施例で記
載したように、第2のウェル領域516の上の露出した
ゲート・ポリシリコン524に気相ドーパント530か
らドーピングを施す。あるいは、ドーピングの型の順序
を切り替えることができる。すなわち、pウェル領域の
上のゲート・スタックをまずパターン形成してエッチン
グを施し、拡散拡張部およびゲート・ポリシリコンにn
型気相ドーパントからドーピングを施すことができる。
拡散拡張部およびゲート・ポリシリコンのn型ドーピン
グ後、拡散防止層を付着する。次に、第2のウェル領域
の上のゲート・スタックにパターン形成してエッチング
を施し、拡散拡張部およびゲート・ポリシリコンに気相
ドーパントまたは固相ドーパントからドーピングを施
す。この時点から、前述のように、広いゲート・スタッ
クおよび深いS/D拡散のドーピングに続いて、接点ホ
ール作成および配線を行うことができるが、簡潔にする
ためここでは繰り返して説明しない。
【0027】また、本発明は、結果として得られる半導
体も含む。上記の方法の説明から明らかなように、結果
として得られる半導体は、これまで達成不能であった固
有の構造上の特性を示す。特に、結果として得られる半
導体は、狭い、たとえば、0.2μ未満のポリシリコン
・ゲート24を有し、これはゲート誘電体境界面まで完
全に貫通して高ドープになっている(図1を参照)。ポ
リシリコン・ゲートのドーピングまたはコンシステンシ
ーの程度は1019個のドーパント原子/cm3を上回る
ものである。さらに、結果として得られる半導体は、深
さが0.1μ未満の非常に浅いS/D拡張部を有する。
【0028】上記に概要を示した特定の実施例に関連し
て本発明を説明してきたが、当業者には多くの代替態
様、変更態様、変形態様が思いつくことは明らかであ
る。したがって、上記の本発明の好ましい実施例は例示
のためのものであり、限定するものではない。特許請求
の範囲に定義した本発明の精神および範囲を逸脱せずに
様々な変更を行うことができる。たとえば、第2の実施
例では、気相ドーピングによって第1の型のドーパント
を行うか、または第1のドーパントをp型ではなく、n
型ドーパントにすることもできる。さらに、当業者であ
れば、本発明の範囲を逸脱せずに、使用する特定の材料
の様々な変更を行うことができることが分かるだろう。
【0029】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0030】(1)半導体にドーピングを施す方法にお
いて、 a)少なくとも1つのゲート・スタックと、少なくとも
1つのソース/ドレイン領域とを含む層を設けるステッ
プと、 b)少なくとも1つのゲート・スタックとソース/ドレ
イン領域に同時にドーピングを施すステップとを含む方
法。 (2)層を設ける前記ステップが、誘電体層およびポリ
シリコン・ゲート・ボディを備えた少なくとも1つのゲ
ート・スタックを形成するステップをさらに含み、各ゲ
ート・スタックが側壁を含む、上記(1)に記載の方
法。 (3)同時にドーピングを施す前記ステップが、側壁を
貫通して各ポリシリコン・ゲート・ボディにドーピング
を施すステップを含む、上記(2)に記載の方法。 (4)層を設ける前記ステップが、導体層を備えた前記
少なくとも1つのゲート・スタックを形成するステップ
をさらに含む、上記(2)に記載の方法。 (5)同時にドーピングを施す前記ステップが、少なく
とも1つのゲート・スタックと少なくとも1つのソース
・ドレイン領域とを、選択した第1のドーパントを含む
ガスと選択した第1のドーパントを含むプラズマのうち
の一方に曝すステップと、前記半導体を加熱するステッ
プとを含む、上記(1)に記載の方法。 (6)残りのゲート・スタックと残りのソース・ドレイ
ン領域とを、選択した第2のドーパントを含むガスと選
択した第2のドーパントを含むプラズマのうちの一方に
同時に曝すステップと、前記半導体を加熱するステップ
とをさらに含む、上記(5)に記載の方法。 (7)前記第1のドーパントがp型ドーパント材料とn
型ドーパント材料のうちの一方であり、前記第2のドー
パントがp型ドーパント材料とn型ドーパント材料のう
ちのもう一方である、上記(6)に記載の方法。 (8)前記n型ドーパント材料が、三塩化ヒ素、アルシ
ン、三フッ化ヒ素、ホスフィン、三塩化リン、三フッ化
リンのうちの1つであり、前記p型ドーパント材料が、
ジボラン、三塩化ホウ素、三フッ化ホウ素のうちの1つ
である、上記(7)に記載の方法。 (9)同時にドーピングを施す前記ステップが、 b1)少なくとも1つのゲート・スタックと少なくとも
1つのソース/ドレイン領域とを横切って第1の型のド
ーパント・ソース材料を付着するステップと、 b2)前記第1の型のドーパント・ソース材料を横切っ
て拡散防止材料を付着するステップと、 b3)前記第1の型のドーパント・ソース材料によって
覆われていないゲート・スタックとソース/ドレイン領
域とを横切って第2の型のドーパント・ソース・材料を
付着するステップと、 b4)前記半導体を加熱して、前記ドーパント・ソース
材料のドーパントをドライブインするステップとをさら
に含む、上記(1)に記載の方法。 (10)前記第1の型のドーパント材料が、ヒ素ドープ
済みケイ酸塩ガラスとホウ素ドープ済みケイ酸塩ガラス
のうちの一方であり、前記第2の型のドーパント材料
が、ヒ素ドープ済みケイ酸塩ガラスとホウ素ドープ済み
ケイ酸塩ガラスのうちのもう一方である、上記(9)に
記載の方法。 (11)同時にドーピングを施す前記ステップが、 b1)少なくとも1つのゲート・スタックと少なくとも
1つのソース/ドレイン領域とを横切って第1の型のド
ーパント・ソース材料を付着するステップと、 b2)前記第1の型のドーパント・ソース材料を横切っ
て拡散防止材料を付着するステップと、 b3)前記デバイスを同時に加熱して前記第1の型のド
ーパント・ソース材料のドーパントをドライブインし、
前記第1の型のドーパント・ソース材料および拡散防止
材料によって覆われていない残りのゲート・スタックと
残りのソース/ドレイン領域とを、選択した第2の型の
ドーパント材料を含むガスと選択した第2の型のドーパ
ント材料を含むプラズマのうちの一方に曝すステップと
をさらに含む、上記(1)に記載の方法。 (12)前記第1の型のドーパント材料がp型ドーパン
ト材料とn型ドーパント材料のうちの一方であり、前記
第2の型のドーパント材料がp型ドーパント材料とn型
ドーパント材料のうちのもう一方である、上記(11)
に記載の方法。 (13)前記第1の型のドーパント・ソース材料がヒ素
ドープ済みケイ酸塩ガラスであり、前記第2の型のドー
パント材料が、ジボラン、三塩化ホウ素、三フッ化ホウ
素のうちの1つである、上記(11)に記載の方法。 (14)前記第1の型のドーパント材料がホウ素ドープ
済みケイ酸塩ガラスであり、前記第2の型のドーパント
材料が、三塩化ヒ素、アルシン、三フッ化ヒ素、ホスフ
ィン、三塩化リン、三フッ化リンのうちの1つである、
上記(11)に記載の方法。 (15)層を設ける前記ステップが、0.2マイクロメ
ートル未満の幅を有するゲート・スタックを設けるステ
ップを含む、上記(1)に記載の方法。 (16)半導体を形成する方法において、 a)半導体用の層を設けるステップと、 b)前記層上に少なくとも1つのゲート・スタックを作
成するステップと、 c)前記層内に少なくとも1つのソース/ドレイン領域
を作成するステップと、 d)少なくとも1つのゲート・スタックと少なくとも1
つのソース/ドレイン領域に同時にドーピングを施すス
テップとを含む方法。 (17)ステップb)の前に前記層内に複数の浅い分離
トレンチを作成するステップをさらに含む、上記(1
6)に記載の方法。 (18)ステップb)が、誘電体層およびポリシリコン
・ゲート・ボディを備えた各ゲート・スタックを形成す
るステップをさらに含み、各ゲート・スタックが側壁を
含む、上記(16)に記載の方法。 (19)ステップb)が、導体層を備えた各ゲート・ス
タックを形成するステップをさらに含む、上記(18)
に記載の方法。 (20)同時にドーピングを施す前記ステップが、側壁
を貫通して前記ポリシリコン・ゲート・ボディにドーピ
ングを施すステップを含む、上記(16)に記載の方
法。 (21)同時にドーピングを施す前記ステップが、少な
くとも1つのゲート・スタックと少なくとも1つのソー
ス・ドレイン領域とを、選択した第1のドーパントを含
むガスと選択した第1のドーパントを含むプラズマのう
ちの一方に曝すステップと、前記半導体を加熱するステ
ップとを含む、上記(16)に記載の方法。 (22)残りのゲート・スタックと残りのソース・ドレ
イン領域とを、選択した第2のドーパントを含むガスと
選択した第2のドーパントを含むプラズマのうちの一方
に同時に曝すステップと、前記半導体を加熱するステッ
プとをさらに含む、上記(21)に記載の方法。 (23)同時にドーピングを施す前記ステップが、 d1)少なくとも1つのゲート・スタックと少なくとも
1つのソース/ドレイン領域とを横切って第1の型のド
ーパント・ソース材料を付着するステップと、 d2)前記第1の型のドーパント・ソース材料を横切っ
て拡散防止材料を付着するステップと、 d3)前記第1の型のドーパント・ソース材料によって
覆われていない残りのゲート・スタックと残りのソース
/ドレイン領域とを横切って第2の型のドーパント・ソ
ース・材料を付着するステップと、 d4)前記デバイスを加熱して、前記第1および第2の
型のドーパント・ソース材料のドーパントをドライブイ
ンするステップとを含む、上記(16)に記載の方法。 (24)同時にドーピングを施す前記ステップが、 d1)少なくとも1つのゲート・スタックと少なくとも
1つのソース/ドレイン領域とを横切って第1の型のド
ーパント・ソース材料を付着するステップと、 d2)前記第1の型のドーパント・ソース材料を横切っ
て拡散防止材料を付着するステップと、 d3)前記デバイスを同時に加熱して前記第1の型のド
ーパント・ソース材料のドーパントをドライブインし、
前記第1の型のドーパント・ソース材料および拡散防止
材料によって覆われていない残りのゲート・スタックと
残りのソース/ドレイン領域とを、選択した第2のドー
パントを含むガスと選択した第2のドーパントを含むプ
ラズマのうちの一方に曝すステップとを含む、上記(1
6)に記載の方法。 (25)前記層上に少なくとも1つのゲート・スタック
を作成する前記ステップが、0.2マイクロメートル未
満の幅を有する少なくとも1つのポリシリコン・ゲート
・ボディを設けるステップを含む、上記(16)に記載
の方法。 (26)0.1マイクロメートル未満の深さを有するソ
ース/ドレイン拡張領域を含む半導体。 (27)ゲート誘電体部分と、境界面で前記ゲート誘電
体部分に結合され、前記ゲート誘電体との前記境界面ま
で完全に貫通した1019個を上回るドーパント材料原子
/cm3のドーパント材料コンシステンシーを有するポ
リシリコン・ゲートとを含む半導体。 (28)前記ポリシリコン・ゲートが0.2マイクロメ
ートル未満の幅を有する、上記(27)に記載の半導
体。 (29)前記半導体が、0.1マイクロメートル未満の
深さを有するソース/ドレイン拡張部をさらに含む、上
記(27)に記載の半導体。
【図面の簡単な説明】
【図1】本発明によるドーピング前の半導体ウェハを示
す図である。
【図2】本発明の第1の実施例によるドーピングの方法
を示す図である。
【図3】本発明の第2の実施例によるドーピングの方法
を示す図である。
【図4】本発明の第3の実施例によるドーピングの方法
を示す図である。
【図5】本発明の代替ステップにより深いS/D拡散を
形成した後の構造を示す図である。
【図6】本発明により完成した半導体を示す図である。
【図7】本発明の第4の実施例によるドーピングの方法
の第1のステップを示す図である。
【図8】本発明の第4の実施例によるドーピングの方法
の第2のステップを示す図である。
【符号の説明】
12 シリコン層または基板 14 浅い分離トレンチ 16 Pウェル 18 Nウェル 19 S/D領域 20 ポリシリコン・ゲート・スタック 22 ゲート誘電体層 24 ポリシリコン・ボディまたはゲート 26 ゲート配線材料 30 ガス(またはプラズマ)ドーピング・ソース 40 拡散防止材料
フロントページの続き (72)発明者 フルカワ・トシハル アメリカ合衆国05452 バーモント州エセ ックス・ジャンクション オークウッド・ レーン9 (72)発明者 マーク・シー・ヘイキー アメリカ合衆国05468 バーモント州ミル トン ジャクソン・ロード64 (72)発明者 スティーヴン・ジェイ・ホームズ アメリカ合衆国05468 バーモント州ミル トン デヴィノ・ロード127 (72)発明者 デビッド・ヴィー・ホラク アメリカ合衆国05452 バーモント州エセ ックス・ジャンクション ブライアー・レ ーン47

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】半導体にドーピングを施す方法において、 a)少なくとも1つのゲート・スタックと、少なくとも
    1つのソース/ドレイン領域とを含む層を設けるステッ
    プと、 b)少なくとも1つのゲート・スタックとソース/ドレ
    イン領域に同時にドーピングを施すステップとを含む方
    法。
  2. 【請求項2】層を設ける前記ステップが、誘電体層およ
    びポリシリコン・ゲート・ボディを備えた少なくとも1
    つのゲート・スタックを形成するステップをさらに含
    み、各ゲート・スタックが側壁を含む、請求項1に記載
    の方法。
  3. 【請求項3】同時にドーピングを施す前記ステップが、
    側壁を貫通して各ポリシリコン・ゲート・ボディにドー
    ピングを施すステップを含む、請求項2に記載の方法。
  4. 【請求項4】層を設ける前記ステップが、導体層を備え
    た前記少なくとも1つのゲート・スタックを形成するス
    テップをさらに含む、請求項2に記載の方法。
  5. 【請求項5】同時にドーピングを施す前記ステップが、
    少なくとも1つのゲート・スタックと少なくとも1つの
    ソース・ドレイン領域とを、選択した第1のドーパント
    を含むガスと選択した第1のドーパントを含むプラズマ
    のうちの一方に曝すステップと、 前記半導体を加熱するステップとを含む、請求項1に記
    載の方法。
  6. 【請求項6】残りのゲート・スタックと残りのソース・
    ドレイン領域とを、選択した第2のドーパントを含むガ
    スと選択した第2のドーパントを含むプラズマのうちの
    一方に同時に曝すステップと、 前記半導体を加熱するステップとをさらに含む、請求項
    5に記載の方法。
  7. 【請求項7】前記第1のドーパントがp型ドーパント材
    料とn型ドーパント材料のうちの一方であり、前記第2
    のドーパントがp型ドーパント材料とn型ドーパント材
    料のうちのもう一方である、請求項6に記載の方法。
  8. 【請求項8】前記n型ドーパント材料が、三塩化ヒ素、
    アルシン、三フッ化ヒ素、ホスフィン、三塩化リン、三
    フッ化リンのうちの1つであり、前記p型ドーパント材
    料が、ジボラン、三塩化ホウ素、三フッ化ホウ素のうち
    の1つである、請求項7に記載の方法。
  9. 【請求項9】同時にドーピングを施す前記ステップが、 b1)少なくとも1つのゲート・スタックと少なくとも
    1つのソース/ドレイン領域とを横切って第1の型のド
    ーパント・ソース材料を付着するステップと、 b2)前記第1の型のドーパント・ソース材料を横切っ
    て拡散防止材料を付着するステップと、 b3)前記第1の型のドーパント・ソース材料によって
    覆われていないゲート・スタックとソース/ドレイン領
    域とを横切って第2の型のドーパント・ソース・材料を
    付着するステップと、 b4)前記半導体を加熱して、前記ドーパント・ソース
    材料のドーパントをドライブインするステップとをさら
    に含む、請求項1に記載の方法。
  10. 【請求項10】前記第1の型のドーパント材料が、ヒ素
    ドープ済みケイ酸塩ガラスとホウ素ドープ済みケイ酸塩
    ガラスのうちの一方であり、前記第2の型のドーパント
    材料が、ヒ素ドープ済みケイ酸塩ガラスとホウ素ドープ
    済みケイ酸塩ガラスのうちのもう一方である、請求項9
    に記載の方法。
  11. 【請求項11】同時にドーピングを施す前記ステップ
    が、 b1)少なくとも1つのゲート・スタックと少なくとも
    1つのソース/ドレイン領域とを横切って第1の型のド
    ーパント・ソース材料を付着するステップと、 b2)前記第1の型のドーパント・ソース材料を横切っ
    て拡散防止材料を付着するステップと、 b3)前記デバイスを同時に加熱して前記第1の型のド
    ーパント・ソース材料のドーパントをドライブインし、
    前記第1の型のドーパント・ソース材料および拡散防止
    材料によって覆われていない残りのゲート・スタックと
    残りのソース/ドレイン領域とを、選択した第2の型の
    ドーパント材料を含むガスと選択した第2の型のドーパ
    ント材料を含むプラズマのうちの一方に曝すステップと
    をさらに含む、請求項1に記載の方法。
  12. 【請求項12】前記第1の型のドーパント材料がp型ド
    ーパント材料とn型ドーパント材料のうちの一方であ
    り、前記第2の型のドーパント材料がp型ドーパント材
    料とn型ドーパント材料のうちのもう一方である、請求
    項11に記載の方法。
  13. 【請求項13】前記第1の型のドーパント・ソース材料
    がヒ素ドープ済みケイ酸塩ガラスであり、 前記第2の型のドーパント材料が、ジボラン、三塩化ホ
    ウ素、三フッ化ホウ素のうちの1つである、請求項11
    に記載の方法。
  14. 【請求項14】前記第1の型のドーパント材料がホウ素
    ドープ済みケイ酸塩ガラスであり、 前記第2の型のドーパント材料が、三塩化ヒ素、アルシ
    ン、三フッ化ヒ素、ホスフィン、三塩化リン、三フッ化
    リンのうちの1つである、請求項11に記載の方法。
  15. 【請求項15】層を設ける前記ステップが、0.2マイ
    クロメートル未満の幅を有するゲート・スタックを設け
    るステップを含む、請求項1に記載の方法。
  16. 【請求項16】半導体を形成する方法において、 a)半導体用の層を設けるステップと、 b)前記層上に少なくとも1つのゲート・スタックを作
    成するステップと、 c)前記層内に少なくとも1つのソース/ドレイン領域
    を作成するステップと、 d)少なくとも1つのゲート・スタックと少なくとも1
    つのソース/ドレイン領域に同時にドーピングを施すス
    テップとを含む方法。
  17. 【請求項17】ステップb)の前に前記層内に複数の浅
    い分離トレンチを作成するステップをさらに含む、請求
    項16に記載の方法。
  18. 【請求項18】ステップb)が、誘電体層およびポリシ
    リコン・ゲート・ボディを備えた各ゲート・スタックを
    形成するステップをさらに含み、各ゲート・スタックが
    側壁を含む、請求項16に記載の方法。
  19. 【請求項19】ステップb)が、導体層を備えた各ゲー
    ト・スタックを形成するステップをさらに含む、請求項
    18に記載の方法。
  20. 【請求項20】同時にドーピングを施す前記ステップ
    が、側壁を貫通して前記ポリシリコン・ゲート・ボディ
    にドーピングを施すステップを含む、請求項16に記載
    の方法。
  21. 【請求項21】同時にドーピングを施す前記ステップ
    が、少なくとも1つのゲート・スタックと少なくとも1
    つのソース・ドレイン領域とを、選択した第1のドーパ
    ントを含むガスと選択した第1のドーパントを含むプラ
    ズマのうちの一方に曝すステップと、 前記半導体を加熱するステップとを含む、請求項16に
    記載の方法。
  22. 【請求項22】残りのゲート・スタックと残りのソース
    ・ドレイン領域とを、選択した第2のドーパントを含む
    ガスと選択した第2のドーパントを含むプラズマのうち
    の一方に同時に曝すステップと、 前記半導体を加熱するステップとをさらに含む、請求項
    21に記載の方法。
  23. 【請求項23】同時にドーピングを施す前記ステップ
    が、 d1)少なくとも1つのゲート・スタックと少なくとも
    1つのソース/ドレイン領域とを横切って第1の型のド
    ーパント・ソース材料を付着するステップと、 d2)前記第1の型のドーパント・ソース材料を横切っ
    て拡散防止材料を付着するステップと、 d3)前記第1の型のドーパント・ソース材料によって
    覆われていない残りのゲート・スタックと残りのソース
    /ドレイン領域とを横切って第2の型のドーパント・ソ
    ース・材料を付着するステップと、 d4)前記デバイスを加熱して、前記第1および第2の
    型のドーパント・ソース材料のドーパントをドライブイ
    ンするステップとを含む、請求項16に記載の方法。
  24. 【請求項24】同時にドーピングを施す前記ステップ
    が、 d1)少なくとも1つのゲート・スタックと少なくとも
    1つのソース/ドレイン領域とを横切って第1の型のド
    ーパント・ソース材料を付着するステップと、 d2)前記第1の型のドーパント・ソース材料を横切っ
    て拡散防止材料を付着するステップと、 d3)前記デバイスを同時に加熱して前記第1の型のド
    ーパント・ソース材料のドーパントをドライブインし、
    前記第1の型のドーパント・ソース材料および拡散防止
    材料によって覆われていない残りのゲート・スタックと
    残りのソース/ドレイン領域とを、選択した第2のドー
    パントを含むガスと選択した第2のドーパントを含むプ
    ラズマのうちの一方に曝すステップとを含む、請求項1
    6に記載の方法。
  25. 【請求項25】前記層上に少なくとも1つのゲート・ス
    タックを作成する前記ステップが、0.2マイクロメー
    トル未満の幅を有する少なくとも1つのポリシリコン・
    ゲート・ボディを設けるステップを含む、請求項16に
    記載の方法。
  26. 【請求項26】0.1マイクロメートル未満の深さを有
    するソース/ドレイン拡張領域を含む半導体。
  27. 【請求項27】ゲート誘電体部分と、境界面で前記ゲー
    ト誘電体部分に結合され、前記ゲート誘電体との前記境
    界面まで完全に貫通した1019個を上回るドーパント材
    料原子/cm3のドーパント材料コンシステンシーを有
    するポリシリコン・ゲートとを含む半導体。
  28. 【請求項28】前記ポリシリコン・ゲートが0.2マイ
    クロメートル未満の幅を有する、請求項27に記載の半
    導体。
  29. 【請求項29】前記半導体が、0.1マイクロメートル
    未満の深さを有するソース/ドレイン拡張部をさらに含
    む、請求項27に記載の半導体。
JP11117714A 1998-05-01 1999-04-26 ゲ―トにド―ピングを施し、非常に浅いソ―ス/ドレイン拡張部を作成する方法および結果として得られる半導体 Pending JP2000036596A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7170498A 1998-05-01 1998-05-01
US09/071704 1998-05-01

Publications (1)

Publication Number Publication Date
JP2000036596A true JP2000036596A (ja) 2000-02-02

Family

ID=22103031

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11117714A Pending JP2000036596A (ja) 1998-05-01 1999-04-26 ゲ―トにド―ピングを施し、非常に浅いソ―ス/ドレイン拡張部を作成する方法および結果として得られる半導体

Country Status (4)

Country Link
US (1) US6489207B2 (ja)
JP (1) JP2000036596A (ja)
KR (1) KR100341196B1 (ja)
TW (1) TW473834B (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10121778B4 (de) * 2001-05-04 2005-12-01 Infineon Technologies Ag Verfahren zur Erzeugung eines Dotierprofils bei einer Gasphasendotierung
TW517348B (en) * 2001-11-05 2003-01-11 Macronix Int Co Ltd Manufacturing method of non-volatile memory with shallow junction
US6730556B2 (en) * 2001-12-12 2004-05-04 Texas Instruments Incorporated Complementary transistors with controlled drain extension overlap
US6686595B2 (en) 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
EP1579481B1 (en) * 2002-06-26 2013-12-04 Semequip, Inc. A method of semiconductor manufacturing by the implantation of boron hydride cluster ions
US6812073B2 (en) * 2002-12-10 2004-11-02 Texas Instrument Incorporated Source drain and extension dopant concentration
CN1777429A (zh) 2003-04-02 2006-05-24 尼克美制药控股有限公司 ***素组合物和其治疗血管痉挛的应用
US6837923B2 (en) * 2003-05-07 2005-01-04 David Crotty Polytetrafluoroethylene dispersion for electroless nickel plating applications
JP4100339B2 (ja) * 2003-12-16 2008-06-11 沖電気工業株式会社 半導体装置の製造方法。
US7229885B2 (en) * 2004-01-06 2007-06-12 International Business Machines Corporation Formation of a disposable spacer to post dope a gate conductor
US7098099B1 (en) * 2005-02-24 2006-08-29 Texas Instruments Incorporated Semiconductor device having optimized shallow junction geometries and method for fabrication thereof
US7473626B2 (en) 2006-04-11 2009-01-06 International Business Machines Corporation Control of poly-Si depletion in CMOS via gas phase doping
US7696036B2 (en) * 2007-06-14 2010-04-13 International Business Machines Corporation CMOS transistors with differential oxygen content high-k dielectrics
US7723219B2 (en) * 2008-02-22 2010-05-25 Applied Materials, Inc. Plasma immersion ion implantation process with reduced polysilicon gate loss and reduced particle deposition
JP2011527124A (ja) * 2008-07-06 2011-10-20 アイメック 半導体構造のドープ方法およびその半導体デバイス
RU2633236C2 (ru) 2011-04-07 2017-10-11 Нексмед Холдингс, Инк. Способы и композиции для лечения болезни рейно
US8592270B2 (en) 2011-05-25 2013-11-26 International Business Machines Corporation Non-relaxed embedded stressors with solid source extension regions in CMOS devices
US20140210012A1 (en) * 2013-01-31 2014-07-31 Spansion Llc Manufacturing of FET Devices Having Lightly Doped Drain and Source Regions
US20140264557A1 (en) * 2013-03-15 2014-09-18 International Business Machines Corporation Self-aligned approach for drain diffusion in field effect transistors
US9105559B2 (en) * 2013-09-16 2015-08-11 International Business Machines Corporation Conformal doping for FinFET devices
US9318318B1 (en) 2015-01-05 2016-04-19 International Business Machines Corporation 3D atomic layer gate or junction extender
KR102480628B1 (ko) 2016-07-14 2022-12-23 삼성전자주식회사 Pn 다이오드를 포함하는 반도체 소자 형성 방법
US11798808B1 (en) * 2020-07-22 2023-10-24 National Technology & Engineering Solutions Of Sandia, Llc Method of chemical doping that uses CMOS-compatible processes

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4566937A (en) 1984-10-10 1986-01-28 The United States Of America As Represented By The United States Department Of Energy Electron beam enhanced surface modification for making highly resolved structures
US4861729A (en) 1987-08-24 1989-08-29 Matsushita Electric Industrial Co., Ltd. Method of doping impurities into sidewall of trench by use of plasma source
JPH01241175A (ja) 1988-03-23 1989-09-26 Seikosha Co Ltd 非晶質シリコン薄膜トランジスタの製造方法
JPH0244717A (ja) 1988-08-05 1990-02-14 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH0266938A (ja) 1988-08-31 1990-03-07 Fujitsu Ltd 半導体装置の製造方法
US5146291A (en) 1988-08-31 1992-09-08 Mitsubishi Denki Kabushiki Kaisha MIS device having lightly doped drain structure
JP3431647B2 (ja) 1992-10-30 2003-07-28 株式会社半導体エネルギー研究所 半導体装置とその作製方法およびメモリ装置の作製方法およびレーザードーピング処理方法
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5599735A (en) 1994-08-01 1997-02-04 Texas Instruments Incorporated Method for doped shallow junction formation using direct gas-phase doping
US5770490A (en) 1996-08-29 1998-06-23 International Business Machines Corporation Method for producing dual work function CMOS device
US5994175A (en) 1997-09-05 1999-11-30 Advanced Micro Devices, Inc. High performance MOSFET with low resistance design
US6159814A (en) * 1997-11-12 2000-12-12 Advanced, Micro Devices, Inc. Spacer formation by poly stack dopant profile design
US6287925B1 (en) * 2000-02-24 2001-09-11 Advanced Micro Devices, Inc. Formation of highly conductive junctions by rapid thermal anneal and laser thermal process

Also Published As

Publication number Publication date
US6489207B2 (en) 2002-12-03
TW473834B (en) 2002-01-21
KR19990087894A (ko) 1999-12-27
US20020022354A1 (en) 2002-02-21
KR100341196B1 (ko) 2002-06-20

Similar Documents

Publication Publication Date Title
JP2000036596A (ja) ゲ―トにド―ピングを施し、非常に浅いソ―ス/ドレイン拡張部を作成する方法および結果として得られる半導体
US6172381B1 (en) Source/drain junction areas self aligned between a sidewall spacer and an etched lateral sidewall
US5777370A (en) Trench isolation of field effect transistors
JP3516442B2 (ja) 独立して調整可能なパラメータを有するトランジスタを生産するための構造およびプロセス・インテグレーション
US6268637B1 (en) Method of making air gap isolation by making a lateral EPI bridge for low K isolation advanced CMOS fabrication
US5716881A (en) Process to fabricate stacked capacitor DRAM and low power thin film transistor SRAM devices on a single semiconductor chip
US5786255A (en) Method of forming a metallic oxide semiconductor
US20060091556A1 (en) Semiconductor device and its manufacturing method
US5926700A (en) Semiconductor fabrication having multi-level transistors and high density interconnect therebetween
US5943576A (en) Angled implant to build MOS transistors in contact holes
US5612240A (en) Method for making electrical connections to self-aligned contacts that extends beyond the photo-lithographic resolution limit
US5607881A (en) Method of reducing buried contact resistance in SRAM
US6180465B1 (en) Method of making high performance MOSFET with channel scaling mask feature
US6784073B1 (en) Method of making semiconductor-on-insulator device with thermoelectric cooler
US5652152A (en) Process having high tolerance to buried contact mask misalignment by using a PSG spacer
US7169676B1 (en) Semiconductor devices and methods for forming the same including contacting gate to source
KR100425462B1 (ko) Soi 상의 반도체 장치 및 그의 제조방법
US6420730B1 (en) Elevated transistor fabrication technique
JPH0521338B2 (ja)
US5898189A (en) Integrated circuit including an oxide-isolated localized substrate and a standard silicon substrate and fabrication method
US6566200B2 (en) Flash memory array structure and method of forming
US6046088A (en) Method for self-aligning polysilicon gates with field isolation and the resultant structure
KR100697894B1 (ko) 반도체 디바이스 제조 방법
US6184105B1 (en) Method for post transistor isolation
US6528855B2 (en) MOSFET having a low aspect ratio between the gate and the source/drain