EP2317535B1 - Dispositif de définition de structure avec plusieurs arrangement à faisceaux multiples - Google Patents

Dispositif de définition de structure avec plusieurs arrangement à faisceaux multiples Download PDF

Info

Publication number
EP2317535B1
EP2317535B1 EP10015402.0A EP10015402A EP2317535B1 EP 2317535 B1 EP2317535 B1 EP 2317535B1 EP 10015402 A EP10015402 A EP 10015402A EP 2317535 B1 EP2317535 B1 EP 2317535B1
Authority
EP
European Patent Office
Prior art keywords
array means
apertures
aperture
openings
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
EP10015402.0A
Other languages
German (de)
English (en)
Other versions
EP2317535A3 (fr
EP2317535A2 (fr
Inventor
Elmar Platzgummer
Hans LÖSCHNER
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IMS Nanofabrication GmbH
Original Assignee
IMS Nanofabrication GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IMS Nanofabrication GmbH filed Critical IMS Nanofabrication GmbH
Priority to EP10015402.0A priority Critical patent/EP2317535B1/fr
Publication of EP2317535A2 publication Critical patent/EP2317535A2/fr
Publication of EP2317535A3 publication Critical patent/EP2317535A3/fr
Application granted granted Critical
Publication of EP2317535B1 publication Critical patent/EP2317535B1/fr
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/045Beam blanking or chopping, i.e. arrangements for momentarily interrupting exposure to the discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • H01J2237/0437Semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/045Diaphragms
    • H01J2237/0456Supports
    • H01J2237/0458Supports movable, i.e. for changing between differently sized apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/15Means for deflecting or directing discharge
    • H01J2237/1501Beam alignment means or procedures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/15Means for deflecting or directing discharge
    • H01J2237/1502Mechanical adjustments

Definitions

  • the invention relates to a multi-beam pattern definition device for use in a particle-beam processing or inspection apparatus, which device is adapted to be irradiated with a beam of electrically charged particles, in particular ions, and allow passage of the beam through a plurality of apertures thus forming a corresponding number of beamlets, said device comprising an aperture array means in which said apertures are realized, and an opening array means having a plurality of openings configured for the passage of at least a subset of beamlets that are formed by said apertures.
  • the invention also relates to methods using such a multi-beam pattern definition device, in particular in order to employ a multi-pass method for multi-beam writing in a particle-beam processing or inspection apparatus.
  • Particle lithography and processing is used in semiconductor production and microstructuring applications.
  • direct patterning by ion-beam irradiation is a promising concept for the future industrial fabrication of nano-scale devices with high resolutions, in particular the 32 nm and 22 nm nodes and below.
  • the device to pattern the charged-particle beam (in particular, ion beam) so as to contain a desired design pattern - referred to as pattern definition device (PD device) - is, preferably, a programmable multi-aperture device in which the apertures are designed to form beamlets out of the beam that irradiates the device and are programmable to selectively keep some of the beamlets on their path and divert other beamlets so that the latter do not reach the substrate, in accordance with a desired design pattern to be produced on a target surface.
  • the implementation of a multi-beam projection optical system based on a programmable multi-aperture plate allows a significant improvement of the achievable productivity in comparison with focused single beam systems.
  • the reasons for the improved productivity are, firstly, the parallelism of the process using a plurality of beams and, secondly, the increased current which can be imaged to a substrate at the same resolution. Both are made possible by a significantly reduced Coulomb interaction in the beam. Furthermore, the moderate current density related to the projection optical system results in an enhanced process rate when precursor gases are used for beam-induced chemical processes. As compared with a focused beam system, also the reduced heating effect due to extreme beam intensity can be avoided.
  • a particle-beam apparatus and pertinent aperture-array means are disclosed in US 6,768,125 and US 2009 / 0200495 A1 of the assignee / applicant.
  • Those documents describes a charged-particle lithography and processing method and apparatus dubbed PML2 (short for "Projection Mask-Less Lithography") which realizes a multi-beam direct write concept and uses a programmable aperture plate system (APS) as a pattern definition (PD) device for structuring a particle beam, which is extracted from a single source of electrically charged particles.
  • PML2 charged-particle lithography and processing method and apparatus dubbed PML2 (short for "Projection Mask-Less Lithography") which realizes a multi-beam direct write concept and uses a programmable aperture plate system (APS) as a pattern definition (PD) device for structuring a particle beam, which is extracted from a single source of electrically charged particles.
  • APS programmable aperture plate system
  • PD pattern definition
  • the APS comprises a number of devices, typically realized as plates in a consecutive stack-like configuration, namely an aperture array means (aperture plate) and a deflection array means (blanking plate) and possibly additional opening array means such as a cover plate or interspersed correction plates.
  • the aperture plate comprises an array of apertures which define a beam pattern, consisting of beamlets, to be projected on a target surface.
  • Corresponding blanking openings on the blanking plate are associated with said apertures. Said blanking openings are located such that each of the beamlets traverses the blanking opening that corresponds to the aperture defining the beamlet respectively.
  • Each blanking opening is provided with a beamlet deflection means that can be controlled by a blanking signal between two deflection states, namely, a first state ('switched on') when the beamlet deflection means has assumed a state in which particles passing through the opening are allowed to travel along a desired path, and a second state ('switched off') when the beamlet deflection means is deflecting particles transmitted through the opening off said path.
  • An additional cover plate may be disposed in front of the other plates in order to protect the other plates from the impact of the incoming beam except for the regions which are formed into the beamlets.
  • correction plates may be present for introducing certain corrections of the direction of the beamlets for achieving special imaging properties, such as compensation of imaging defects or modulation of the aberration of a crossover.
  • the PD devices of the state of art have a fixed arrangement of apertures. Therefore, when a target is to be processed with pattern portions that have different critical dimension (i.e., linear dimension of the smallest feature to be produced), the PD device should be changed. It is a goal of the present invention to find a way to make a PD device more flexible and realize more than one geometry of aperture arrangements.
  • a multi-beam pattern definition device of the kind mentioned in the beginning and having an aperture array means and an opening array means is provided with "multiple multi-beam arrays" by virtue of the following provisions:
  • the aperture array means comprises at least two sets of apertures, which each comprise a plurality of apertures arranged in a (substantially) regular arrangement on the aperture array means with the arrangements of said sets being interlacing at least partially, wherein the apertures of different sets are - at least in a region where the arrangements are interlacing - offset to each other by displacements which (substantially) correspond to a common displacement vector.
  • the opening array means comprises plurality of openings arranged in a substantially regular arrangement, said arrangement corresponding to the arrangement of one of said sets of apertures in said region and lacking openings (so the opening array means is opaque there) at locations corresponding to apertures of the other set(s) of apertures.
  • positioning means which can position the aperture array means and/or the opening array means, in order to adjust the position of the aperture array means and the opening array means relative to each other.
  • These positioning means are configured to selectively bring one of the sets of apertures in the aperture array means, namely a selected set, into alignment with the plurality of openings in the opening array means, such that the apertures and openings are in alignment in at least said region of interlacing of arrangements.
  • the apertures within each set will have equivalent shape and size, whereas the apertures of different sets may differ in their size and/or shape.
  • the aperture array means and the at least one opening array means are realized as plate-like devices oriented substantially perpendicular to the beam, and having a membrane portion designed for being disposed in the path of the beam.
  • the invention allows the implementation of different values of critical dimension within one PD system, simply by switching the geometric spot size.
  • the spot size is defined by the size of the aperture and thus can be selected by activation of a suitable aperture set. In particular, it is possible to select a larger spot size for less critical areas or pattern elements on the target, while a smaller spot size is used only for most critical pattern elements. This will enhance throughput of production.
  • Another advantage of the invention is that it allows adjustment of the current that passes through the projections system in view of the blur related to the Coulomb interaction, in particular when different ion species are used.
  • a higher particle mass will cause a larger blur; this can be compensated by using a different beamlet width, which in turn causes a different total current of the beam (assuming that the number of beamlets is held constant).
  • aperture sets could be used for different tasks in a particle-beam apparatus, such as testing of operation and pattern lock, beam calibration, and target writing proper.
  • a deflection array means for instance in the form of a so-called blanking plate, which has a plurality of blanking openings located such that each of the beamlets traverses one of the blanking openings along a nominal path
  • the deflection array means comprises a plurality of electrostatic deflector electrodes, each of which is associated with a blanking opening and is configured to deflect a beamlet traversing the respective blanking opening by an amount sufficient to deflect the beamlet off its nominal path when an activating electric voltage is applied to the respective electrode.
  • the electrodes may be individually provided with a connecting line for applying an electrostatic potential and, in conjunction with an associated counter electrode, configured to deflect a beamlet traversing the respective blanking opening by an amount sufficient to deflect the beamlet off its nominal path when applied an activating voltage against the respective counter electrode
  • the device according to the invention may comprise an opening array means which is separate from the deflection array means.
  • This opening array means may realize a cover plate or a beam selection plate located between other plate components of the device.
  • the deflection array means may be configured as opening array means.
  • this component it is advantageous to orient this component such that the deflector electrodes are located at a side of the deflection array means oriented away from the incoming beam.
  • the sets of apertures may cover different areas on the field of the aperture array means, which could be used to expose areas on the target with different dice sizes.
  • a spatially larger or smaller beam array also allows the implementation of varying requirements of optical blur and writing strategy.
  • the aperture sets may extend over different but overlapping areas on the aperture array means, preferably realizing consecutively increasing areas, wherein one area may be contained in the next.
  • the positioning means may be configured to adjust the position of the aperture array means only, whereas the opening array means, and possibly also the deflection array means (blanking plate), are then fixed within the device.
  • the arrangement of the apertures in a set of apertures may be varied slightly in order to introduce a certain pre-distortion of the patterned beam, for instance to compensate a distortion introduced by imaging errors of the projection system that is used to project the pattern produced in the PD device to the target.
  • the positions of the apertures in at least one of the sets of apertures may deviate from the exact position of a rectangular or oblique lattice by fine-placement displacements such that these fine-placement displacements correct for imaging errors of a projection system operated in conjunction with the device, wherein the position of each aperture including its fine-placement displacement falls within the region defined by a projection of the corresponding opening of the opening array means onto the aperture array means, said projection being along the direction of the beam provided that the respective sets of apertures in the aperture array means is in alignment with the plurality of openings in the opening array means.
  • the pre-distortion of the beam can be changed by selecting the best suitable aperture set after an in-situ calibration, which can be done by scanning the beam array over a beam position detector, resolving a single beam position vector or group of beam position vectors relative to the other beams (e.g. by a simple knife edge with Faraday cup), and using the positional information to calculate the distortion state.
  • the aperture array means may comprise several sub-regions with apertures, which may even not overlap (or only marginally); in this aspect of the invention, the positioning means will have to provide an offset range sufficient to move the aperture array means to said different sub-regions.
  • a method can be used that comprises the following steps: (i) irradiating the device with a beam of charged particles, (ii) measuring the current which is transmitted through the device as a function of varying relative positioning of the aperture array means and opening array means in terms of positioning parameters, (iii) determining the values of the positioning parameters of a maximum value of the transmitted current, and (iv) adjusting the positioning of the aperture array means relative to the opening array means according to said values of the positioning parameters.
  • Such a method for multi-beam writing in a particle-beam processing or inspection apparatus using a multi-beam pattern definition device may comprise the following steps: (i) writing a first pattern on a surface region on a target using the multi-beam pattern definition device with a first set of apertures in the aperture array means being in alignment with the openings in the opening array means, and then (ii) adjusting the positioning of the aperture array means so as to bring a second set of apertures in the aperture array means into alignment with the openings in the openings array means, and (iii) writing a second pattern on said surface region on the target. Steps (ii) and (iii) may be repeated as many tmes as desired for a different set of apertures.
  • the preferred embodiment of the invention discussed in the following is a development of the PML2-type particle-beam exposure apparatus and its pattern definition (PD) system, as disclosed in the above-mentioned US 6,768,125 and US 2009/0200495 A1 , having a large-reduction projecting system.
  • PD pattern definition
  • FIG. 1 A schematic overview of a maskless particle-beam processing apparatus PML2 employing the invention is shown in Fig. 1 .
  • the components are not shown to size in Fig. 1 , particularly the lateral width of the particle beam is exaggerated.
  • the reader is referred to the US 6,768,125 and US 2009/0200495 A1 , whose teachings with respect to the overall layout of the particle-beam apparatus and the PD means are herewith included by reference.
  • a particle beam generated by a particle source is used in the PML2 system.
  • An illumination optical system forms the beam into a wide beam which illuminates a PD means having a regular array of apertures in order to define a beam pattern to be projected on a target surface.
  • a small beam also referred to as 'beamlet'
  • the passage of each beam through an aperture can be controlled so as to allow ('switch on') or effectively deactivate ('switch off') the passage of particles of the beam through the respective apertures towards the target.
  • the beam permeating the aperture array forms a patterned particle beam bearing pattern information as represented by the spatial arrangement of the apertures.
  • the patterned beam is then projected by means of a particle-optical projection system onto the target (for instance, a semiconductor substrate) where an image of the apertures is thus formed to modify the target at the irradiated portions.
  • the image formed by the beam is moved along a straight path over each die field; additional scanning of the beam in a direction perpendicular to the scanning direction is not necessary (except, where needed, to compensate for lateral travel motion errors of the scanning stage).
  • the main components of the apparatus 100 are - in the order of the direction of the lithography beam 1b, pb which in this example runs vertically downward in Fig. 1 - an illumination system 101, a PD system 102, a projecting system 103, and a target station 104 with the target or substrate 14.
  • the particle-optical systems 101, 103 are realized using electrostatic or electromagnetic lenses.
  • the electro-optical parts 101,102,103 of the apparatus 100 are contained in a vacuum housing (not shown) held at high vacuum to ensure an unimpeded propagation of the beam 1b, pb along the optical axis of the apparatus.
  • the illumination system 101 comprises, for instance, an ion source 11, an extractor arrangement defining the location of the virtual source, a particle filter/general blanker 12 and an illumination optics realized by a condenser lens system 13.
  • the ions used can be, for instance, hydrogen ions or heavy ions; in the context of this disclosure heavy ions refer to ions of elements heavier than C, such as O, N, or the noble gases Ne, Ar, Kr, Xe.
  • the particles can be electrons (emitted from an electron gun) or, in general, other electrically charged particles can be used as well.
  • a velocity/energy dependent filter 12 serves to filter out other, unwanted particle species that may also be produced in the source 11; the filter 12 may also be used to blank out the beam as a whole during repositioning of the beamlets.
  • the ions emitted from the source 11 are formed into a wide-area, substantially telecentric ion beam serving as lithography beam 1b.
  • the telecentricity of the beam is within a range of ⁇ 25 ⁇ rad deviation from the optical axis at the position of the PD device, resulting in a telecentricity range of ⁇ 5 mrad deviation from the optical axis at the position of the substrate, assuming here a 200x reduction system and equal particle energies at PD device and substrate.
  • the lithography beam 1b then irradiates a PD device which, together with the devices needed to keep its position, forms the PD system 102.
  • the PD device is held at a specific position in the path of the lithography beam 1b, which thus irradiates an aperture pattern formed by a plurality of apertures 21.
  • each of the apertures can be "switched on” or “open” so as to allow the beamlet passing through the respective aperture to reach the target; it is then said, the aperture is transparent to the incident beam.
  • the aperture is "switched off” or “closed”, in which case the beam path of the respective beamlet is affected in a way that it will be absorbed or otherwise removed out of the beam path before it can reach the target; thus, the aperture is effectively non-transparent or opaque to the beam.
  • the pattern of switched-on apertures is chosen according to the pattern to be exposed on the substrate, as these apertures are the only portions of the PD device transparent to the beam lb, which is thus formed into a patterned beam pb emerging from the apertures (i.e., in Fig. 1 , below the PD system 102).
  • the architecture and operation of the PD device, in particular with regard to its blanking plate, is discussed in detail below. In Fig.
  • the pattern as represented by the patterned beam pb is then projected by means of an electro-optical projection system 103 onto the substrate 14 where it forms an image of the switched-on mask apertures.
  • the projection system 103 implements a demagnification of, for instance, 200x.
  • the substrate 14 is, for instance, a silicon wafer covered with a photo-resist layer.
  • the wafer 14 is held and positioned by a wafer stage (not shown) of the target station 104.
  • a detector 15 for secondary radiation can be used to detect the proper positioning of the substrate with respect to the beam.
  • the projection system 103 is preferably composed of two consecutive electro-optical projector stages with a crossover c1, c2, respectively.
  • the electrostatic lenses 30 used to realize the projectors are shown in Fig. 1 in symbolic form only as technical realizations of electrostatic imaging systems are well known in the prior art; in other embodiments of the invention, also magnetic and/or electromagnetic lenses may be included as suitable.
  • the first projector stage images the plane of the apertures of the PD device to an intermediate image which in turn is imaged onto the substrate surface by means of the second projector stage. Both stages employ a demagnifying imaging through crossovers c1,c2; thus, while the intermediate image is inverted, the final image produced on the substrate is upright (non-inverted).
  • the demagnification factor is about 14x for both stages, resulting in an overall demagnification of 200x.
  • a demagnification of this order is in particular suitable with a lithography setup, in order to elevate problems of miniaturization in the PD device.
  • the electrooptical lenses are mainly composed of electrostatic electrodes, but magnetic lenses may also be used.
  • deflection means 16 are provided in one or both of the projector stages.
  • Such deflection means can be realized as, for instance, a multipole electrode system, as discussed in the US 6,768,125 .
  • a magnetic coil may be used to generate a rotation of the pattern in the substrate plane where needed.
  • the lateral deflections are usually quite small in comparison to the lateral width of the patterned beam bp itself, in most cases in the order of a few widths of a single beamlet or the distance between neighboring beamlets, but still at least one order of magnitudes below the beam width (it should be appreciated in this context that the lateral distance between beamlets is considerably smaller than the entire width of the beam bp).
  • an arbitrary beam pattern can be generated and transferred to a substrate.
  • a scanning stripe exposure strategy where the substrate is moved under the incident beam, is utilized so a beam-scanning strategy is not required, where the position of the beam is perpetually changed and thus the beam is effectively scanned over the target surface (which is at rest or only slowly moved, with a far smaller velocity) like in case of a single focused beam system. Details of the exposure strategy can be found in the already-mentioned prior art, in particular US 6,768,125 .
  • Figs. 2 and 3 show the PD system 102 of the apparatus 100, namely, in Fig. 2 a top view and in Fig. 3 a combined side and longitudinal-sectional view.
  • Fig. 4 shows a detail of the sectional view in Fig. 3 , a section of the plates of the PD system 102 along five beamlet paths.
  • the PD system 102 comprises a number of plates 22 mounted in a stacked configuration, realizing a composite device whose components serve respective functions, including for instance a cover plate 201, an aperture plate 202, and a blanking plate 203. Further component plates may also be present, such as an adjustment plate for individual fine adjustment of the beamlet paths (not shown here, cf. US 6,768,125 ).
  • Each of the plates 22 is realized as a semiconductor (in particular silicon) wafer in which the structures were formed by micro-structuring techniques known in the art, in particular a membrane portion formed in the middle of the plate, representing a PD field pf having a plurality of openings, symbolized by a cross-hatching in Fig. 2 .
  • the lithography beam traverses the plates through the consecutive openings in the PD field pf as further explained below with reference to Figs. 4 to 6 .
  • the plates 22 are held by chucks 23 which are positioned with respect to each other by means of actuator devices 241, 242, 243 which are realized as piezoactuators or nanopositioning elements of known type, attached to the chucks through flexure joints and fixed at a support structure 24 of the PD system. In the vertical direction the chucks are connected using slideable bearings 25.
  • the plates 22 and chucks 23 are produced from the same material, e.g. silicon, or materials having the same thermal expansion behavior in the operating temperature range.
  • the chucks also provide for the electric supply of the blanking plate 202; for the sake of clarity, the electric lines are not shown in the figures.
  • reference marks 26 may be provided for the definition of reference beams.
  • the shape of the reference beams rb is defined, for instance, in an opening formed in one of the plates 22, for instance the cover plate 201, whereas the corresponding openings in the other plates are wide enough so as to let pass the radiation for the reference beams.
  • the reference beams are then imaged together with the patterned beam pb; in contrast to the patterned beam, however, the reference beams do not reach the substrate 41 but are measured in an alignment system (cf. US 2009/0146082 A1 ).
  • the chucks 23 may have alignment openings 236 which serve as alignment markers for relative positioning of the chucks 23 and the plates 22 they hold.
  • the thickness of the membrane portion in each of the plates 22 is about 30 to 100 ⁇ m; the membrane of the blanking plate may be thicker if this is suitable in view of better thermal conductivity.
  • the frame portion of the plates is well thicker, in the order of 0.750 mm.
  • the mutual distance of the plates is in the order of 0.5 to a few mm. It should be noted that in Fig. 4 the dimensions in the longitudinal axis (z-axis parallel to the optical axis of the apparatus) are not to scale.
  • Fig. 4 shows a cross section detail of the membrane portions of the plates 22 of Fig. 3 ; only the portion corresponding to the path of five beamlets out of a large number of beamlets in the PD field pf are shown.
  • the embodiment shown realizes a three-plate arrangement composed of three plates 201, 202, 203, of which the second plate 202 realizes an aperture array means in the meaning of the present invention while either of the first and third plates 201, 203 serve as opening array means according to the invention.
  • the first plate 201 is a cover plate having a set of openings 210 defining beamlets of a provisional shape (provisional beamlets).
  • provisional beamlets a provisional shape
  • the cover plate 201 absorbs much of the energy of the beam so as to protect the further plates from the possibly adverse effects of the impinging radiation.
  • the second plate is an aperture array means realized as an aperture plate 202 having a multiple sets of apertures 221, 222 as further explained below.
  • the provisional beamlets permeate certain of the apertures 221 of plate 202, and thus are formed into a corresponding number of beamlets b1, b2, b3, b4, b5.
  • the openings 210 in the cover plate have a width which is larger than the apertures 221, 222.
  • the third plate 203 of the PD system 200 is a deflection array plate, usually called blanking plate. It has a set of openings 230 whose positions correspond to the path of beamlets b1-b5 as pre-determined by the cover plate 201; but the openings 230 have widths that are greater than those of the apertures 221, 222 (in other words, the openings 230 are larger) so the beamlets pass through the former without affecting the blanking plate material.
  • Each of the openings 230 is provided with electrodes 231, 232 so as to be able impart a small but sufficient deflection to the corresponding beamlet, depending on an electric voltage selectively applied between each pair of electrodes 231, 232; for instance, one electrode 231 is held at a ground potential and serves as counter electrode, while the other electrode 232 serves as active electrode which is connected with a circuit layer of the blanking plate 203 for applying a electric potential for deflecting the selected beamlet(s) b1. Each beamlet can thus be deflected individually.
  • the blanking plate also comprises the circuitry for electronic controlling and electric supply of the electrodes. Further details of a PD device, including details of the circuitry of a blanking plate, are discussed in US 6,768,125 , US 2009/0200495 A1 , as well as in US 2008/0237460 A1 of the assignee/ applicant.
  • Each beamlet b1-b5 transgresses the subsequent openings of the plates 22 along its nominal path provided the corresponding blanking electrodes 231, 232 are not energized; this corresponds to the "switched-on" state of the aperture.
  • a "switched-off" aperture is realized by energizing the electrodes, namely, applying a transverse voltage.
  • the corresponding blanking electrodes 231, 232 deflect the beamlet b2 off its nominal path so the beamlet is deflected to a (slightly but sufficiently) different path which eventually leads to some absorbing surface, preferably on a blocking aperture 17 located around of one of the crossovers c1, c2 ( Fig. 1 ).
  • the term 'aperture' when used in relation to the definition of a pattern to be produced on the target, is reserved to the openings of defined shape and width ( Fig. 6 ) as determined by the beamlet-defining apertures 221, 222 in the aperture plate.
  • the apertures 221, 222, and preferably the corresponding openings 230 in the blanking plate as well, are arranged in a systematic manner along defined grids.
  • Each grid is, for instance, a regular array forming staggered lines running parallel to a direction which corresponds to the relative movement of the images of the apertures over the target as described in the US 6,768,125 .
  • the offset between consecutive apertures is preferably a multiple of a grid width underlying the aperture arrangement, while the lines run immediately side by side such that the aperture image completely cover the target in the course of the scanning movement over the target.
  • the openings shown in Figs. 5 and 6 show realizations of such a staggered arrangement.
  • the apertures will be located on the points of a substantially regular two-dimensional lattice, but the lattice may additionally have small deviations from an exact regular lattice in order to account for possible distortions in the imaging system, so as to compensate such imaging errors by small deviations in the positions of the apertures and achieve an exact, compensated position of the respective aperture images on the target.
  • Fig. 5 shows a plan view on the portion of the PD device of Fig. 4 , as seen along the direction of the irradiating beam.
  • the major part of the surface visible is made up by the upper surface of the cover plate 201, displaying the regular array of openings 210 formed in the cover plate. Through each of the openings 210 the shape of the corresponding aperture 221 is visible while the blanking plate is competely hidden by the cover and aperture plates.
  • the line 4-4 depicts the line of section for the cross section shown in Fig. 4 .
  • the aperture plate comprises multiple sets of apertures, wherein each set of apertures can be selected to be imaged onto the target.
  • Fig. 6 shows a plan view detail of the portion of the aperture plate 202 corresponding to Fig. 5 , with the cover plate 201 removed.
  • the line 4-4 depicts the line of section for the cross section shown in Fig. 4 .
  • the aperture plate 202 has two interlacing grids of apertures, each referred to by reference numbers 221 and 222, wherein each grid is formed of a plurality of apertures of substantially the same shape arranged in a substantially regular array (possibly including small deviations for compensating imaging distortion as discussed more in detail below).
  • Either of the two sets of apertures 221 and 222 when taken alone, forms an aperture grid as known from prior art, but each has a different aperture shape, which allows different modes of exposure of the target.
  • the aperture plate 202 can be shifted by a displacement d12, effected by means of the actuation devices 241, 242, 243 ( Figs. 2 and 3 ), in order to activate the second grid of apertures 222 of the aperture plate 202.
  • This is in contrast to the position of apertures shown in Figs. 4 and 5 where the grid of apertures 221 is activated.
  • each grid of apertures 221, 222 can be shifted into a proper position by a simple lateral translation, so as to match with the corresponding opening grids in the cover and blanking plates.
  • Fig. 7 illustrates the positioning control of the aperture plate according to the invention.
  • the positioning process of the aperture plate 202 is achieved by means of a position control loop as shown, formed by a Faraday cup 15, a current detection system 18, a computer or other processor device serving a positioning controller 19, an actuation controller 20 feeding the individual actuators, here symbolized by the reference symbol dxy, the aperture plate 202, and the imaging of the apertures within the particle-beam apparatus itself.
  • the Faraday cup 15 is moved below the optical column of the particle-beam apparatus for the duration of the positioning process, in place of the target 14 that is otherwise exposed. It measures the total amount of beam current I D that is transferred to the target stage.
  • the beam current I D depends on the positioning of the apertures relative to the openings of the other plates 22 in the PD system, and generally will exhibit a maximum at proper alignment.
  • the positioning controller 19 records the beam current as a function of the position - in terms of lateral shifts in X and Y directions and rotation Rz within the XY plane - and uses the in-situ current feedback to optimize the position of the aperture plate 202 with respect to the position of the other plates 22.
  • the actuation controller 20 serves as interface converting the control signals from the controller 20 into signals (e.g. voltages) suitable for driving the actuation devices 241, 242, 243 ( Fig. 2 ).
  • the positioning process can be as follows.
  • a coarse position is set which matches the grid position of the desired aperture shape.
  • the coarse position may be pre-programmed or a recorded position from a previous positioning process, and may achieve a positioning accuracy of, for instance ⁇ 50 ⁇ m.
  • the aperture plate is mechanically scanned within a range in the XY plane of the mentioned positioning accuracy, with a pre-defined step width, for instance by 2 to 4 ⁇ m (typical beam size) and angles of 0.5 mrad; the current I D is recorded during this scan, which will produce a "current map" as function of the position and rotation parameters X, Y, Rz. A maximum of the current in this current map is then determined, using a suitable interpolation technique.
  • the steps of scanning and evaluating of the current map can be iterated in a sub-range determined to contain the maximum, with a decreased scan step width, e.g. below the ⁇ m range, such as 0.5 ⁇ m.
  • the aperture plate is moved to this position. The Faraday cup 15 is removed and an exposure process with the aperture grid thus selected can be started.
  • the positioning process can be done by optical alignment within the PD device, for instance using a sequence of reference marks 26 or alignment openings 236 formed in the consecutive plates 22, using also a light source and a light sensor arranged at opposite sides (i.e., above and below the device in Fig. 3 ) and controlling the alignment by measuring the amount of light that passes through the sequence of openings.
  • the relevant opening 26, 236 used in the aperture plate 202 will be duplicated with the individual instances of the opening offset to each other in the same manner as the sets or apertures.
  • chucks 23 need be provided with actuation devices for positioning of the respective plates 22.
  • the actuators are optional, provided that the positioning of the aperture array plate can be adjusted relative to the other plates.
  • the plate 201 or the plate 203 may be held by a chuck that is mounted fixed within the PD system 102.
  • Fig. 8 shows a variant of a PD system configuration 810 having only two plates, namely an aperture plate 812 and a blanking plate 813.
  • the aperture plate 812 forms the beamlets directly from the beam lb impinging on it.
  • the selection of the beamlets is made by control of the positioning between the aperture and blanking plates 812, 813. Only the beamlets corresponding to apertures selected in this way (shown as long dotted arrow lines) can pass the blanking plate 813, while the other beamlets (shown as small dotted arrow lines ending just above the plate 813) are absorbed, or stopped, on the surface of the blanking plate 813 that is oriented towards the incoming beamlets.
  • the blanking plate 813 in this configuration is preferably oriented such that the electrodes and circuitry is located away from the incoming beam (i.e., on the lower side of plate 813 in Fig. 8 ). In the other respects this configuration has equivalent features to that of Figs. 4 to 6 .
  • the configuration could also be inverted, with the blanking plate disposed in front (i.e., upstream) of the aperture plate, as seen along the direction of the beam. Due to the undesired effect of beam irradiation and of radiation scattering, a configuration will generally be preferred with the blanking plate being not the initial plate.
  • Fig. 9 shows another variant configuration 820, which is provided with a third plate 824 disposed between the aperture plate 812 and the blanking plate 823.
  • the plate 824 serves as 'beam selection plate' which lets pass only the selected beamlets but stops the other beamlets, whereas the blanking plate 823 is now free of direct contact with the radiation and its possibly harmful effects.
  • the beam selection plate 824 which itself can be replaced easily, thus helps to enhance the lifetime of the blanking plate, which is advantageous since the latter contains a delicate structure of logics circuitry and electrodes.
  • this configuration has equivalent features to that of Figs. 4 to 6 and, in particular, Fig. 8 .
  • Fig. 10 shows an aperture plate 832 having three grids with apertures 931, 932, 933 of different size and shape.
  • the displacements d12, d13 between these grids may be along different directions, for instance along the orthogonal X and Y directions of the object plane of the optical system.
  • the notation used here for the displacement is the vector to replace the first set of apertures by another set; for instance d12 denotes the displacement which will replace the first set of apertures 931 by the second set 932.
  • the position of the first set is (arbitrarily) taken as reference.
  • d12 or d13 - one of the grids 931, 932, 933 is activated as described by the methods above.
  • Fig. 11 illustrates another advantageous aspect of the invention, the implementation of pattern fields of different sizes.
  • Fig. 11 shows the left upper corner of a pattern field of an aperture plate 842. While a first grid of aperture 941 covers a first pattern field pf1, which for instance extends over the entire range of the membrane portion, a second grid of apertures 942 has a reduced extension of a second pattern field pf2. The total number of apertures in the second pattern field is likewise reduced since the basic mutual arrangement of apertures within a grid is the same (so as to maintain the correspondence with the openings in the other plates). The size and shape of the apertures in the smaller grid may be different or the same as those in the larger grid.
  • Fig. 12 illustrates a further advantageous aspect, wherein the location of the apertures are shifted by small amounts within the grids so as to compensate imaging errors.
  • These distributions of fine placement may also be vary in different ways for different grids.
  • the apertures 951 of one grid may have little or no deviation from the basic regular arrangement, while the apertures 952 of another grid have such deviations realizing a fine placement to introduce, for instance, a pincushion-like distortion which can compensate a barrel-like distortion of the optical projection system 103.
  • the extent of the fine placement deviation (which are depicted exaggerated in Fig. 12 ) is limited by the size of the respective corresponding opening in the other plates of the PD device.
  • the grids may also have different grid sizes and/or different size/shape of the apertures within each grid; but, if suitable, the grid size and/or the aperture shapes may be the same, as deemed suitable in the individual case.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electron Beam Exposure (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Claims (13)

  1. Dispositif de définition de motif à faisceaux multiples (102) pour l'utilisation dans un appareil de traitement ou d'inspection à faisceaux multiples (100), ledit dispositif étant adapté pour être irradié avec un faisceau (lp, bp) de particules chargées électriquement et permettre le passage du faisceau à travers une pluralité de fenêtres formant ainsi un nombre correspondant de petits faisceaux, ledit dispositif comprenant :
    - un moyen à réseau de fenêtres (202, 812, 822, 832, 842, 852) dans lequel lesdites fenêtres sont réalisées, dans lequel le moyen à réseau de fenêtres comprend au moins deux jeux de fenêtres (221, 222 ; 931, 932, 933), chaque jeu de fenêtres comprenant une pluralité de fenêtres agencées en un agencement sensiblement régulier sur le moyen à réseau de fenêtres, les agencements desdits jeux s'entrelaçant au moins partiellement, dans lequel les fenêtres de différents jeux sont, au moins dans une région où les agencements s'entrelacent, décalés les unes par rapport aux autres selon des déplacements qui correspondent à un vecteur de déplacement commun (d12, d13),
    - un moyen à réseau d'ouvertures (201, 813, 824) comportant une pluralité d'ouvertures (210) configurées pour le passage d'au moins un sous-jeu de petits faisceaux qui sont formés par lesdites fenêtres,
    dans lequel, dans au moins une région correspondant à ladite région d'entrelacement d'agencements, le moyen à réseau d'ouvertures comprend une pluralité d'ouvertures agencées en un agencement sensiblement régulier, ledit agencement correspondant à l'agencement d'un desdits jeux de fenêtres dans ladite région et ne comportant pas d'ouvertures à des emplacements correspondant à des fenêtres du ou des autre(s) jeu(s) de fenêtres, et
    - un moyen de positionnement pour positionner au moins un du moyen à réseau de fenêtres et du moyen à réseau d'ouvertures pour ajuster la position relative du moyen à réseau de fenêtres par rapport au moyen à réseau d'ouvertures, ledit moyen de positionnement étant configuré pour mettre sélectivement un jeu sélectionné des jeux de fenêtres dans le moyen à réseau de fenêtres en alignement avec la pluralité d'ouvertures dans le moyen à réseau d'ouvertures dans au moins ladite région d'entrelacement d'agencements.
  2. Dispositif de la revendication 1, comprenant en outre un moyen à réseau de déflexion (203, 813, 823) comportant une pluralité d'ouvertures à occultation (230) situées de sorte que chacun des petits faisceaux traverse une des ouvertures à occultation le long d'un trajet nominal, le moyen à réseau de déflexion comprenant une pluralité d'électrodes déflectrices électrostatiques (232), dont chacune est associée avec une ouverture à occultation et est configurée pour défléchir un petit faisceau traversant l'ouverture à occultation respective selon une quantité suffisante pour défléchir le petit faisceau à partir de son trajet nominal lorsqu'une tension électrique d'activation est appliquée sur l'électrode respective.
  3. Dispositif de la revendication 2, comprenant au moins un moyen à réseau d'ouvertures (201, 824) qui est séparé du moyen à réseau de déflexion.
  4. Dispositif de la revendication 2, dans lequel le moyen à réseau de déflexion (813) est configuré comme un moyen à réseau d'ouvertures.
  5. Dispositif de la revendication 4, dans lequel lesdites électrodes déflectrices (232) sont situées sur un côté du moyen à réseau de déflexion orienté à l'opposé du faisceau arrivant.
  6. Dispositif selon une quelconque des revendications susmentionnées, dans lequel les jeux de fenêtres s'étendent sur des zones différentes mais se chevauchant sur le moyen à réseau de fenêtres, de préférence des zones augmentant consécutivement.
  7. Dispositif selon une quelconque des revendications susmentionnées, dans lequel les fenêtres à l'intérieur de chaque jeu présentent une forme et une taille équivalentes, alors que les fenêtres de différents jeux diffèrent en au moins une de leur taille et leur forme.
  8. Dispositif selon une quelconque des revendications susmentionnées, dans lequel le moyen à réseau de fenêtres et l'au moins un moyen à réseau d'ouvertures sont réalisés sous forme de dispositifs similaires à des plaques orientés de façon sensiblement perpendiculaire au faisceau, et comportant une portion à membrane conçue pour être disposée dans le trajet du faisceau.
  9. Dispositif selon une quelconque des revendications susmentionnées, dans lequel le moyen de positionnement est configuré pour ajuster la position du moyen à réseau de fenêtres seulement, alors que le moyen à réseau d'ouvertures est fixé à l'intérieur du dispositif.
  10. Dispositif selon une quelconque des revendications susmentionnées, dans lequel les positions des fenêtres dans au moins un des jeux de fenêtres s'écartent de la position exacte d'un treillis rectangulaire ou oblique selon des déplacements à placement précis, lesdits déplacements à placement précis étant conçus pour corriger des erreurs d'imagerie d'un système de projection mis en fonctionnement conjointement avec le dispositif, dans lequel la position de chaque fenêtre, y compris son déplacement à placement précis, se trouve au sein de la région définie par une projection de l'ouverture correspondante du moyen à réseau d'ouvertures sur le moyen à réseau de fenêtres, ladite projection étant le long de la direction du faisceau dans la condition dans laquelle les jeux respectifs de fenêtres dans le moyen à réseau de fenêtres sont en alignement avec la pluralité d'ouvertures dans le moyen à réseau d'ouvertures.
  11. Dispositif selon une quelconque des revendications susmentionnées, dans lequel le moyen à réseau de fenêtres comprend plusieurs sous-régions avec des fenêtres, et le moyen de positionnement est conçu pour fournir une plage de décalage suffisante pour déplacer le moyen à réseau de fenêtres vers lesdites différentes sous-régions.
  12. Procédé pour l'alignement dans un dispositif selon une quelconque des revendications précédentes, à savoir un alignement entre un des jeux de fenêtres et les ouvertures dans le moyen à réseau d'ouvertures, comprenant les étapes de
    l'irradiation du dispositif avec un faisceau de particules chargées,
    la mesure du courant qui est transmis à travers le dispositif en fonction de positionnement relatif varié du moyen à réseau de fenêtres et du moyen à réseau d'ouvertures en termes de paramètres de positionnement,
    la détermination des valeurs des paramètres de positionnement d'une valeur maximum du courant transmis, et
    l'ajustement du positionnement du moyen à réseau de fenêtres par rapport au moyen à réseau d'ouvertures selon lesdites valeurs des paramètres de positionnement.
  13. Procédé pour inscription à faisceaux multiples dans un appareil de traitement ou d'inspection à faisceaux multiples (100) comportant un dispositif de définition de motif à faisceaux multiples (102) selon une quelconque des revendications 1 à 10, comprenant les étapes de
    l'inscription d'un premier motif sur une région de surface sur une cible en utilisant le dispositif de définition de motif à faisceaux multiples, un premier jeu de fenêtres dans le moyen à réseau de fenêtres étant en alignement avec les ouvertures dans le moyen à réseau d'ouvertures,
    l'ajustement du positionnement du moyen à réseau de fenêtres afin de mettre un second jeu de fenêtres dans le moyen à réseau de fenêtres en alignement avec les ouvertures dans le moyen à réseau d'ouvertures, et
    l'inscription d'un second motif sur ladite région de surface sur la cible.
EP10015402.0A 2010-02-22 2010-12-07 Dispositif de définition de structure avec plusieurs arrangement à faisceaux multiples Active EP2317535B1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP10015402.0A EP2317535B1 (fr) 2010-02-22 2010-12-07 Dispositif de définition de structure avec plusieurs arrangement à faisceaux multiples

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP10450027 2010-02-22
EP10015402.0A EP2317535B1 (fr) 2010-02-22 2010-12-07 Dispositif de définition de structure avec plusieurs arrangement à faisceaux multiples

Publications (3)

Publication Number Publication Date
EP2317535A2 EP2317535A2 (fr) 2011-05-04
EP2317535A3 EP2317535A3 (fr) 2014-07-16
EP2317535B1 true EP2317535B1 (fr) 2015-03-25

Family

ID=43736264

Family Applications (1)

Application Number Title Priority Date Filing Date
EP10015402.0A Active EP2317535B1 (fr) 2010-02-22 2010-12-07 Dispositif de définition de structure avec plusieurs arrangement à faisceaux multiples

Country Status (3)

Country Link
US (1) US8546767B2 (fr)
EP (1) EP2317535B1 (fr)
JP (1) JP5688638B2 (fr)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103238202B (zh) * 2010-09-28 2016-11-09 以色列实用材料有限公司 粒子光学***及布置,以及用于这种***及布置的粒子光学组件
NL2006868C2 (en) * 2011-05-30 2012-12-03 Mapper Lithography Ip Bv Charged particle multi-beamlet apparatus.
NL2008174C2 (en) * 2012-01-24 2013-08-21 Mapper Lithography Ip Bv Device for spot size measurement at wafer level using a knife edge and a method for manufacturing such a device.
EP2757571B1 (fr) * 2013-01-17 2017-09-20 IMS Nanofabrication AG Dispositif d'isolation haute tension pour appareil optique à particules chargées
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (fr) 2013-07-25 2016-05-04 IMS Nanofabrication AG Procédé pour l'exposition multi-faisceaux à particules chargées
US20150069260A1 (en) 2013-09-11 2015-03-12 Ims Nanofabrication Ag Charged-particle multi-beam apparatus having correction plate
EP2913838B1 (fr) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation de mini-faisceaux défectueux dans un outil d'exposition à faisceaux multiples de particules chargées
US9443699B2 (en) 2014-04-25 2016-09-13 Ims Nanofabrication Ag Multi-beam tool for cutting patterns
US20150311031A1 (en) 2014-04-25 2015-10-29 Ims Nanofabrication Ag Multi-Beam Tool for Cutting Patterns
EP2950325B1 (fr) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation de l'inhomogénéité de dose au moyen de points d'exposition se chevauchant
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
EP2993684B1 (fr) 2014-09-05 2017-03-08 IMS Nanofabrication AG Correction de dislocations à courte portée dans un graveur à faisceaux multiples
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
JP6442295B2 (ja) * 2015-01-19 2018-12-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム像の回転角測定方法、マルチ荷電粒子ビーム像の回転角調整方法、及びマルチ荷電粒子ビーム描画装置
US10008364B2 (en) 2015-02-27 2018-06-26 Kla-Tencor Corporation Alignment of multi-beam patterning tool
US9653263B2 (en) * 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3070528B1 (fr) * 2015-03-17 2017-11-01 IMS Nanofabrication AG Écriture multi-faisceaux de zones structurées de dimensions critiques relaxées
EP3096342B1 (fr) 2015-03-18 2017-09-20 IMS Nanofabrication AG Écriture multi-faisceaux à double passage bidirectionnel
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
EP3093869B1 (fr) 2015-05-12 2018-10-03 IMS Nanofabrication GmbH Écriture multifaisceau a l'aide de bandes d'exposition inclinées
JP6593090B2 (ja) * 2015-10-20 2019-10-23 株式会社ニューフレアテクノロジー 支持ケース及びマルチ荷電粒子ビーム描画装置
WO2017171796A1 (fr) * 2016-03-31 2017-10-05 Intel Corporation Modulation de la taille d'une ouverture pour améliorer la résolution de la formation de motifs par faisceau d'électrons
JP6709109B2 (ja) * 2016-05-31 2020-06-10 株式会社ニューフレアテクノロジー マルチ荷電粒子ビームのブランキング装置及びマルチ荷電粒子ビーム照射装置
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6834817B2 (ja) * 2016-08-08 2021-02-24 株式会社ニューフレアテクノロジー マルチビーム検査用アパーチャ、マルチビーム用ビーム検査装置、及びマルチ荷電粒子ビーム描画装置
JP6720861B2 (ja) 2016-12-28 2020-07-08 株式会社ニューフレアテクノロジー マルチビーム用アパーチャセット及びマルチ荷電粒子ビーム描画装置
EP3355337B8 (fr) 2017-01-27 2024-04-10 IMS Nanofabrication GmbH Quantification de dosage avancée pour dispositifs d'écriture multi-faisceaux
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10347460B2 (en) 2017-03-01 2019-07-09 Dongfang Jingyuan Electron Limited Patterned substrate imaging using multiple electron beams
JP6772962B2 (ja) * 2017-06-02 2020-10-21 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP6957998B2 (ja) * 2017-06-07 2021-11-02 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム調整方法
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US20190066972A1 (en) * 2017-08-29 2019-02-28 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, aperture arrangement for a charged particle beam device, and method for operating a charged particle beam device
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
JP6930431B2 (ja) * 2018-01-10 2021-09-01 株式会社ニューフレアテクノロジー アパーチャのアライメント方法及びマルチ荷電粒子ビーム描画装置
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7016309B2 (ja) * 2018-09-19 2022-02-04 東芝デバイス&ストレージ株式会社 半導体装置
DE102018124223A1 (de) * 2018-10-01 2020-04-02 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenstrahlsystem
JP7189794B2 (ja) * 2019-02-12 2022-12-14 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP7275647B2 (ja) * 2019-02-27 2023-05-18 株式会社ニューフレアテクノロジー マルチビーム用アパーチャ基板セット及びマルチ荷電粒子ビーム装置
JP2020178055A (ja) 2019-04-19 2020-10-29 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置
JP2020181902A (ja) 2019-04-25 2020-11-05 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210099516A (ko) 2020-02-03 2021-08-12 아이엠에스 나노패브릭케이션 게엠베하 멀티―빔 라이터의 블러 변화 보정
EP4115438A1 (fr) * 2020-03-05 2023-01-11 ASML Netherlands B.V. Optimiseur de géométrie de réseau de faisceaux pour système d'inspection à faisceaux multiples
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
CN116325069A (zh) * 2020-09-03 2023-06-23 Asml荷兰有限公司 多束带电粒子柱
EP3982392A1 (fr) * 2020-10-08 2022-04-13 ASML Netherlands B.V. Colonne de particules chargées à plusieurs faisceaux
JP7455720B2 (ja) 2020-09-29 2024-03-26 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム照射装置およびマルチ荷電粒子ビーム照射方法
US20230052445A1 (en) 2021-08-12 2023-02-16 Ims Nanofabrication Gmbh Beam Pattern Device Having Beam Absorber Structure
JP2023165626A (ja) 2022-05-04 2023-11-16 アイエムエス ナノファブリケーション ゲーエムベーハー マルチビームパターン規定装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3299632B2 (ja) * 1994-06-24 2002-07-08 株式会社日立製作所 電子線描画装置
JP2002118060A (ja) * 2000-07-27 2002-04-19 Toshiba Corp 荷電ビーム露光装置、荷電ビーム露光方法、露光データ作成方法、露光データを作成するプログラムを記録したコンピュータ読取り可能な記録媒体、及び、露光データを記録したコンピュータ読取り可能な記録媒体
US6750455B2 (en) * 2001-07-02 2004-06-15 Applied Materials, Inc. Method and apparatus for multiple charged particle beams
US6768125B2 (en) * 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
JP4794444B2 (ja) * 2003-09-05 2011-10-19 カール・ツァイス・エスエムティー・ゲーエムベーハー 粒子光学システム及び装置、並びに、かかるシステム及び装置用の粒子光学部品
GB2408383B (en) * 2003-10-28 2006-05-10 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
JP4477434B2 (ja) * 2004-06-29 2010-06-09 キヤノン株式会社 荷電粒子線露光装置およびデバイス製造方法
GB2435348B (en) * 2004-11-17 2009-06-24 Ims Nanofabrication Ag Pattern lock system for particle-beam exposure apparatus
US7598594B2 (en) * 2004-12-20 2009-10-06 Electronics And Telecommunications Research Institute Wafer-scale microcolumn array using low temperature co-fired ceramic substrate
WO2007112465A1 (fr) * 2006-04-03 2007-10-11 Ims Nanofabrication Ag Appareil d'exposition à un faisceau de particules avec modulation complète d'un faisceau mis en forme
NL2001369C2 (nl) * 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
NL2003304C2 (en) * 2008-08-07 2010-09-14 Ims Nanofabrication Ag Compensation of dose inhomogeneity and image distortion.
CN103238202B (zh) * 2010-09-28 2016-11-09 以色列实用材料有限公司 粒子光学***及布置,以及用于这种***及布置的粒子光学组件

Also Published As

Publication number Publication date
EP2317535A3 (fr) 2014-07-16
JP2011171713A (ja) 2011-09-01
US20110204253A1 (en) 2011-08-25
EP2317535A2 (fr) 2011-05-04
US8546767B2 (en) 2013-10-01
JP5688638B2 (ja) 2015-03-25

Similar Documents

Publication Publication Date Title
EP2317535B1 (fr) Dispositif de définition de structure avec plusieurs arrangement à faisceaux multiples
US9099277B2 (en) Pattern definition device having multiple blanking arrays
US8378320B2 (en) Method for multi-beam exposure on a target
US8258488B2 (en) Compensation of dose inhomogeneity and image distortion
US9053906B2 (en) Method for charged-particle multi-beam exposure
US7084411B2 (en) Pattern-definition device for maskless particle-beam exposure apparatus
EP2854154B1 (fr) Appareil à faisceaux multiples de particules chargées doté d'une plaque de filtration
US7714298B2 (en) Pattern definition device having distinct counter-electrode array plate
US8278635B2 (en) Global point spreading function in multi-beam patterning
US7763851B2 (en) Particle-beam apparatus with improved wien-type filter
KR20110030466A (ko) 이미징 시스템
KR102468349B1 (ko) 멀티 빔용 애퍼처 기판 세트 및 멀티 하전 입자 빔 장치
US20230360880A1 (en) Multi-Beam Pattern Definition Device
US20230052445A1 (en) Beam Pattern Device Having Beam Absorber Structure

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

RIC1 Information provided on ipc code assigned before grant

Ipc: H01J 37/28 20060101ALI20140606BHEP

Ipc: H01J 37/04 20060101AFI20140606BHEP

Ipc: H01J 37/317 20060101ALI20140606BHEP

17P Request for examination filed

Effective date: 20140619

RBV Designated contracting states (corrected)

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

INTG Intention to grant announced

Effective date: 20140905

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

INTG Intention to grant announced

Effective date: 20141202

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 602010023319

Country of ref document: DE

Effective date: 20150507

REG Reference to a national code

Ref country code: AT

Ref legal event code: REF

Ref document number: 718297

Country of ref document: AT

Kind code of ref document: T

Effective date: 20150515

REG Reference to a national code

Ref country code: NL

Ref legal event code: T3

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: NO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150625

Ref country code: HR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

REG Reference to a national code

Ref country code: AT

Ref legal event code: MK05

Ref document number: 718297

Country of ref document: AT

Kind code of ref document: T

Effective date: 20150325

REG Reference to a national code

Ref country code: LT

Ref legal event code: MG4D

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: RS

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150626

Ref country code: LV

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: EE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150727

Ref country code: RO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: CZ

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: SK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: PL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: IS

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150725

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 602010023319

Country of ref document: DE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20160105

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20151231

Ref country code: SI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MC

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: LU

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20151207

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20151207

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20160831

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20151231

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20151207

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20151207

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20151231

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20151231

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: HU

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT; INVALID AB INITIO

Effective date: 20101207

Ref country code: BG

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: SM

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

Ref country code: TR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

REG Reference to a national code

Ref country code: DE

Ref legal event code: R082

Ref document number: 602010023319

Country of ref document: DE

Representative=s name: WITZANY, MANFRED, DIPL.-PHYS. DR.RER.NAT., DE

Ref country code: DE

Ref legal event code: R081

Ref document number: 602010023319

Country of ref document: DE

Owner name: IMS NANOFABRICATION GMBH, AT

Free format text: FORMER OWNER: IMS NANOFABRICATION AG, WIEN, AT

REG Reference to a national code

Ref country code: NL

Ref legal event code: PD

Owner name: IMS NANOFABRICATION GMBH; AT

Free format text: DETAILS ASSIGNMENT: CHANGE OF OWNER(S), CHANGE OF LEGAL ENTITY; FORMER OWNER NAME: IMS NANOFABRICATION AG

Effective date: 20171214

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: AL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20150325

P01 Opt-out of the competence of the unified patent court (upc) registered

Effective date: 20230531

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: NL

Payment date: 20231220

Year of fee payment: 14

Ref country code: DE

Payment date: 20231214

Year of fee payment: 14

REG Reference to a national code

Ref country code: DE

Ref legal event code: R081

Ref document number: 602010023319

Country of ref document: DE

Owner name: IMS NANOFABRICATION GMBH, AT

Free format text: FORMER OWNER: IMS NANOFABRICATION GMBH, WIEN, AT