DE69937807T2 - Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung - Google Patents

Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung Download PDF

Info

Publication number
DE69937807T2
DE69937807T2 DE69937807T DE69937807T DE69937807T2 DE 69937807 T2 DE69937807 T2 DE 69937807T2 DE 69937807 T DE69937807 T DE 69937807T DE 69937807 T DE69937807 T DE 69937807T DE 69937807 T2 DE69937807 T2 DE 69937807T2
Authority
DE
Germany
Prior art keywords
plasma
substrate
layer
chamber
reducing agent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69937807T
Other languages
English (en)
Other versions
DE69937807D1 (de
Inventor
Sudha San Jose RATHI
Ping Cupertino XU
Judy Los Gatos HUANG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69937807D1 publication Critical patent/DE69937807D1/de
Publication of DE69937807T2 publication Critical patent/DE69937807T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

  • HINTERGRUND DER ERFINDUNG Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich insgesamt auf die Herstellung von integrierten Schaltungen auf Substraten. Insbesondere bezieht sich die Erfindung auf ein Verfahren zum Reduzieren von Oxiden auf einem Substrat vor der Abscheidung bei dem Herstellungsprozess.
  • Hintergrund der Erfindung
  • Eine zuverlässige Fertigung von Strukturen mit einem halben Mikron und kleiner ist eine der Schlüsseltechnologien für die nächste Generation von integrierten Schaltungen mit sehr hohem Integrationsgrad (VLSI – Very Large Scale Integration) und ultrahohem Integrationsgrad (VLSI – Ultra Large Scale Integration). Da die Grenzen der Schaltungstechnologie zusammengedrängt sind, haben die schrumpfenden Abmessungen von Zwischenverbindungen in der VLSI- und ULSI-Technologie zusätzliche Forderungen an die Verarbeitungsfähigkeiten gestellt. Die Vielniveau-Zwischenverbindungen, die im Herzen dieser Technologie liegen, erfordern ein sorgfältiges Herstellen von Strukturen mit hohem Seitenverhältnis, wie Kontaktlöcher und andere Zwischenverbindungen. Die zuverlässige Ausbildung dieser Zwischenverbindungen ist für den Erfolg von VLSI und ULSI und für die fortgesetzte Anstrengung von großer Bedeutung, die Schaltungsdichte und die Qualität einzelner Substrate und Chips zu steigern.
  • Zum Abscheiden von elektrisch leitendem Material in die Kontakte, Kontaktlöcher, Leiter oder andere auf dem Substrat gebildete Strukturen verwendet man die Techniken der herkömmlichen chemischen Gasphasenabscheidung (CVD – Chemical Vapor Deposition) und der physikalischen Gasphasenabscheidung (PVD – Physical Vapor Deposition) und neuerdings des Elektroplattierens. Eine beträchtliche Anstrengung wurde auf ein zuverlässiges Ab scheiden von Material in diesen ein hohes Seitenverhältnis aufweisenden kleineren Zwischenverbindungen gerichtet.
  • Ein Problem, das noch verbessert werden muss, wenn die Strukturgrößen schrumpfen, ist die Reduktion von Oxiden in diesen sehr kleinen Strukturen. 1 zeigt ein Substrat 2 mit einem Kontaktloch 4, das in einer elektrisch isolierenden oder dielektrischen Schicht 6 ausgebildet ist. Mit der gegenwärtigen Technologie wurde das Seitenverhältnis auf etwa 5:1 für das Verhältnis von Höhe zu Breite gesteigert, das in 1 als x bezogen auf d gezeigt ist. Als Folge wird es schwieriger, die Oberflächen in den kleinen Strukturen für die spätere Bearbeitung geeignet vorzubereiten, insbesondere in den unteren Zwischenverbindungsabschnitten, beispielsweise den Zwischenverbindungsbereichen 8, 9.
  • Teilweise erfolgt dieses Zuwenden zu einem verbesserten Reinigen aufgrund einer gewünschten Änderung in dem Leitermetall. Beispielsweise betrachtet man heutzutage Kupfer als Zwischenverbindungsmaterial anstelle von Aluminium, da Kupfer einen geringeren spezifischen Widerstand (1,7 μΩ-cm verglichen mit 3,1 μΩ-cm für Aluminium) und eine höhere Stromleitfähigkeit hat. Kupfer ist jedoch gegenüber einer Oxidation hoch empfindlich. Bei Kupferabscheidungen wird eine Oxidation als schädlich angesehen. Sie stört die Haftung an der angrenzenden Schicht, beeinträchtigt die Leitfähigkeit der Kupferstruktur und verringert die Zuverlässigkeit der Gesamtschaltung. Gegenwärtige Prozesse verwenden außerdem Sauerstoff aus einer Vielzahl von Gründen in den einen Fällen, und in anderen Fällen ist Sauerstoff ein Nebenprodukt der Reaktionsteilnehmer. Somit können auch sorgfältig überwachte Umgebungen Sauerstoff enthalten, in denen Kupfer oder andere leitende Materialien, wie Aluminium, zum Schaden der Schaltung oxidieren können.
  • Kupfer hat weitere Schwierigkeiten. Da Kupfer schwierig in einem genauen Muster zu ätzen ist, können herkömmlich Abscheidungs-Ätz/Prozesse zur Bildung von Zwischenverbindungen nicht eingesetzt, so dass eine „Doppeldamaszen"-Struktur für Kupferzwischenverbindungen verwendet wird. Bei einer typischen Doppeldamaszen-Struktur wird die dielektrische Schicht geätzt, um sowohl die Kontakte/Kontaktlöcher als auch die Zwischenverbindungsleiter zu bilden. Dann wird in das gebildete Muster Metall eingelegt und überschüssiges Metall gewöhnlich von der Oberseite der Struktur in einem Planarisierungsverfahren, beispielsweise CMP (chemisches mechanisches Polieren) entfernt. Diese komplexe Maßnahme erhöht die Wichtigkeit, sauber gereinigte Oberflächen in den Zwischenverbindungen zu erhalten.
  • Zeitlich vor der vorliegenden Erfindung hat ein Inertgasplasma, beispielsweise Argon-(Ar-)Plasma die Oberflächen von Zwischenverbindungen und Metallschichten, wie Aluminium und Kupfer, physikalisch gereinigt, da Ionen an Substratoberflächen angezogen wurden, um die Oberfläche physikalisch zu bombardieren und um die Oberfläche der obersten Schicht zu entfernen. Die Ar-Ionen in dem Plasma hängen jedoch von der Direktionalität bei der Reinigung und bei den abnehmenden Größen der Zwischenverbindungen, der Steigerung der Seitenverhältnisse und der daraus resultierenden Abschattung, die eintreten können, ab. Dieser Prozess ist beim Entfernen von Oxiden in den kleinen Strukturen nicht wirksam.
  • Es besteht deshalb ein Bedürfnis für einen verbesserten Reinigungsprozess, um Oxide, die auf der Oberfläche von Substraten und darauf abgeschiedenen Materialien gebildet werden, zu reduzieren.
  • Die JP 04 072724 A bezieht sich auf einen Trockenätzprozess. Die EP-A-0684671 bezieht sich auf ein Verfahren zur Herstellung und Passivierung von Endspiegeln eines Halbleiterlasers mit hoher Emissionsleistung.
  • Die vorliegende Erfindung stellt ein Verfahren zum Entfernen von Orden auf einem Substrat bereit, wobei bei dem Verfahren
    • a) ein Trägergas und ein Reduktionsmittel, das Stickstoff und Wasserstoff aufweist, in eine Kammer eingeführt werden,
    • b) ein Plasma gezündet wird, das im Wesentlichen aus dem Trägergas und dem Reduktionsmittel in der Kammer besteht,
    • c) dem Plasma des Reduktionsmittels ein Kupferoxid ausgesetzt wird und dann
    • d) eine dielektrische Schicht auf dem Substrat abgeschieden wird, ohne das Substrat einer plötzlich auftretenden Verunreinigungsumgebung auszusetzen, nachdem das Kupferoxid dem Plasma des Trägergases und des Reduktionsmittels ausgesetzt worden ist.
  • Das Reduktionsmittel weist eine Stickstoff und Wasserstoff enthaltende Verbindung, vorzugsweise Ammoniak auf.
  • Die Plasmaprozessparameter zur Reduzierung von Kupferoxid unter Verwendung von Ammoniak umfassen einen Druckbereich von etwa 0,13 bis etwa 1,20 Pa (etwa 1 bis etwa 9 mTorr), eine HF-Leistung von etwa 100 bis etwa 1000 W für einen Wafer von 200 mm für die Kammer bei einer Leistungsdichte von etwa 1,43 bis 14,3 W/cm2, eine Substrattemperatur von etwa 100°C bis etwa 450°C, einen Abstand zwischen Duschkopf und Substrat von etwa 5,1 bis etwa 15,2 mm (etwa 200 bis etwa 600 mils) und einen Reduktionsmitteldurchsatz von etwa 100 bis etwa 1000 sccm.
  • Zu einer beispielsweisen Prozesssequenz der Erfindung, beispielsweise für die Ausbildung einer Doppeldamaszen-Struktur, gehören das Abscheiden eines Dielektrikums auf einem Substrat, das Abscheiden eines Ätzunterbrechers, das Ätzen des Ätzunterbrechers, das Abscheiden einer Sperrschicht, das Abscheiden einer Metallschicht, das Zünden eines Reduktionsmittelplasmas, das Reduzieren von Oxiden, die sich auf wenigstens einer Teiloberfläche bilden können, mit dem Reduktionsmittel, wie Ammoniak, und das in-situ-Abscheiden einer Schicht, wie einer Nitridschicht, auf der reduzierten Oberfläche.
  • Kurzbeschreibung der Zeichnungen
  • Damit die Art und Weise, in der die vorstehend angegebenen Merkmale, Vorteile und Ziele der vorliegenden Erfindung erreichbar sind, im Einzelnen verstanden werden können, folgt eine nähere Beschreibung der Erfindung, die vorstehend kurz zusammengefasst ist, unter Bezug auf Ausführungsformen von ihr, die in den beiliegenden Zeichnungen dargestellt sind.
  • Zu erwähnen ist jedoch, dass die beiliegenden Zeichnungen nur typische Ausgestaltungen dieser Erfindung veranschaulichen und deshalb nicht als deren Umfang begrenzend angesehen werden sollen, da sie auch andere gleich wirksame Ausführungsformen zulassen kann.
  • 1 zeigt schematisch ein Mehrebenensubstrat mit Zwischenverbindungen.
  • 2 zeigt ein Ablaufdiagramm für ein Beispiel eines Abscheide-/Ätzprozesses unter Verwendung des Plasmareduktionsprozesses.
  • 3 ist ein Diagramm der dielektrischen Kompatibilität, das Sauerstoffniveaus zeigt, die bei einem Kupferwafer nach einem CMP-Prozess erhalten werden.
  • 4 ist ein Diagramm als Vergleich zu 4 und zeigt eine Verbesserung durch den Plasmareduktionsprozess nach der vorliegenden Erfindung mit verringerten Sauerstoffpegeln.
  • 5 zeigt eine Schnittansicht eines im Handel erhältlichen CVD-Plasmareaktors, in welchem der Plasmareduktionsprozess der vorliegenden Erfindung ausgeführt werden kann.
  • 6 zeigt eine Doppeldamaszen-Struktur mit einer Oxidschicht auf einem Leiter.
  • 7 zeigt eine Doppeldamaszen-Struktur mit einer auf dem gereinigten Leiter abgeschiedenen Schicht.
  • Nähere Beschreibung einer bevorzugten Ausführungsform
  • Die vorliegenden Erfindung stellt ein Verfahren zum Entfernen von Oxiden auf einem Substrat bereit, wobei bei dem Verfahren
    • a) ein Trägergas und ein Reduktionsmittelgas, das Stickstoff und Wasserstoff aufweist, in eine Kammer eingeführt werden,
    • b) ein Plasma gezündet wird, das im Wesentlichen aus dem Trägergas und dem Reduktionsmittel in der Kammer besteht,
    • c) dem Plasma des Reduktionsmittels ein Kupferoxid ausgesetzt wird, und dann
    • d) eine dielektrische Schicht auf dem Substrat abgeschieden wird, ohne das Substrat einer plötzlich auftretenden Verunreinigungsumgebung auszusetzen, nachdem das Kupferoxid dem Plasma des Trägergases und des Reduktionsmittels ausgesetzt worden ist.
  • Man geht davon aus, dass der Reduktionsprozess die Haftung der angrenzenden Schicht steigert, und den elektrischen Widerstand der kombinierten Schichten dadurch verringert, dass der Sauerstoffgehalt in der oxidierten Schicht abgesenkt ist. Diese nachteiligen Oxideffekte sollen wenigstens teilweise aufgrund des Kupferoxids, wie Cu2O, das die Mobilität des Metalls Kupfer (Cu) verringert, auftreten. Bei der bevorzugten Ausführung wird der Prozess in situ ausgeführt, um eine Neuverunreinigung vor dem Abscheiden einer darauf folgenden Schicht zu minimieren. Eine in-situ-Behandlung kann bei Kupfer aufgrund seiner schnellen Anfälligkeit gegen Oxidation besonders wichtig sein.
  • Bei der Herstellung von integrierten Schaltungen (IC) wird eine Metallschicht zu irgendeinem Zeitpunkt des Abscheidungsprozesses abgeschieden und besteht gewöhnlich aus Aluminium oder Kupfer. Da als leitendes Material Kupfer betrachtet wird, richtet sich ein Großteil der Erörterung auf Kupfer. Die vorliegende Erfindung kann jedoch für beliebige oxidierte Metallschichten wie Ti, TiN, Ta, TaN, Al und andere verwendet werden. Sie kann auch für andere Schichten verwendet werden, wozu Siliciumoxide gehören. Die vorliegende Erfindung kombiniert die chemische reaktive Reinigung eines Reduktionsmittels, beispielsweise einer Verbindung, die Stickstoff und Wasserstoff enthält, wozu Ammoniak gehört, mit dem physikalischen Bombardement von Ionen aus einem Plasma, so dass die Erfindung bei einer Vielzahl von Materialien verwendet werden kann, um die Reduktion von Verunreinigungen, wie Oxiden, zu bewirken. Obwohl Oxide in der Beschreibung erörtert werden, gehören auch andere Verunreinigungen in den Rahmen der Erfindung. Man geht davon aus, dass Stickstoff kombiniert mit Wasserstoff einen reduzierten Energiepegel ermöglicht, um die Wasserstoffbindungen aufzubrechen und ansonsten die Moleküle zu dissoziieren und das Reduktionsmittel zur Reinigung von Verunreinigungen effektiver zu nutzen.
  • Vor dem Abscheiden einer Schicht über dem Metall, beispielsweise ein Nitrid, wird das Metall nach der Lehre der vorliegenden Erfindung gereinigt. Der Ausdruck „Reinigen" bedeutet, dass er eine Reduktion eines Oxids oder anderer Verunreinigungen umfasst. Das Reinigen kann aufgrund des einer Sauerstoffquelle (wie Luft, zweiatomigen Sauerstoff oder in einer Molekülverbindung enthaltenen Sauerstoff) Ausgesetztseins erforderlich sein. Diese Reinigung findet in der gleichen CVD-Kammer oder in der Kammer für plasmaverstärkte chemische Gasphasenabscheidung (PECVD – Plasma Enhanced Chemical Vapor Deposition) statt, in der die darauf folgende Schicht in einem in-situ-Prozess abgeschieden wird. Der Ausdruck „in-situ" soll sich auf eine vorgegebene Kammer beziehen, beispielsweise eine Plasmakammer oder ein System, wie eine integrierte Gruppengerätanordnung, ohne dass das Material plötzlich auftretenden Verunreinigungsumgebungen ausgesetzt wird. Ein in-situ-Prozess minimiert gewöhnlich die Prozesszeit und mögliche Verunreinigungsstoffe verglichen mit einer Neuanordnung des Substrats in anderen Behandlungskammern oder Bereichen.
  • In einer Ausführungsform weist der Reduktionsprozess typischerweise das Einführen des Reduktionsmittels, wie Ammoniak, in eine Vakuumkammer und das Zünden eines Plasmas auf, wobei das Plasma das Ammoniak in einen angeregten Innenzustand versetzt. Die angeregten Ionen reagieren chemisch mit dem Oxid. Die Oxide werden nach der folgenden Gleichung entfernt: 3Cu2O + 2NH3 → 6Cu + 3H2O + N2
  • Das Plasma sorgt für die Energie, die erforderlich ist, das Ammoniak zu dissoziieren und für das gewünschte Ionenbombardement zu sorgen. Die ionisierten Teilchen treffen die oxidierten Oberflächen im Reduktionsprozess und verstärken die Reinigung weiter. Die Kombination aus chemischen Reaktionen und physikalischem Ionenbombardement erhöht die Wahrscheinlichkeit, dass alle Flächen in kleine Strukturen gereinigt oder Oxide reduziert werden.
  • Man geht davon aus, dass das Verfahren der Erfindung wenigstens zwei Vorteile bietet. Erstens ist die gereinigte Oberfläche besser für eine gesteigerte Haftung an einer anschließenden Schicht vorbereitet. Das Entfernen des Oxids erlaubt eine bessere Bindung an dem leitenden Basismaterial. Zweitens ist bekannt, dass Oxide den Widerstand einer Schicht oder von kombinierten Schichten erhöhen. Somit verringert die Oxidreduktion den Widerstand oder die Impedanz der kombinierten Schichten.
  • Zu den Plasmaprozessparamtern für wenigstens eine Ausführungsform, die Ammoniak zur Reduzierung des Kupferoxids verwendet, gehören ein Druckbereich von etwa 0,13 bis etwa 1,20 Pa (etwa 1 bis etwa 9 mTorr), eine HF-Leistung von etwa 100 bis etwa 1000 W für eine Kammer, die eine Reaktionszone haben kann, um das Plasma zu erzeugen, das eine Leistungsdichte von etwa 1,43 bis etwa 14,3 W/cm2 hat, eine Substratoberflächentemperatur von etwa 100° bis etwa 450°C, ein Abstand zwischen Duschkopf und Substrat von etwa 5,1 bis 15,2 mm (etwa 200 bis etwa 600 mils) und ein Reduktionsmitteldurchsatz von etwa 100 bis etwa 1000 sccm in die Kammer. Das Gasdispergierelement, beispielsweise ein „Duschkopf" ist dem Fachmann bekannt und wird hier austauschbar verwendet, wobei auch andere Gasdispergierelemente eingeschlossen sind. Die „Reaktionszone" ist die Zone zwischen dem Duschkopf und der Substratoberfläche in der Kammer, beispielsweise in einem CENTURA DxZTM-CVD-Reaktor, hergestellt und verkauft von Applied Materials, Inc. Santa Clara, Kalifornien.
  • Zu einem bevorzugten Prozessbereich gehört ein Druckbereich von etwa 0,40 bis etwa 0,93 Pa (etwa 3 bis etwa 7 mTorr), eine HF-Leistung von etwa 100 bis etwa 500 W für einen 200 mm Wafer mit einer Leistungsdichte von etwa 1,43 bis etwa 7,14 W/cm2, eine Substrattemperatur von etwa 200° bis etwa 400°C, einen Abstand zwischen Duschkopf und Substrat von etwa 5,1 bis etwa 12,7 mm (etwa 200 bis etwa 500 mils) und ein Reduktionsmitteldurchsatz von etwa 100 bis etwa 500 sccm. Zu einem besonders bevorzugten Prozessbereich gehören ein Druckbereich von etwa 0,53 bis 0,80 Pa (etwa 4 bis etwa 6 mTorr), eine HF-Leistung von etwa 200 bis etwa 400 W bei einer Leistungsdichte von etwa 2,86 bis etwa 5,72 W/cm2, eine Substrattemperatur von etwa 300° bis etwa 400°C, ein Abstand zwischen Duschkopf und Substrat von etwa 7,6 bis 10,2 mm (etwa 300 bis etwa 400 mils) und ein Reduktionsmitteldurchsatz von etwa 200 bis etwa 300 sccm. In Verbindung mit den vorstehenden Prozessparametern können zur Unterstützung der Stabilisierung des Gasstroms und der Plasmareaktion zusätzlich Trägergase verwendet werden. Der Durchsatz der Trägergase, wie Helium, Argon und Stickstoff kann etwa 0 bis 2000 sccm betragen.
  • Der Plasmareduktionsprozess reduziert, behandelt oder modifiziert auf andere Weise die Oberfläche in etwa 5 bis 60 s. Vorzugsweise wird das Ammoniakplasma in einem oder mehreren Behandlungszyklen erzeugt und zwischen den Zyklen gereinigt. In den meisten Fällen beseitigt jedoch ein 10 s dauernder Behandlungszyklus wirksam Sauerstoff von einer oxidierten Kupferoberfläche. Natürlich können die Parameter für andere Materialien neben Kupfer und für andere Verunreinigungen neben Oxiden eingestellt werden.
  • 2 zeigt eine Abscheide-/Plasmareduktionssequenz als ein Beispiel der vorliegenden Erfindung. Es können andere Sequenzen, Herstellungstechniken und Prozesse verwendet werden. Gewöhnlich wird ein Dielektrikum, wie Siliciumdioxid, Siliciumnitrid oder Siliciumcarbid auf einem Substrat abgeschieden. Der Ausdruck „Substrat" ist hier die IC-Basis oder die IC mit darauf abgeschiedenen Materialien oder Niveaus, wie der Zusammenhang angeben kann. Über dem Dielektrikum wird ein Ätzunterbrecher abgeschieden und es werden zur Bildung eines Musters Zwischenverbindungen hindurchgeätzt. Auf horizontale Zwischenverbindungen wird gewöhnlich als Leiter Bezug genommen, während auf vertikale Zwischenverbindungen gewöhnlich als Kontakte oder Kontaktlöcher Bezug genommen werden, wobei sich Kontakte zu einer Vorrichtung an dem darunter liegenden Substrat erstrecken, während sich Kontaktlöcher zu einer darunter liegenden Metallschicht, wie M1, M2 usw. erstrecken. Wenn das Muster aus Leitern und Kontakten/Kontaktlöchern hergestellt ist, wie es beispielsweise in 1 gezeigt ist, wird eine Sperrschicht, wie, beispielsweise eine TiN-Schicht über dem Muster abgeschieden, um die Diffusion des Leiters in die dielektrische Schicht/dielektrischen Schichten zu beschränken. Dann kann über der Sperrschicht das leitende Material abgeschieden werden. Auf dem leitenden Material kann eine Oxidation stattfinden, die die Haftung und das Leitvermögen beeinträchtigt. Das Substrat kann in einer Behandlungskammer für eine Plasmareinigung angeordnet werden, die in situ mit vorhergehenden oder späteren Prozessen erfolgen kann. Gewöhnlich zündet das System ein Plasma und führt ein Reduktionsmittel in die Kammer ein, woraufhin die Plasmareinigung eintritt. Das Plasma unterstützt die Anregung der Reduktionsmittelmoleküle zur Reinigung und ansonsten zur Reduktion des Oxids.
  • Wenn der Leiter gereinigt ist, kann in situ eine weitere Schicht, beispielsweise ein Nitrid, auf dem Leiter abgeschieden werden, um eine weitere Verunreinigung aus einer nachteiligen Umgebung, beispielsweise eine mit Sauerstoff, zu verringern. Gewöhnlich ist diese Schicht eine dielektrische Schicht, kann jedoch andere Arten von Schichten aufweisen, beispielsweise eine Sperrschicht, eine Ätzunterbrechungsschicht oder eine Passivierungsschicht. Alternativ kann das reduzierte Substrat zu einer anderen Kammer für eine spätere Behandlung transportiert werden. Das Reinigen ist nicht auf den Leiter beschränkt, es können auch andere Schichten vor oder nach der Leiterschicht unter Verwendung der Konzepte der vorliegenden Erfindung plasmagereinigt werden.
  • BEISPIEL 1 – OHNE AMMONIAK-PLASMAREDUKTIONSPROZESS
  • 3 zeigt den Sauerstoff, der durch eine 500 Å-Nitridschicht erfasst wird, die auf einer Kupferoberfläche nach einem CMP-Prozess ohne einen Plasmareduktionsprozess abgeschieden wurde. Die x-Achse stellt die Bindeenergie in Elektronenvolt (eV), die y-Achse die Zählungen und Signale (c/s) und die z-Achse ein relatives Tiefenprofil durch die Nitridfilmschicht dar. Die x-Achse, die die Bindeenergie zeigt, ist elementspezifisch und die Substratschicht wurde bei einem Oxidbindeenergieniveau geprüft, um ihr Vorhandensein zu erfassen. Die y-Achse stellt dabei ein sauerstoffspezifisch erfasstes Sauerstoffniveau dar. Da die z-Achse relativ ist, ist die Entfernung zwischen den beiden größten Spitzen längs der z-Achse etwa die Dicke der Nitridschicht von 500 Å. Jenseits der Nitridschicht von 500 Å fällt die Signalzählung auf etwa Null ab, da Kupfer ein Leiter ist. 3 zeigt eine erste hohe Spitze ganz in der Nähe des Ursprungs der z-Achse von ~11000 c/s. Diese erste und höchste Spitze stellt die Oberfläche der Nitridschicht dar und kann für den vorliegenden Zweck ignoriert werden. Die letzte große Spitze bei einer Tiefe von ~500 Å stellt den Sauerstoffpegel von ~ 6000 c/s an der Trennfläche von Nitrid/Kupfer dar. Diese Trennfläche weist eine Kupferoxidmenge auf, die nicht nach der Lehre der vorliegenden Erfindung reduziert worden ist.
  • BEISPIEL 2 – MIT EINEM AMMONIAK-PLASMAREDUKTIONSPROZESS
  • 4 ist ein 3 entsprechendes Diagramm und zeigt die Ergebnisse für ein beispielsweises Substrat mit Kupferoberfläche, das nach einem Ammoniak-Plasmareduktionsprozess der vorliegenden Erfindung behandelt wurde. 4 kann mit 3 verglichen werden und die Achsen stellen ähnliche Maßstäbe und Werte dar. Ähnlich wie bei der Substratoberfläche von 3 wurde eine Nitridschicht von 500 Å nach Ausüben des Plasmareduktionsprozesses nach der vorliegenden Erfindung abgeschieden. 4 zeigt einen insgesamt niedrigeren Sauerstoffpegel jenseits der anfänglichen Oberflächenspitze, wobei diese für die vorliegenden Zwecke wiederum ignoriert werden kann. Das Sauerstoffniveau an der Nitrid-/Kupfertrennfläche, das durch die zweite Spitze bei einer Tiefe von etwa 500 Å dargestellt wird, wurde auf einen Wert von ~3000 c/s aufgrund der Beseitigung oder Reduktion des Oxids von der Kupferoberfläche abgesenkt.
  • 5 ist eine Schnittansicht eines CVD-Plasmareaktors, in der der vorstehende Plasmareduktionsprozess ausgeführt werden kann, es handelt sich beispielsweise um den vorstehend erwähnten CENTRUA-DxZTM. Die vorliegende Erfindung kann in anderen Reaktoren verwendet werden, beispielsweise in einem durch Lampen beheizten Reaktor. Der Reaktor 10 enthält einen Gasverteiler 11, der der vorher beschriebene Duschkopf sein kann, zum Verteilen von Prozessgasen durch Perforationslöcher (nicht gezeigt) in dem Verteiler auf ein Substrat oder einen Wafer 16, der auf einer Substratträgerplatte oder einem Suszeptor 12 liegt. Der Suszeptor 12 ist widerstandsbeheizt und auf einem Trägerschaft 13 angeordnet, so dass er und der auf seiner oberen Fläche gehaltene Wafer durch einen Hubmotor 14 zwischen einer unteren Lade-/Entladeposition und einer oberen Behandlungsposition, die nahe an dem Verteiler 11 angeordnet ist, steuerbar bewegt werden können. Wenn sich der Suszeptor 12 und der Wafer 16 in der Behandlungsposition befinden, sind sie von einem Isolierring 17 umschlossen. Während der Behandlung werden in den Verteiler 11 eingeführte Gase radial gleichförmig über der Substratoberfläche verteilt. Die Gase werden durch einen Kanal 24 über ein Vakuumpumpensystem 32 abgeführt.
  • Der in dem Reaktor 10 ausgeführte Abscheidungsprozess kann entweder ein thermischer oder ein plasmaverstärkter Prozess sein. In einem Plasmaprozess wird ein gesteuertes Plasma angrenzend an den Wafer durch HF-Energie gebildet, die an den Verteiler 11 aus einer HF-Leistungsversorgung 25 bei geerdetem Suszeptor 12 angelegt wird. Der Gasverteiler 11 ist auch eine HF-Elektrode, während der Suszeptor 12 geerdet ist. Die HF-Leistungsversorgung 25 kann entweder den Verteiler 11 mit einer HF-Leistung mit einer einzigen oder mit einer gemischten Frequenz versorgen, um die Zersetzung irgendwelcher reaktiver Spezies zu verstärken, die in die Kammer 15 eingeführt werden. Eine HF-Leistungsversorgung mit Mischfrequenz führt gewöhnlich eine Leistung bei einer hohen HF-Frequenz (RF1) von 13,56 MHz und bei einer niedrigen HF-Frequenz (RF2) von 350 kHz zu. Die Systemsteuerung 34 und ein Speicher 38 steuern die Aktivitäten des CVD-Reaktors. Ein Beispiel für einen solchen CVD-Reaktor ist in dem US-Patent 5 000 113 beschrieben, das den Titel hat „Thermal CVD/PECVD Reaktor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Prozess" (Thermischer CVD/PECVD-Reaktor und Verwendung zur thermischen chemischen Gasphasenabscheidung von Siliciumdioxid sowie In-situ-Mehrschritt-Planarisierungsprozess) ausgegeben für Wang et al. und übertragen auf Applied Materials, Inc., die Zessionarin der vorliegenden Erfindung.
  • Bei der vorliegenden Erfindung kann die vorstehende Kammer zur Plasmareduktion eines Oxids mit einem Reduktionsmittel und insbesondere eines Kupferoxids mit Ammoniak verwendet werden. Das Reduktionsmittel kann durch den Verteiler eingeführt und radial gleichförmig über der Waferoberfläche für den Plasmareduktionsprozess in der vorstehend beschriebenen Weise verteilt werden, worauf das Abziehen der Gase durch den Kanal 24 folgt.
  • Die vorstehende Erörterung gilt insgesamt für die Verbesserung aufgrund des Plasmareduktionsprozesses und kann in vielen Umgebungen, auf Substraten und in einer Vielfalt von Prozessen zum Einsatz kommen. Dieser Plasmareduktionsprozess findet seine spezielle Anwendung bei einer Doppeldamaszen-Struktur mit erhöhter Dichte und Komplexität. Die folgende Erörterung erläutert kurz Aspekte einer Doppeldamaszen-Struktur und wie der Plasmareduktionsprozess eingesetzt werden kann.
  • 6 und 7 zeigen eine Doppeldamaszen-Struktur, die unter Verwendung der Techniken der vorliegenden Erfindung gereinigt werden kann. Bei der Ausbildung eines Typs einer Doppeldamaszen-Struktur wird eine erste dielektrische Schicht 30 auf einem Substrat 32 abgeschieden, worauf die Abscheidung des Ätzunterbrechers 34 folgt. Der Ätzunterbrecher ist mustergeätzt, um Kontakt/Kontaktlochöffnungen 40 zu bilden und um die erste dielektrische Schicht in den Bereichen freizulegen, in denen die Kontakte/Kontaktlöcher ausgebildet werden sollen. Über dem Ätzunterbrecher wird eine zweite dielektrische Schicht 38 mit einem Muster zur Bildung von Zwischenverbindungsleitungen abgeschieden, wobei vorzugsweise herkömmliche Photolithographieprozesse mit einer Photoresistschicht verwendet werden, was dem Fachmann bekannt ist. Die Zwischenverbindungen und die Kontakte/Kontaktlöcher werden dann unter Verwendung einer reaktiven Ionenätzung oder mit anderen anisotropen Ätztechniken geätzt, wobei jeglicher Photoresist oder jegliches andere Material, das zur Musterbildung der Schichten verwendet wurde, entfernt wird, wobei eine Sauerstoffabstreifung oder ein anderer geeigneter Prozess zum Einsatz kommt. Dann wird vorzugsweise konform zu Metallisierungsmustern eine Sperrschicht 44 abgeschieden, um eine Metallmigration in das umgebende Silicium und/oder dielektrische Material zu verhindern.
  • Bei der vorliegenden Erfindung kann der vorstehende Plasmareduktionsprozess auf der Sperrschicht oder auf anderen Schichten zweckmäßig sein, die vor oder nach der Metallschicht abgeschieden werden. Für die fragliche spezielle Schicht können die vorher für die Plasmareduktion des Leiters erörterten Bereiche und Parameter eingestellt werden.
  • Die Metallschicht 47 wird auf den Kontaktlöchern und Leitern abgeschieden und besteht vorzugsweise aus einem leitenden Material, wie Aluminium, Kupfer, Wolfram oder Kombinationen davon, mit dem jüngsten Trend zu Kupfer. Die Metallschicht wird durch Verwendung von entweder CVD, PVD, Elektroplattieren oder Kombinationen davon abgeschieden, um die leitende Struktur zu bilden. Wenn die Struktur einmal mit Kupfer oder einem anderen Metall gefüllt ist, kann ein CMP-Prozess verwendet werden, um die Metalloberfläche zu planarisieren. Bei anderen Ausführungsformen kann auf dem Feldbereich zwischen den Zwischenverbindungen vor der Metallabscheidung eine Opferschicht abgeschieden werden, die dann nach dem Abscheiden des Metalls abgestreift wird, wobei eine Oberfläche verbleibt, die für die nächste Stufe des Abscheidungsprozesses geeignet ist. Die Strukturoberfläche kann planari siert werden, wobei ein CMP-Prozess in diesem Stadium und/oder in anderen Stadien verwendet wird. Der vorstehende Plasmareduktionsprozess kann eingeleitete werden, um eine Verunreinigungsschicht 48, wie Kupferoxid zu entfernen oder zu reduzieren, die sich auf der Metallschicht 47 einschließlich der Zwischenverbindungen 46 gebildet haben kann. Bei der bevorzugten Ausführungsform wird der Plasmareduktionsprozess in situ mit der Abscheidung der benachbarten Schicht 50 verwendet, wie es in 7 gezeigt ist. Die Schicht kann eine andere dielektrische Schicht, eine Sperrschicht, eine Passivierungsschicht oder irgendeine andere Schicht neben der Metallschicht sein.
  • Insbesondere können dazu die Prozessschritte gehören: Abscheiden einer ersten dielektrischen Schicht, beispielsweise einer Schicht aus floriertem Silikatglas (FSG-Fluorinated Silicate Glass) auf einem Substrat, Abscheiden eines ätzdielektrischen Unterbrechers mit geringem k auf der ersten dielektrischen Schicht, Musterbildung an der Ätzunterbrechung zur Bildung der Kontakte/Kontaktlöcher, Abstreifen des Mustermediums, wie einer Photoresistschicht, Abscheiden einer zweiten dielektrischen Schicht, beispielsweise einer FSG-Schicht, und Musterbildung einer Resistschicht auf der zweiten dielektrischen Schicht, um eine oder mehrere Zwischenverbindungen zu bilden. Wenn die Doppeldamaszen-Struktur gebildet worden ist, kann der Prozess aufweisen: das Abscheiden einer Sperrsicht in der Struktur, das Abscheiden einer Metallschicht, wie Kupfer, und das Aussetzen einer oxidierten Oberfläche mit der Metallschicht, einem Plasma mit dem Reduktionsmittel, um das Oxid zu reduzieren. Dann kann eine weitere Schicht, beispielsweise ein Nitrid über der Oberfläche in situ mit dem Reduktionsprozess abgeschieden werden.
  • Obwohl sich die vorstehenden Ausführungen auf die bevorzugte Ausgestaltung der vorliegenden Erfindung richten, können andere und weitere Ausführungsformen der Erfindung erdacht werden, ohne von ihrem Grund abzuweichen, der durch die nachstehenden Ansprüche bestimmt ist. Außerdem bedeuten in dieser Beschreibung insbesondere einschließlich der Ansprüche die Verwendung von „aufweisen" mit „einen" oder „der" und Änderungen davon, dass der oder die Gegenstände oder die Liste oder die Listen, auf die Bezug genommen wird, wenigstens die aufgezählten Gegenstände oder Listen einschließen und weiterhin eine Vielzahl von aufgezählten Gegenständen oder Listen, wenn nicht anders angegeben, einschließen kann.

Claims (11)

  1. Verfahren zum Entfernen von Oxiden auf einem Substrat, wobei bei dem Verfahren a) ein Trägergas und ein Reduktionsmittel, das Stickstoff und Wasserstoff aufweist, in eine Kammer eingeführt werden, b) ein Plasma gezündet wird, das im Wesentlichen aus dem Trägergas und dem Reduktionsmittel in der Kammer besteht, c) dem Plasma des Reduktionsmittels ein Kupferoxid ausgesetzt wird und dann d) eine dielektrische Schicht auf dem Substrat abgeschieden wird, ohne das Substrat einer dazwischenkommenden Verunreinigungsumgebung auszusetzen, nachdem das Kupferoxid dem Plasma des Trägergases und des Reduktionsmittels ausgesetzt worden ist.
  2. Verfahren nach Anspruch 1, bei welchem die dielektrische Schicht aus Siliciumcarbid, Siliciumnitrid und Siliciumdioxid ausgewählt wird.
  3. Verfahren nach Anspruch 1, bei welchem die dielektrische Schicht ein Nitrid ist.
  4. Verfahren nach Anspruch 3, bei welchem die dielektrische Schicht Silikonnitrid ist.
  5. Verfahren nach einem der Ansprüche 1 bis 4, bei welchem das Reduktionsmittel Ammoniak aufweist.
  6. Verfahren nach Anspruch 5, bei welchem Ammoniak in die Kammer mit einem Volumenstrom von etwa 100 bis etwa 1000 sccm eingeführt wird.
  7. Verfahren nach einem der Ansprüche 1 bis 6, bei welchem der Druck in der Kammer 0,13 bis 1,20 Pa (1 bis 9 mTorr) beträgt.
  8. Verfahren nach einem der Ansprüche 1 bis 7, bei welchem das Plasma dadurch gezündet wird, dass eine Leistungsdichte von 1,4 bis 14,3 W/cm2 für die Kammer bereitgestellt wird.
  9. Verfahren nach einem der Ansprüche 1 bis 8, bei welchem eine Substrattemperatur von 100°C bis 450°C aufrechterhalten wird, während das Kupferoxid dem Reduktionsmittel ausgesetzt wird.
  10. Verfahren nach einem der Ansprüche 1 bis 4, bei welchem der Druck in der Kammer 0,13 bis 1,20 Pa (1 bis 9 mTorr) beträgt, ein HF-Generator eine Leistungsdichte von 1,4 bis 14,3 W/cm2 bereitstellt, eine Substrattemperatur von 100° bis 450°C aufrechterhalten wird und Ammoniak in die Kammer mit einem Volumenstrom von etwa 100 bis etwa 1000 sccm eingeführt wird.
  11. Verfahren nach einem der Ansprüche 1 bis 10, bei welchem die dielektrische Schicht in situ auf dem Substrat abgeschieden wird, nachdem das Kupferoxid dem Reduktionsmittel ausgesetzt worden ist.
DE69937807T 1998-11-17 1999-11-15 Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung Expired - Fee Related DE69937807T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US193920 1998-11-17
US09/193,920 US20010049181A1 (en) 1998-11-17 1998-11-17 Plasma treatment for cooper oxide reduction
PCT/US1999/026942 WO2000029642A1 (en) 1998-11-17 1999-11-15 Removing oxides or other reducible contaminants from a substrate by plasma treatment

Publications (2)

Publication Number Publication Date
DE69937807D1 DE69937807D1 (de) 2008-01-31
DE69937807T2 true DE69937807T2 (de) 2008-12-04

Family

ID=22715570

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69937807T Expired - Fee Related DE69937807T2 (de) 1998-11-17 1999-11-15 Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung

Country Status (7)

Country Link
US (2) US20010049181A1 (de)
EP (1) EP1135545B1 (de)
JP (3) JP4901004B2 (de)
KR (1) KR100661194B1 (de)
DE (1) DE69937807T2 (de)
TW (1) TW589405B (de)
WO (1) WO2000029642A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022130614A1 (de) 2022-11-18 2024-05-23 Plasmatreat Gmbh Ortsselektive plasmareduktion

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US20030219816A1 (en) * 2001-07-02 2003-11-27 Keith Solomon Composite microarray slides
US6596631B1 (en) * 2000-07-26 2003-07-22 Advanced Micro Devices, Inc. Method of forming copper interconnect capping layers with improved interface and adhesion
US6309959B1 (en) * 2000-08-03 2001-10-30 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
DE10059143B4 (de) * 2000-11-29 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Oberflächenbehandlungs- und Deckschichtverfahren zur Herstellung einer Kupfergrenzfläche in einem Halbleiterbauteil
US6562416B2 (en) * 2001-05-02 2003-05-13 Advanced Micro Devices, Inc. Method of forming low resistance vias
US6528884B1 (en) * 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
DE10150822B4 (de) * 2001-10-15 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche
JP2003273212A (ja) * 2002-03-14 2003-09-26 Fujitsu Ltd 積層構造体およびその製造方法
US6720204B2 (en) * 2002-04-11 2004-04-13 Chartered Semiconductor Manufacturing Ltd. Method of using hydrogen plasma to pre-clean copper surfaces during Cu/Cu or Cu/metal bonding
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
KR100452273B1 (ko) * 2002-10-22 2004-10-08 삼성전자주식회사 챔버의 클리닝 방법 및 반도체 소자 제조 방법
US6790777B2 (en) 2002-11-06 2004-09-14 Texas Instruments Incorporated Method for reducing contamination, copper reduction, and depositing a dielectric layer on a semiconductor device
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US7897029B2 (en) * 2008-03-04 2011-03-01 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US7387738B2 (en) 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US8361340B2 (en) * 2003-04-28 2013-01-29 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
DE10320472A1 (de) * 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
US6806103B1 (en) * 2003-06-10 2004-10-19 Texas Instruments Incorporated Method for fabricating semiconductor devices that uses efficient plasmas
US7319071B2 (en) * 2004-01-29 2008-01-15 Micron Technology, Inc. Methods for forming a metallic damascene structure
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US20070218697A1 (en) * 2006-03-15 2007-09-20 Chung-Chih Chen Method for removing polymer from wafer and method for removing polymer in interconnect process
WO2008027216A2 (en) * 2006-08-30 2008-03-06 Lam Research Corporation Processes and integrated systems for engineering a substrate surface for metal deposition
WO2009085238A1 (en) * 2007-12-27 2009-07-09 Lam Research Corporation Copper discoloration prevention following bevel etch process
US7737029B2 (en) * 2008-03-18 2010-06-15 Samsung Electronics Co., Ltd. Methods of forming metal interconnect structures on semiconductor substrates using oxygen-removing plasmas and interconnect structures formed thereby
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
US8609526B2 (en) * 2009-10-20 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing UBM oxidation in bump formation processes
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US9103012B2 (en) 2011-02-11 2015-08-11 Headway Technologies, Inc. Copper plating method
US8758638B2 (en) 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US8980740B2 (en) 2013-03-06 2015-03-17 Globalfoundries Inc. Barrier layer conformality in copper interconnects
US9524889B2 (en) 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing
CN104425289B (zh) * 2013-09-11 2017-12-15 先进科技新加坡有限公司 利用激发的混合气体的晶粒安装装置和方法
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
US20170148739A1 (en) * 2014-06-16 2017-05-25 Jeanette M. Roberts Selective diffusion barrier between metals of an integrated circuit device
US9614045B2 (en) 2014-09-17 2017-04-04 Infineon Technologies Ag Method of processing a semiconductor device and chip package
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US20220205080A1 (en) * 2020-12-31 2022-06-30 Hutchinson Technology Incorporated Surface Treatment Producing High Conductivity Vias With Simultaneous Polymer Adhesion
DE102022107650A1 (de) 2022-03-31 2023-10-05 Plasmatreat Gmbh Vorrichtung und verfahren zur reduktion von oxiden an werkstückoberflächen
WO2024068623A1 (de) 2022-09-29 2024-04-04 Plasmatreat Gmbh Plasmabehandlung mit flüssigkeitskühlung
DE102023106618A1 (de) 2022-09-29 2024-04-04 Plasmatreat Gmbh Plasmabehandlung mit Flüssigkeitskühlung

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
ATE49023T1 (de) 1984-03-03 1990-01-15 Stc Plc Pulsierendes plasmaverfahren.
JPS61579A (ja) * 1984-06-14 1986-01-06 Ricoh Co Ltd 薄膜製造方法
JPS619579A (ja) 1984-06-25 1986-01-17 Nippon Steel Corp 鉄鋼構造物の防食工法
JPS62158859A (ja) * 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5232872A (en) 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
EP0416400B1 (de) * 1989-08-25 1996-02-07 Applied Materials, Inc. Reinigungsverfahren für eine Anlage zur Behandlung von Halbleiterscheiben
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
JPH0445534A (ja) * 1990-06-12 1992-02-14 Sony Corp 銅薄膜の選択的エッチング方法
JP2646811B2 (ja) * 1990-07-13 1997-08-27 ソニー株式会社 ドライエッチング方法
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3038953B2 (ja) * 1991-02-28 2000-05-08 ソニー株式会社 配線形成方法
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
JPH05144811A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
AU3726593A (en) * 1992-02-26 1993-09-13 Materials Research Corporation Ammonia plasma treatment of silicide contact surfaces in semiconductor devices
JPH0697111A (ja) 1992-09-11 1994-04-08 Sony Corp バリアメタルの形成方法
JPH06204191A (ja) * 1992-11-10 1994-07-22 Sony Corp 金属プラグ形成後の表面処理方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
JP3399583B2 (ja) * 1993-04-06 2003-04-21 東京エレクトロン株式会社 半導体装置の製造方法
KR100326488B1 (ko) 1993-06-21 2002-06-20 조셉 제이. 스위니 플라즈마화학기상증착법
JPH0793276B2 (ja) * 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド 薄膜形成前処理方法および薄膜形成方法
JP2837087B2 (ja) * 1993-12-28 1998-12-14 アプライド マテリアルズ インコーポレイテッド 薄膜形成方法
US5451263A (en) * 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
IT1271636B (it) * 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
KR0144956B1 (ko) 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
DE69531980T2 (de) 1994-08-23 2004-07-29 At & T Corp. Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht
JP2809113B2 (ja) 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
BE1009839A3 (fr) * 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
GB2319533B (en) 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
GB2319532B (en) 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
DE19702124A1 (de) * 1997-01-22 1998-07-23 Linde Ag Verfahren und Vorrichtung zum Reinigen, Aktivieren Benetzen und/oder Beschichten der Oberflächen von Werkstücken
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
DE19717698A1 (de) * 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
JPH1116912A (ja) 1997-06-25 1999-01-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
FR2775986B1 (fr) * 1998-03-10 2000-05-05 Air Liquide Procede et installation de traitement de surface d'une piece metallique
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6251775B1 (en) 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022130614A1 (de) 2022-11-18 2024-05-23 Plasmatreat Gmbh Ortsselektive plasmareduktion

Also Published As

Publication number Publication date
JP2010212694A (ja) 2010-09-24
US20010049181A1 (en) 2001-12-06
JP2002530845A (ja) 2002-09-17
JP4901004B2 (ja) 2012-03-21
TW589405B (en) 2004-06-01
KR20010080483A (ko) 2001-08-22
JP5269826B2 (ja) 2013-08-21
US6734102B2 (en) 2004-05-11
KR100661194B1 (ko) 2006-12-22
WO2000029642A1 (en) 2000-05-25
EP1135545A1 (de) 2001-09-26
DE69937807D1 (de) 2008-01-31
JP2013058799A (ja) 2013-03-28
EP1135545B1 (de) 2007-12-19
US20030022509A1 (en) 2003-01-30

Similar Documents

Publication Publication Date Title
DE69937807T2 (de) Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung
DE4237587C2 (de) Chemisches Dampfabscheidungsverfahren zur Schaffung einer vorherrschend TiSi¶x¶ enthaltenden, elektrisch leitfähigen Schicht
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
EP1678746B1 (de) Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung
DE19620022C2 (de) Verfahren zur Herstellung einer Diffusionssperrmetallschicht in einer Halbleitervorrichtung
US6946401B2 (en) Plasma treatment for copper oxide reduction
DE102007004867B4 (de) Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE60025072T2 (de) Verfahren zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat
DE60037395T2 (de) Herstellung eines halbleiter-bauelementes
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102005057057B4 (de) Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
DE60114304T2 (de) Hexagonal- Bornitrid-Film mit niedriger dielektrischer Konstante ,Film mit dielektrischer Beschichtung und Verfahren zu seiner Herstellung und Plasma-CVD-Apparat
DE19629886A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE10224167B4 (de) Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102007022621B4 (de) Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
DE10240176A1 (de) Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
DE102008044987A1 (de) Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleinem Epsilon unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
DE10084994B4 (de) Verfahren zur Bildung einer leitenden Silicidschicht auf einem Silicium enthaltenden Substrat und Verfahren zur Bildung eines leitenden Silicidkontaktes
EP0798777B1 (de) Verfahren zur Metallisierung von Submikron Kontaktlöchern in Halbleiterkörpern
EP1711958B1 (de) Verfahren zum Herstellen eines Kondensators mit lokal erhöhter dielektrischer Konstante und eines Zwischendielektrikums mit niedriger dielektrischer Konstante
DE3219284C2 (de)

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee