DE60025072T2 - Verfahren zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat - Google Patents

Verfahren zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat Download PDF

Info

Publication number
DE60025072T2
DE60025072T2 DE60025072T DE60025072T DE60025072T2 DE 60025072 T2 DE60025072 T2 DE 60025072T2 DE 60025072 T DE60025072 T DE 60025072T DE 60025072 T DE60025072 T DE 60025072T DE 60025072 T2 DE60025072 T2 DE 60025072T2
Authority
DE
Germany
Prior art keywords
layer
substrate
plasma
sic
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60025072T
Other languages
English (en)
Other versions
DE60025072D1 (de
Inventor
Judy H. Los Gatos Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE60025072D1 publication Critical patent/DE60025072D1/de
Publication of DE60025072T2 publication Critical patent/DE60025072T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

  • Die vorliegende Erfindung bezieht sich im allgemeinen auf die Herstellung von integrierten Schaltungen auf Substraten. Spezieller bezieht sich die Erfindung auf eine Plasmabehandlung einer Siliciumcarbidschicht, um die Haftung an eine angrenzende Schicht zu verbessern und die Oxidation der Kohlenstoff enthaltenden Schicht zu minimieren.
  • Unterhalb des Viertel-Mikron-Bereichs liegende Metallisierung auf mehreren Niveaus ist eine der Schlüsseltechnologien für die nächste Generation der Integration im ultragroßen Maßstab (ULSI). Die zuverlässige Ausbildung von Verbindungsmerkmalen auf mehreren Niveaus ist für den Erfolg von ULSI sehr wichtig und für die andauernden Bemühungen, die Schaltungsdichte zu erhöhen und die Qualität individueller Substrate und Chips zu erhöhen. Mit der Erhöhung der Schaltungsdichte sind Material- und Strukturänderungen in dem Substratstapel aufgetreten. Einige fundamentale Eigenschaften, beispielsweise die Haftung von Schichten und der Widerstand gegen Oxidation, müssen daher erneut betrachtet werden.
  • Wenn Schichten in einer Sequenz abgeschieden werden, wird die Haftung zwischen den Schichten wichtig, um die strukturelle Integrität aufrecht zu erhalten und die Betriebserfordernisse für die Bauteile, die hergestellt werden, zu erfüllen. Die Verwendung von neuen Materialien mit niedrigem k, die als Sperrschichten, Ätz-Stopschichten, anti-reflektive Überzüge (ARCs), Passivierungsschichten und andere Schichten nützlich sind, müssen eine gute Haftung aufweisen, damit sie in der Herstellungssequenz integriert werden können. Beispielsweise verwenden einige der neuen Materialien für ULSI eine Dotierung mit einem Halogen, beispielsweise Fluor, um den k-Wert der Schichten abzusenken, während erwünschte physikalische Eigenschaften, beispielsweise Festigkeit, aufrecht erhalten werden. Etwas von dem dotierten Material kann jedoch in der Verarbeitung als Gas austreten. Wenn aneinander grenzende Schichten abgeschieden und schließlich getempert werden, können die Schichten daher nicht ordnungsgemäß aneinander haften, was ein Ablösen der Schichten zur Folge hat.
  • Zusätzlich müssen die neuen Materialien einen verbesserten Widerstand gegen Oxidation haben, insbesondere bei Schichten, die einem oxidierenden Plasma ausgesetzt sind. Als ein Beispiel benötigen die Schichten eine bemusterte Ätzung und werden daher einem Photolithographie-Verfahren unterworfen, bei dem eine Schicht aus Photoresist-Material (typischerweise organische Polymere) auf der Schicht abgeschieden wird, um das Ätzmuster zu definieren.
  • Nach dem Ätzen wird die Photoresist-Schicht dadurch entfernt, dass die Photoresist-Schicht einem aktiven Sauerstoffplasma ausgesetzt wird, ein Verfahren, das typischerweise als „Veraschung" bezeichnet wird. Während der rigorosen, durch Plasma, verbesserten Oxidation des Veraschungsverfahrens, stoßen die geladenen Teilchen des Plasmas mit dem Substrat zusammen, was einen Filmverlust verursacht und/oder das Kristallgitter des Substrats verzerren kann, wodurch die Integrität der Bauteile, die auf dem Substrat ausgebildet werden, untergraben wird. Eine Erosion oder ein Filmverlust kann zu Kurzschlüssen zwischen den eine reduzierte Dimensionierung aufweisenden Merkmalen führen, beispielsweise Kontakte, Vias, Leitungen und Trenchs. Die Oxidation bei der Veraschung scheint besonders Kohlenstoff enthaltende Materialien zu beeinflussen, beispielsweise SiC, und daher könnten solche Materialien allgemein auch von einer verbesserten Haftung und einem erhöhten Widerstand gegen Oxidation profitieren. Somit ist ein verbesserter Oxidationswiderstand und Filmverlustwiderstand gegenüber derart harten Umgebungen erforderlich, um die Schaltungsintegrität der reduzierte Dimensionen aufweisenden Merkmale aufrecht zu erhalten.
  • Es ist die Aufgabe der Erfindung, die Verarbeitung des Substrats zu verbessern, so dass der Widerstand gegenüber Oxidation und die Haftung von Kohlenstoff enthaltenden Materialien ohne Verminderung des Ausstoßes, was zu Abschaltzeiten beiträgt und zu Risiken der Kontamination führt, erhöht wird. Dieses Ziel wird durch das Verfahren nach Anspruch 1 erreicht. Die vorliegende Erfindung liefert allgemein eine verbesserte Haftung und einen verbesserten Oxidationswiderstand von Kohlenstoff enthaltenden Schichten ohne die Notwendigkeit für eine zusätzlich abgeschiedene Schicht. Vorteilhafte Ausführungsbeispiele der Erfindung sind in den Unteransprüchen charakterisiert.
  • Ausführungsbeispiele der Erfindung werden nun im Zusammenhang mit den beigefügten Zeichnungen beschrieben, in denen:
  • 1 eine schematische Schnittdarstellung einer im Handel erhältlichen CVD-Plasma-Verarbeitungskammer ist, in der das Plasmaverfahren der vorliegenden Erfindung durchgeführt werden kann;
  • 2 ein Fourier-Transformations-Infrarot (FTIR)-Diagramm des SiC der vorliegenden Erfindung ist, das die spezielle Bindungsstruktur zeigt;
  • 3 ein bevorzugtes Ausführungsbeispiel einer dualen Damascene-Struktur zeigt, welche die vorliegende Erfindung verwendet.
  • Die vorliegende Erfindung liefert eine verbesserte Haftung und einen verbesserten Oxidationswiderstand einer Kohlenstoff enthaltenden Schicht, indem die Schicht einem Inertgas-Plasma ohne die Notwendigkeit für eine zusätzliche abgeschiedene Schicht ausgesetzt wird.
  • 1 ist eine schematische Schnittdarstellung einer Kammer für die chemische Abscheidung aus der Dampfphase (CVD), beispielsweise eine CENTURA® DxZTM-CVD-Kammer, die von Applied Materials, Inc. in Santa Clara, Kalifornien erhältlich ist und in der das Plasmabehandlungsverfahren der Erfindung durchgeführt werden kann. Die Erfindung kann in anderen Verarbeitungskammern einschließlich einer durch eine Lampe aufgeheizten Verarbeitungskammer durchgeführt werden. Die Verarbeitungskammer 10 umfasst einen Gasverteiler 11, der typischerweise als „Duschkopf" bezeichnet wird, um Prozessgase durch perforierte Löcher (nicht gezeigt) in dem Verteiler auf einem Substrat 16 zu verteilen, das auf einem Substratträger 12 liegt. Der Substratträger 12 wird widerstandsbeheizt und ist auf einem Trägerstempel 13 montiert, so dass der Substratträger und das auf der Oberfläche des Substratträgers gelagerte Substrat in kontrollierter Weise durch einen Liftmotor 14 zwischen einer unteren Lade/Entlade-Position und einer oberen Verarbeitungsposition neben dem Verteiler 11 bewegt werden kann. Wenn der Substratträger 14 und das Substrat 16 in der Verarbeitungsposition sind, sind sie von einem Isolierring 17 umgeben. Während der Verarbeitung werden Gase, die zu dem Verteiler 11 eingeleitet werden, gleichförmig radial über der Substratoberfläche verteilt. Die Gase werden durch eine Mündung 24 durch ein Vakuumpumpensystem 32 abgeführt. Ein kontrolliertes Plasma wird neben dem Substrat durch Anwendung von RF-Energie auf den Verteiler 11 von einer RF-Stromquelle 25 ausgebildet. Der Substratträger 12 und die Kammerwände sind typischerweise geerdet. Die RF-Stromquelle 25 kann RF-Energie entweder mit einer einzigen Frequenz oder mit gemischten Frequenzen liefern, um die Abscheidung möglicher Gase, die in die Kammer 10 eingeführt werden, zu verbessern. Ein Controller 34 steuert die Funktionen der Stromversorgungen, des Liftmotors, des Massencontrollers für die Gaseinspritzung, die Vakuumpumpe und andere zugehörige Kammer- und/oder Verarbeitungsfunktionen. Der Controller führt eine System-Steuersoftware aus, die in einem Speicher 38 gespeichert ist, der in dem bevorzugten Ausführungsbeispiel eine Festplatte ist, und er kann analoge oder digitale Eingangs/Ausgangs-Platinen, Schnittstellen-Platinen und Schrittmotor-Steuerplatinen umfassen. Optische und/oder magnetische Sensoren werden im allgemeinen verwendet, um bewegliche mechanische Einrichtungen zu bewegen und deren Position zu bestimmen. Ein Beispiel solch einer CVD-Verarbeitungskammer ist in dem US-Patent 5,000,113 beschrieben.
  • Die obige Beschreibung des CVD-Systems ist nur zum Zwecke der Darstellung, und andere Plasmaausrüstung, beispielsweise Elektroden-Zykotron-Resonanz (ECR)-Plasma-CVD-Einrichtungen, induktiv gekoppelte RF-Hochdichte-Plasma-CVD-Vorrichtungen oder dergleichen können verwendet werden. Zusätzlich sind Abwandlungen des oben beschriebenen Systems möglich, beispielsweise Abwandlungen in der Auslegung des Substratträgers, der Auslegung der Heizung, der Anordnung der RF-Stromanschlüsse, den Elektrodenkonfigurationen und anderen Aspekten. Beispielsweise könnte das Substrat von einem widerstandsbeheizten Substratträger getragen und aufgeheizt werden.
  • Das Verfahrensregime unter Verwendung eines He-Plasmas wird in Tabelle 1 bereitgestellt. Im Vergleich damit und zu einem besseren Verständnis der vorliegenden Erfindung wird ein Prozessregime unter Verwendung von Sauerstoff enthaltendem N2O in Tabelle 2 bereitgestellt. Die Gase sind repräsentativ, und andere Gase, beispielsweise andere inerte Gase oder andere Sauerstoff enthaltende Gase können verwendet werden.
  • TABELLE 1 FÜR He-PLASMA
    Figure 00040001
  • TABELLE 2 FÜR N2O PLASMA
    Figure 00040002
  • Die obigen Prozessregime können verwendet werden, um die freiliegende Oberfläche einer SiC-Schicht mit He-Plasma entsprechend der vorliegenden Erfindung, oder um als Vergleich ein N2O-Plasma, oder andere inerte oder oxidierende Gase in einer CENTURA® DxZTM-CVD-Kammer, wie oben beschrieben, zu behandeln. Unter Verwendung der Parameter der Tabellen 1 oder 2 wird ein He- bzw. N2O-Gas in die Kammer mit einer Rate von etwa 100 bis etwa 4000 Standard-Kubikzentimeter (sccm), vorzugsweise etwa 750 bis etwa 2000 sccm, einströmen gelassen. Der Kammerdruck wird auf etwa 133 pa (1 Torr) bis etwa 1600 pa (12 Torr), mehr bevorzugt auf etwa 5333 pa (4 Torr) bis etwa 1200 pa (9 Torr), gehalten. Eine einzige 13,56 MHz-RF-Energiequelle liefert etwa 50 bis etwa 800 Watt (W), mehr bevorzugt etwa 100 bis etwa 400 W, an die Kammer. Eine Energiedichte von etwa 0,1 bis etwa 1,7 W/cm2 (0,7 bis etwa 11 W/in2), und mehr bevorzugt etwa 0,2 bis etwa 0,9 W/cm2 (1,4 bis etwa 5,7 W/in2), wird verwendet. Die RF-Energiequelle kann eine RF-Stromquelle mit gemischter Frequenz sein. Die Substratoberflächentemperatur wird auf etwa 0° bis etwa 500°C, mehr bevorzugt etwa 100° bis etwa 400°C, gehalten. Das Substrat wird etwa 0,5 cm (200 mils) bis etwa 1,7 cm (700 mils), mehr bevorzugt etwa 0,75 cm (300 mils) bis etwa 1,26 cm (500 mils), von der Gasplatte angeordnet.
  • Das Substrat wird dem Plasma vorzugsweise während etwa 10 bis 40 Sekunden ausgesetzt. In den meisten Fällen behandelt ein Behandlungszyklus, der 20 Sekunden dauert, die Schicht wirkungsvoll, um die Haftung zu erhöhen und/oder die Oxidationsfähigkeit zu reduzieren. Die Parameter könnten für andere Kammern, Substratschichten und andere Gase nachgestellt werden, die zu einer Verbesserung der Haftung beitragen, insbesondere bei solchen Verfahren, welche die Haftung verbessern, ohne die zusätzliche Abscheidung von Schichten zu erfordern.
  • Ein Material, das in vorteilhafter Weise bei mehrfachen Verwendungen eingesetzt worden ist, ist SiC mit niedrigem k, das in den parallel anhängigen Anmeldungen, US-Aktenzeichen 09/165,248 mit dem Titel „A Silicon Carbide Deposition For Use As A Barrier Layer And An Etch Stop", eingereicht am 1. Oktober 1998, und eine Fortsetzungsanmeldung mit dem US-Aktenzeichen 09/219,945 mit dem Titel „A Silicon Carbide Deposition For Use As A Low Dielectric Constant Anti-Reflective Coating", eingereicht am 23. Dezember 1998, offengelegt, und beide übertragen an den Übertragungsempfänger der vorliegenden Erfindung, Applied Materials, Inc. Dieses spezielle SiC bietet den Vorteil, dass es in der Lage ist, als Sperrschicht, als Ätz-Stopschicht, als ARC- und/oder als Passivierungsschicht funktionieren kann, sowie auch einen niedrigen k-Wert hat und von der verbesserten Haftung und dem erhöhten Oxidationswiderstand profitieren könnte.
  • Die Prozessregime ergeben ein SiC-Material mit einer Dielektrizitätskonstante von weniger als 7, vorzugsweise etwa 5 oder weniger, und mehr bevorzugt etwa 4,2 oder weniger. Um solch eine SiC-Schicht auf einem 200 mm-Wafer abzuscheiden, wird eine reaktive Gasquelle, beispielsweise Trime thylsilan, in eine Reaktionskammer, beispielsweise eine CENTURA® DxZTM-Kammer eingeführt, wobei eine erhebliche Quelle an Sauerstoff in die Reaktionszone eingeführt wird, das Trimethylsilan mit einer bevorzugten Rate von etwa 50 bis etwa 200 sccm fließt. Vorzugsweise wird ein Edelgas, beispielsweise Helium oder Argon, in die Kammer mit einer Rate von etwa 200 bis etwa 1000 sccm einströmen gelassen. Der Kammerprozess wird vorzugsweise bei etwa 6 bis etwa 1330 pa (10 Torr) aufrecht erhalten. Eine einzige 13,56 MHz-RF-Energiequelle liefert vorzugsweise etwa 400 bis etwa 600 W an die Kammer, vorzugsweise etwa 0,9 bis 1,4 W/cm2 (5,7 bis etwa 8,6 W/in2). Die Substratoberflächentemperatur wird vorzugsweise bei etwa 300° bis etwa 400°C während der Abscheidung des SiC gehalten, und das Substrat wird vorzugsweise etwa 0,67 cm (300 mils) bis etwa 1,26 cm (500 mils) von dem Gas-Duschkopf weg angeordnet.
  • 2 ist eine Fourier-Transformations-Infrarot (FTIR)-Analyse von Mustern von SiC, die mit einem He-Plasma gemäß der vorliegenden Erfindung und im Vergleich mit einem N2O-Plasma behandelt wurden, wobei die Bindungsstruktur von jeder behandelten SiC-Schicht gezeigt ist. Die obere Linie A zeigt die Bindungsstruktur einer SiC-Schicht wie abgeschieden. Die Abschnitte der Analyse, die unterschiedlichen Bindungsstrukturen entsprechen, die der vorliegenden Erfindung zugeordnet werden, wurden identifiziert einschließlich der Si(CH3)n und SiC-Bindungen. Der Linie A überlagert ist die Bindungsstruktur des Musters nach der He-Plasmabehandlung. Wie zu ersehen ist, hat die He-Plasmabehandlung einen minimalen bis keinen Effekt auf die Zusammensetzung und die gemessene Bindungsstruktur des Musters. Ebenfalls der Linie A überlagert ist die Bindungsstruktur des Musters nach einer O2-Plasmabehandlung. Durch Konditionierung des Substrats mit dem He-Plasma vor der Behandlung des Substrats mit dem O2-Plasma, während etwa 10 bis etwa 30 Minuten, zeigte das Substrat keinen merklichen Effekt von der O2-Plasmabehandlung.
  • Die untere Linie B zeigt die Bindungsstruktur eines SiC-Musters nach der N2O-Plasmabehandlung. Die N2O-Plasmabehandlung ändert die Bindungsstruktur von dem unbehandelten Muster, das in der oberen Linie A gezeigt ist. Die Änderung erscheint weitgehend in der Si-O-Bindungsstruktur des mit N2O-Plasma behandelten Musters. Der unteren Linie B überlagert ist die Bindungsstruktur des Musters, das mit einem N2O-Plasma behandelt und dann einer O2-Plasmabehandlung, beispielsweise Veraschung, während etwa 10 bis etwa 30 Minuten, ausgesetzt wurde. Es tritt kein wesentlicher Unterschied in der Bindungsstruktur des Musters nach der Plasmabehandlung mit N2O und des Musters nach einer nachfolgenden Oz-Plasmabehandlung auf.
  • Die Resultate bestätigen, dass das He-Plasma die Zusammensetzung der SiC-Schicht nicht wesentlich beeinflusst, wie durch ESCA/XPS- und FTIR-Analysen festgestellt wurde. Die He- Behandlung erzeugt weniger Veränderung in der chemischen Zusammensetzung als die N2O-Plasmabehandlung. Es wird angenommen, dass die Änderung hauptsächlich eine physikalische Änderung in der Bindungsstruktur der Oberflächenschicht ist, hauptsächlich der Si-freiliegenden Bindungen als ein Resultat der He-Plasmabehandlung. Die Oberflächenänderung aufgrund der He-Plasmabehandlung könnte weniger als etwa 5 × 10–4 μm (5 Ǻ) bis etwa 10–3 μm (10 Ǻ) tief sein. Bei der N2O-Plasmabehandlung von SiC wird angenommen, dass der Sauerstoff aus dem N2O-Gas reagiert, um eine Si-O-Bindung und/oder eine C-O-Bindung an der SiC-Oberfläche zu bilden, was die freien Bindungen von Si reduziert und die Haftung und den Oxidationswiderstand verbessert.
  • Beispiel 1
  • Die Tabellen 3 und 4 zeigen Daten eines Berichts über eine Elektronenspektroskopie zum Zwecke der chemischen Analyse/Röntgenstrahlen-Photoelektronen-Spektroscan (ESCA/XPS)-Analyse auf die Änderungen der chemischen Zusammensetzung und Änderungen der Bindungsstruktur einer SiC-Schicht, die auf einer dielektrischen Schicht abgeschieden wurde und einem Behandlungsplasma, beispielsweise He entsprechend der vorliegenden Erfindung oder zum Vergleich einem N2O-Plasma, ausgesetzt wurde.
  • Eine Reihe von SiC-Schichten wurden einer Plasmabehandlung entsprechend den Prozessregimen, die in den Tabellen 1 und 2 angegeben sind, ausgesetzt. Ein He- oder N2O-Gas wurde in eine Kammer mit einer Rate von etwa 1500 sccm einströmen gelassen, der Kammerdruck wurde auf etwa 1130 pa (8,5 Torr) gehalten, und eine einzige 13,56 MHz-RF-Energiequelle lieferte etwa 250 W an die Kammer für ein 200 mm-Wafer. Die Substratoberflächentemperatur wurde bei etwa 250°C bis etwa 400°C gehalten, und das Substrat wurde etwa 400 mils von der Gasplatte entfernt angeordnet. Das Substrat wurde dem Plasma für etwa 20 Sekunden ausgesetzt.
  • TABELLE 3
    Figure 00080001
  • Ein unbehandeltes SiC-Muster enthielt etwa 56% C, 8% O, 36% Si und für nachlässigbare Mengen an N, F und Cl. Die mit dem He-Plasma behandelte SiC-Schicht enthielt eine ähnliche Zusammensetzung. Das He-Plasma wurde ohne erhebliche Anwesenheit anderer Gase einschließlich Sauerstoff, Wasserstoff und/oder Stickstoff, verwendet. In dem Maße, in dem jeglicher Sauerstoff, Wasserstoff und/oder Stickstoff in dem He-Gasplasma vorhanden war, war die Anwesenheit dieser Gase vernachlässigbar.
  • Das mit N2O behandelte Muster änderte gemessen an oder nah bei der Oberfläche die Zusammensetzung der SiC-Schicht zu etwa 5% C, 67% O und 28% Si, was die zusätzliche Oxidation der Oberfläche der SiC-Schicht wiedergibt. Wegen der Änderungen in der Oberflächenzusammensetzung aufgrund der N2O-Plasmabehandlung, wurde die SiC-Schicht auch in dem gesamten Innenbereich des Schichtquerschnitts, der eine Dicke von etwa 3 × 10–1 μm (3000 Ǻ) hat, analysiert. Die Analyse zeigte eine Änderung in der Zusammensetzung zu etwa 35% C, 24% O, 36% Si und 3% N.
  • Tabelle 4 zeigt die Daten eines Berichts einer ESCA/XPS-Analyse, wobei der Kohlenstoffgehalt und die chemische Bindungsstruktur im Detail gezeigt ist, die mit dem Kohlenstoff der Muster von Tabelle 3 zusammenhängen.
  • TABELLE 4
    Figure 00090001
  • Die Resultate zeigen, dass die Bindungsstruktur mit der He-Plasmabehandlung relativ konstant bleibt. Die Zusammensetzung der SiC-Oberfläche wird mit der N2O-Plasmabehandlung modifiziert, so dass sie mehr C-C- und C-H-Bindungen enthält, und es wird angenommen, dass sie Si-O- und/oder C-O-Bindungen bildet und ansonsten die freien Bindungen des Si und andere freie Bindungen passiviert. Die Bindungsänderungen an der Oberfläche erhöhen die Haftung zu nachfolgenden Schichten. Zusätzlich oxidiert das N2O einen dünnen Abschnitt der Schicht durch die kontrollierte N2O-Behandlung, wodurch eine Oberfläche erzeugt wird, die im Vergleich zu einer unbehandelten Schicht gegenüber einer weiteren und tieferen Oxidation resistent ist.
  • Beispiel 2
  • Tabelle 5 zeigt die Resultate der Plasmabehandlung von SiC in einer Veraschungs-Kompatibilitätsstudie. Eine Reihe von Mustern mit SiC wurde mit einem He-Plasma gemäß der vorliegenden Erfindung oder im Vergleich mit einem N2O-Plasma behandelt, wobei die bevorzugten Prozessparameter verwendet wurden, die oben in den Tabellen 1 und 2 beschrieben sind. Ein Muster einer SiC-Schicht wurde als Vergleichsmuster unbehandelt, und ein anderes Muster, bei dem eine undotierte Silicium-Oxid-Schicht (USG) auf der SiC-Schicht abgeschieden war, wurde als weiteres Vergleichsbeispiel gelassen.
  • Für dieses Beispiel wurde He- oder N2O-Gas in eine Kammer mit einer Rate von etwa 1500 sccm einströmen gelassen, der Kammerdruck wurde auf etwa 1130 pa (8,5 Torr) gehalten, und eine einzige 13,56 MHz-RF-Energiequelle lieferte etwa 250 W an die Kammer für ein 200 mm-Wafer. Die Substratoberflächentemperatur wurde bei etwa 350°C bis etwa 450°C gehalten, und das Substrat wurde etwa 400 mils von der Gasplatte entfernt angeordnet. Das Substrat wurde dem Plasma während etwa 20 Sekunden ausgesetzt. Die Gegenmessungen wurden vor und nach einem Veraschungsprozess genommen, bei dem ein Sauerstoffplasma verwendet wurde, um eine Photoresist-Schicht zu entfernen. Wie zu ersehen ist, zeigen die Resultate, dass die He- und N2O-Plasmabehandlungen die weitere Oxidation in Luft oder anderen oxidierenden Umgebungen, beispielsweise beim Veraschen, reduziert oder verhindert.
  • TABELLE 5
    Figure 00100001
  • Die Unterschiede zwischen dem unbehandelten SiC und dem mit Plasma behandelten SiC sind ersichtlich, indem die Unterschiede in den näherungsweisen Oxidschicht-Dicken verglichen werden, die in Tabelle 5 gezeigt sind. Eine große Steigerung in der Schichtdicke aufgrund der Oxidation kann die Eigenschaften der gesamten Schicht durch Erhöhen der Dielektrizitätskonstante oder durch Verminderung der Fähigkeit einer Sperrschicht, einer Metalldiffusion zu widerstehen, beeinflussen. Es ist daher erwünscht, jegliche Erhöhung der Oxidschicht-Dicke auf ein Minimum herabzusetzen. Die Oxidschicht-Dicke der unbehandelten SiC-Schicht war etwa 40 Ǻ vor der Veraschung und etwa 191 Ǻ nach der Veraschung, eine Erhöhung von etwa 1,5 × 10–2 μm (150 Ǻ). Im Gegensatz dazu war die Oxidschicht-Dicke der SiC-Schicht, die mit He-Plasma behandelt wurde, etwa 0 Ǻ vor der Veraschung und etwa 6 × 10–3 μm (60 Ǻ) nach der Veraschung, ein Anstieg von nur etwa 6 × 10–3 μm (60 Ǻ). Das SiC, das mit dem N2O-Plasma behandelt wurde, hat eine anfängliche Oxidschicht-Dicke von etwa 2,1 × 10–2 μm (210 Ǻ) und eine resultierende Oxidschicht-Dicke von etwa 2,55 × 10–2 μm (255 Ǻ) nach dem Veraschungsverfahren, eine Erhöhung von nur etwa 4,5 × 10–3 μm (45 Ǻ). Als Vergleich zu den mit Plasma behandelten SiC-Schichten wurde etwa 2,4 × 10–2 μm (240 Ǻ) von USG über einer SiC-Schicht abgeschieden und dann einem Veraschungsprozess unterworfen. Die Dicke vor der Veraschung war etwa 2,42 × 10–2 μm (242 Ǻ) und nach der Veraschung 2,56 × 10–2 μm (256 Ǻ), ein Erhöhung von etwa 1,4 × 10–3 μm (14 Ǻ). Die Testresultate zeigen, dass die behandelten SiC-Schichten einer Oxidation bei der Veraschung um etwa 300% mehr widerstehen als die unbehandelte SiC-Schicht. Die Resultate zeigen auch, dass die behandelten SiC-Schichten als Resultat eine Oxidation haben, die nur etwa 3 × 10–3 μm (30 Ǻ) bis etwa 4,5 × 10–3 μm (45 Ǻ) mehr beträgt als eine darunterliegende SiC-Schicht mit einer darauf abgeschiedenen USG-Schicht.
  • Beispiel 3
  • Eine Reihe von SiC-Schichten wurden einer N2O-Plasmabehandlung gemäß den Prozessregimen, die in Tabelle 2 angegeben sind, ausgesetzt. Für dieses Beispiel wurden etwa 1500 sccm eines N2O-Gases in die Kammer strömen gelassen, der Kammerdruck wurde auf etwa 1130 pa (8,5 Torr) gehalten, eine RF-Energie von etwa 250 W wurde in die Kammer mit einer Substrattemperatur von etwa 350°C bis etwa 400°C und mit einem Abstand zwischen Substrat und Gasplatte von etwa 1 cm (400 mils) abgegeben. In diesem Test umfassten die Substratschichten eine 0,5 μm–2 μm (5000–20000 Ǻ) dicke Schicht aus USG, eine 2 × 10–2 μm–1 × 10–1 μm (200–1000 Ǻ) dicke Schicht aus SiC, gefolgt von einer weiteren USG-Oxidschicht, die darauf abgeschieden wurde, und dann abgedeckt mit einer 5 × 10–2 μm (500 Ǻ)-Schicht aus Nitrid-Material. Die SiC-Schicht wurde mit dem Plasma der vorliegenden Erfindung vor der Abscheidung der USG-Schicht behandelt. In einem Satz von Tests wurden Muster, die eine SiC-Schicht hatten, mit einem N2O-Plasma während etwa 20 Sekunden behandelt. Auf einem Satz von Mustern wurde eine 7 × 10–1 μm (7000 Ǻ)-Schicht aus USG-Material darauf abgeschieden, und in einem anderen Satz wurde eine 1 μm (10000 Ǻ)-Schicht aus USG-Material darauf abgeschieden, wobei jede Dicke repräsentativ für typische Abscheidungs-Dicken in kommerziellen Ausführungsbeispielen war. Ähnliche Muster wurden mit ähnlichen USG-Dicken, die darauf abgeschieden wurden, vorbereitet, wobei die SiC-Schicht während etwa 30 Sekunden statt 20 Sekunden behandelt wurde. Jeder Satz wurde auf Schichtablösung unter einem optischen Mikroskop nach etwa 1 Std., 2 Std., 3 Std. und 4 Std. Temperbehandlung untersucht. Selbst mit einer Temperungs-Temperatur von 450°C zeigten die Muster keine Schichtablösung.
  • Ähnliche Serien von Tests wurden mit ähnlichen SiC-Schichten mit darauf abgeschiedenen USG-Schichten während ähnlicher Zeitdauern der Behandlung durchgeführt, wobei jedoch ein He-Plasmabehandlungsverfahren gemäß den Parametern von Tabelle 1 verwendet wurde. Insbesondere wurden bei diesem Beispiel etwa 1500 sccm He-Gas in die Kammer einströmen gelassen, der Kammerdruck wurde auf etwa 1130 pa (8,5 Torr) gehalten, eine RF-Energie von etwa 250 W wurde in die Kammer mit einer Substrattemperatur von etwa 350°C bis etwa 400°C und einem Abstand zwischen Substrat und Gasplatte von etwa 1 cm (400 mils) abgegeben. Die He-Plasmabehandlung ergab ähnliche Resultate wie die N2O-Plasmabehandlung (N2O wird nicht als inertes Gas im Sinne der Bedeutung von Anspruch 1 verstanden).
  • Beispiel 4
  • Eine Reihe von SiC-Schichten wurde einer Plasmabehandlung der vorliegenden Erfindung unterworfen, und die Charakteristiken der Schichthaftung wurden getestet. Die verwendeten Behandlungsparameter waren innerhalb des bevorzugten Bereichs von Tabelle 2. Speziell wurden für dieses Beispiel etwa 1500 sccm eines N2O-Gases in die Kammer strömen gelassen, der Kammerdruck wurde bei etwa 1130 pa (8,5 Torr) gehalten, eine RF-Energie von etwa 250 W wurde in die Kammer mit einer Substrattemperatur von etwa 350°C bis etwa 400°C und einem Abstand von Substrat zur Gasplatte von etwa 1 cm (400 mils) abgegeben. Die Substratschichten umfassten etwa 5 × 10–1 μm (500 Ǻ) USG, eine 5 × 10–2 μm (500 Ǻ) dicke Schicht aus SiC, wobei die SiC-Schicht mit einer Plasmabehandlung während etwa 20 Sekunden unterworfen wurde. Eine weitere USG-Oxidschicht von etwa 1 μm (10000 Ǻ) wurde darauf abgeschieden, und dann abgedeckt mit einer 5 × 10–2 μm (500 Ǻ) dicken Schicht aus Nitrid-Material. Jeder Substratstapel wurde bei etwa 450°C während vier bis acht Zyklen von etwa 30 Minuten, während einer Gesamtzeit von etwa zwei bis etwa vier Stunden getempert, um die Diffusion von Sauerstoff und anderen Gasen zu fördern, die eine Schichtablösung verursachen würden.
  • Die Schichthaftung des Stapels wurde dann durch einen „Stempel-Zieh-Test" getestet, bei dem ein Stempel typischerweise durch ein Epoxy-Klebemittel an dem Stapel befestigt und dann in einer Zugrichtung gezogen wird, und bei dem die Zugkraft gemessen wird, bis entweder der Stempel oder das Epoxy-Klebemittel sich von dem Substrat löst, oder die Schichten sich von den restlichen Substratschichten trennen. Selbst mit Temperungs-Temperaturen von 450°C während mehrerer Zyklen, zeigten die Muster keine Schichtablösung vor der Stempeltrennung von dem Substrat. Bei der N2O- Plasmabehandlung des SiC während 20 Sekunden, waren mehr als etwa 790 kg/cm2 (11000 psi) erforderlich, um die nachfolgende Schicht von dem SiC abzuheben oder zu trennen, wobei der Stempel bei etwa 790 kg/cm2 (11000 psi) sich ohne Schichtablösung der Schichten von dem Epoxy gelöst hat.
  • Ähnliche Sätze von Tests wurden an SiC-Mustern unter Verwendung der He-Plasmabehandlungsparameter von Tabelle 1 durchgeführt. Insbesondere wurden für dieses Beispiel etwa 1500 sccm He-Gas in die Kammer einströmen gelassen, der Kammerdruck wurde auf etwa 1130 pa (8,5 Torr) gehalten, und eine RF-Energie von etwa 250 W wurde in die Kammer mit einer Substrattemperatur von etwa 350°C bis etwa 400°C und einem Abstand zwischen Substrat und Gasplatte von etwa 400 mils abgegeben. Ähnliche Schichtdicken und ähnliche Behandlungszeiten wie bei der N2O-Plasmabehandlung, die oben beschrieben wurde, wurde in der He-Plasmabehandlung verwendet.
  • Die He-Plasmabehandlung erforderte mehr als etwa 570 kg/cm2 (7900 psi), um die nachfolgende Schicht von dem SiC abzuheben, wobei der Stempel bei etwa 570 kg/cm2 (7900 psi) sich von dem Epoxy löste. Kommerziell ist ein Wert von etwa 290 kg/cm2 (4000 psi) annehmbar. Im Vergleich würden ähnliche Stapel typischerweise bei einem Stempel-Zieh-Test bei weniger als etwa 70 kg/cm2 (1000 psi) versagen und ohne Behandlung der vorliegenden Erfindung, Schichtablösung zeigen. Das He-Plasma ist bevorzugt und ausreichend für die meisten kommerziellen Verarbeitungen von Substraten, insbesondere wegen der Ähnlichkeit in der Chemie zwischen der SiC-Abscheidung und der He-Plasmabehandlung.
  • Die vorliegende Erfindung kann bei einer Vielzahl von Strukturen einschließlich Damascene-Strukturen und auch in einer Vielzahl von Schichten innerhalb der Strukturen verwendet werden. 3 zeigt eine schematische Darstellung einer beispielhaften Damascene-Struktur, die in einem bevorzugten Ausführungsbeispiel mehrere Schichten aus SiC als eine Sperrschicht, Ätz-Stopschicht, ARC- und/oder andere Schichten enthält, wobei jede Schicht der Plasmabehandlung der vorliegenden Erfindung ausgesetzt werden kann. Ferner umfasst die Struktur vorzugsweise eine „in situ"-Abscheidung von zwei oder mehreren verschiedenen Schichten in dem Stapel. Die dielektrischen Schichten können mit denselben oder ähnlichen Precursor-Gasen wie das SiC-Material abgeschieden werden, oder sie können mit anderen Precursor-Gasen abgeschieden werden. Bei metallischen Schichten, beispielsweise Kupfer, die als Merkmale abgeschieden werden, verwendet das Ausführungsbeispiel vorzugsweise ein Plasma, das ein Reduktionsmittel, beispielsweise Ammoniak, enthält, um jegliche Oxide zu reduzieren, die auf den metallischen Oberflächen auftreten können.
  • Wenigstens zwei Schemata können verwendet werden, um eine duale Damascene-Struktur zu entwickeln, wobei Linien/Trenchs gleichzeitig mit Vias/Kontakten gefüllt werden. In einem „Gegenboh rungs"-Schema werden die integrierten Schaltungen typischerweise durch Abscheiden einer Sperrschicht, einer ersten dielektrischen Schicht, einer Ätz-Stopschicht, einer zweiten dielektrischen Schicht, einer ARC und eines Photoresist ausgebildet, wobei das Substrat dann geätzt wird. In 3 umfasst die integrierte Schaltung 10 ein darunterliegendes Substrat 60, das eine Reihe von Schichten umfasst, die darauf abgeschieden sind, und in dem ein Merkmal 62 ausgebildet worden ist. Wenn ein Leiter, beispielsweise Kupfer, über dem Merkmal 62 abgeschieden wird, kann der Leiter oxidieren. In situ mit der Abscheidung der verschiedenen Schichten, kann das Oxid auf dem Leiter einem Plasma ausgesetzt werden, das ein Reduktionsmittel aus Stickstoff und Sauerstoff, beispielsweise Ammoniak, enthält, um das Oxid zu reduzieren. Ein Ausführungsbeispiel ist in der ebenfalls anhängigen US-Patentanmeldung, Nr. 09/193,920, beschrieben, die Plasmaprozessparameter beschreibt, bei denen eine Ammoniak-Flussrate von etwa 100 bis etwa 1000 sccm mit einem Kammerdruckbereich von etwa 133 pa (1 Torr) bis etwa 1200 pa (9 Torr), einer RF-Energie von etwa 100 bis etwa 1000 W bei einem 200 mm-Wafer und ein Abstand zwischen Substrat und Gasplatte von etwa 0,5 cm (200 mils) bis etwa 1,5 cm (600 mils) beschreibt.
  • Das SiC kann in situ als eine Sperrschicht, eine Ätz-Stopschicht, eine ARC- und/oder eine Passivierungsschicht mit den dielektrischen Schichten abgeschieden werden. Bei jeder SiC-Schicht kann die Plasmabehandlung der vorliegenden Erfindung verwendet werden. Beispielsweise wird eine SiC-Sperrschicht 64, vorzugsweise etwa 500 Ǻ dick, über dem Substrat und dem Merkmal abgeschieden. Ohne die Notwendigkeit, das Substrat zu entfernen, kann eine dielektrische Schicht 66 in situ über der Sperrschicht 64 vorzugsweise etwa 5 × 10–1 μm (5000 Ǻ) dick abgeschieden werden. Vorzugsweise ist die dielektrische Schicht ein auf Oxid basierendes dielektrisches Material mit Eigenschaften von niedrigem k. Die dielektrische Schicht kann ein undotiertes Silicium-Dioxid-Material, das auch als undotiertes Silicium-Glas (USG) bekannt ist, ein Fluor dotiertes Silicium-Glas (FSG) oder ein anderes Silicium-Kohlenstoff-Sauerstoff basierendes Material sein, von denen einige Materialien solche mit niedrigem k sein können. Eine Ätz-Stopschicht 68 mit niedrigem k, auch aus SiC-Material gemäß der vorliegenden Erfindung, wird dann in situ auf der dielektrischen Schicht 66 bis zu einer Dicke von etwa 2 × 10–2 μm (200 Ǻ) bis etwa 1 × 10–1 μm (1000 Ǻ), vorzugsweise etwa 5 × 10–2 μm (500 Ǻ), abgeschieden. Das Ätz-Stopmaterial ist typischerweise ein Material, das eine niedrigere Ätz-Rate im Vergleich zu der dielektrischen Schicht hat, die geätzt wird, und sie gestattet einige Flexibilität bei dem Ätzverfahren, um sicherzustellen, dass eine vorgegebene Tiefe erreicht wird. In einigen gut charakterisierten Ätzverfahren kann die Ätz-Stopschicht unnötig sein. Eine weitere dielektrische Schicht 70 wird über der Ätz-Stopschicht 68 mit einer Dicke von etwa 5 × 10–1 μm (500 Ǻ) bis etwa 1 μm (10000 Ǻ), vorzugsweise etwa 7 × 10–1 μm (7000 Ǻ), abgeschieden. Die dielektrische Schicht 70 kann das gleiche Material wie die dielektrische Schicht 66 sein. Ebenso kann die dielektrische Schicht 70 in situ mit der Sperrschicht 64, der dielektrischen Schicht 66 und der Ätz-Stopschicht 68 abgeschieden werden. Eine ARC 72, ebenfalls aus SiC-Material und vorzugsweise etwa 600 Ǻ dick, wird auf der dielektrischen Schicht 70 abgeschieden, wobei die gleiche oder eine ähnliche Chemie wie bei der darunterliegenden Ätz-Stopschicht und der Sperrschicht verwendet wird. Nach der Abscheidung der ARC wird eine Photoresist-Schicht (nicht gezeigt) auf der ARC 72 abgeschieden. Das Abscheiden und die Belichtung des Photoresist und das Ätzen würden normalerweise in anderen Kammern erreicht. Die Photoresist-Schicht wird unter Verwendung herkömmlicher Photolithographie belichtet, um ein Muster für Vias/Kontakte 20a zu bilden. Die Schichten werden dann unter Verwendung herkömmlicher Ätzverfahren geätzt, wobei typischerweise Fluor-, Kohlenstoff- und Sauerstoff Ionen verwendet werden, um die Vias/Kontakte 20a zu bilden. Die Photoresist-Schicht wird danach entfernt. Eine weitere Photoresist-Schicht wird abgeschieden und belichtet, um Merkmale als Muster auszubilden, beispielsweise eine Leitung/einen Trench 20b, und die Schichten) werden geätzt, um die Leitunglden Trench 20b zu bilden. Die Photoresist-Schicht wird danach entfernt. Eine Deckschicht 22 kann über den Merkmalen oder auf den Feldern zwischen den Merkmalen nötig sein, die typischerweise aus Ta, TaN, Ti, TiN und anderen Materialien besteht. Ein leitfähiges Material 20, beispielsweise Kupfer oder Aluminium, wird dann gleichzeitig sowohl in der Via/dem Kontakt 20a und der Leitung/dem Trench 20b abgeschieden. Sobald das leitfähige Material 20 über dem Merkmal oder den Merkmalen abgeschieden ist, kann es ebenfalls einem Plasma ausgesetzt werden, das ein Reduktionsmittel, beispielsweise Ammoniak, enthält, um jegliche Oxide zu reduzieren. Eine weitere SiC-Sperrschicht 75 kann über dem leitfähigen Material 20 abgeschieden werden, um dabei zu helfen, eine Diffusion des Leiters durch die nachfolgenden Schichten zu verhindern.
  • Ein anderes Schema zur Erzeugung einer dualen Damascene-Struktur ist als „selbstausrichtender Kontakt" (SAC)-Schema bekannt. Das SAC-Schema ist ähnlich wie das Gegenbohrungs-Schema mit der Ausnahme, dass eine Photoresist-Schicht über der Ätz-Stopschicht abgeschieden wird, dass die Ätz-Stopschicht geätzt wird und dass der Photoresist entfernt wird. Sodann werden die nachfolgenden Schichten, beispielsweise eine weitere dielektrische Schicht, über der bemusterten Ätz-Stopschicht abgeschieden, eine ARC wird über der dielektrischen Schicht abgeschieden, und eine zweite Photoresist-Schicht wird über der ARC abgeschieden, wobei der Stapel wiederum geätzt wird. In dem Ausführungsbeispiel von 3 wird beispielsweise eine Photoresist-Schicht (nicht gezeigt) über der Ätz-Stopschicht 68 typischerweise in einer separaten Kammer von der Ätz-Stop-Abscheidung abge schieden. Die Ätz-Stopschicht 68 wird geätzt, um ein Muster für die Vialden Kontakt 20a zu bilden. Die Photoresist-Schicht wird entfernt. Die dielektrische Schicht 70 und die ARC 72 können dann in situ in derselben Kammer abgeschieden werden, wie die Ätz-Stopschicht abgeschieden wurde. Eine weitere Photoresist-Schicht wird auf der ARC 72 abgeschieden. Der Photoresist wird dann belichtet, um das Muster für die Leitung/den Trench 20b zu bilden. Die Leitung/der Trench 20b und die Via/der Kontakt 20a werden dann gleichzeitig geätzt. Die Photoresist-Schicht wird danach entfernt. Das leitfähige Material 20 und, wenn erwünscht, eine weitere Sperrschicht 75 werden dann über dem Substrat abgeschieden.
  • Die In situ-Verarbeitung wird wegen der verminderten Anzahl unterschiedlicher Materialien und Regime, und insbesondere, weil das SiC als Sperrschicht, Ätz-Stopschicht, ARC-Schicht und selbst als Passivierungsschicht und Dampfsperre verwendet werden kann, verbessert. Die In situ-Verarbeitung wird ferner in dem bevorzugten Ausführungsbeispiel durch Verwendung derselben oder ähnlicher Precursor-Gase verbessert, um die dielektrischen Schichten abzuscheiden. Die Verminderung oder das Vermeiden der Notwendigkeit, das Substrat aus der Verarbeitungskammer zwischen der Abscheidung der Schicht zum Zwecke der Kammerreinigung und dergleichen zu entfernen, verbessert den Durchsatz, vermindert die Abschaltzeit und vermindert das Risiko einer Kontamination.
  • In einigen Fällen kann die Ätzung in derselben Kammer durchgeführt werden, indem die Prozessbedingungen eingestellt werden. In vielen Fällen kann jedoch das Substrat in eine Ätzkammer gebracht werden. In solchen Fällen kann die Verarbeitung in einem Gruppen-Werkzeug (Cluster Tool) durchgeführt werden, das sowohl eine Abscheidungskammer als auch eine Ätzkammer enthält, beispielsweise das Gruppen-Werkzeug, das in dem US-Patent, Nr. 4,951,601, gezeigt ist. Das abdichtbare Gruppen-Werkzeug ermöglicht es, dass die Verarbeitung in dem Gruppen-Werkzeug ablaufen kann, ohne unnötigerweise den Umgebungsbedingungen ausgesetzt zu sein. Wenn möglich, gestattet eine bevorzugte Anordnung jedoch die Verarbeitung in derselben Kammer, um die Übertragungszeit zwischen den Kammern zum Zwecke eines erhöhten Durchsatzes zu reduzieren.
  • Ferner liefert die In Situ-Verarbeitung eine genaue Kontrolle über die Rate des Übergangs zwischen der abgeschiedenen Schicht und der vorhergehenden Schicht. Der Übergang zwischen den zwei Schichten wird durch den Übergang zwischen den Chemien und den dazugehörigen Prozessparametern kontrolliert, die zur Abscheidung der Schichten verwendet werden. Das Verfahren der vorliegenden Erfindung ermöglicht eine genaue Kontrolle über den Übergang durch die Kontrolle über das Plasma, die Prozessgas-Flussraten und andere Prozessparameter. Der Übergang kann abrupt sein und kann beispielsweise dadurch erreicht werden, dass das Plasma gelöscht wird, wonach die dielektrischen Schichten und die verschiedenen SiC-Schichten abgeschieden werden, während das Substrat in der Kammer verbleibt. Allmähliche Übergänge können ebenfalls erreicht werden, indem beispielsweise die Flussraten der Prozessgase verändert werden. In einem Prozess, bei dem eine dielektrische FSG-Schicht abgeschieden wird, kann die Flussrate des Silicium-Tetrafluorids, das gewöhnlich für die Abscheidung von FSG verwendet wird, reduziert werden, während der Helium- oder Argon-Fluss erhöht wird, um einen glatten Übergang von der dielektrischen Schicht zu der SiC-Schicht zu erzeugen. Die Flexibilität in dem Übergang wird dadurch ermöglicht, das mehrere Schichten in situ abgeschieden werden können. Die obrige Diskussion bezieht sich auf eine beispielhafte Sequenz und ist nicht so zu konstruieren, dass sie auf solch eine Sequenz begrenzt ist, da solch eine In situ-Verarbeitung auf eine Vielzahl von Sequenzen angewendet werden könnte. Auch sind diese Strukturen beispielhaft für eine duale Damascene-Struktur, und es ist nicht beabsichtigt, sie auf die möglichen Ausführungsbeispiele einzuschränken.
  • Die gezeigten und beschriebenen Ausführungsbeispiele sind nicht dafür gedacht, die Erfindung, außer wie durch die beigefügten Ansprüche definiert, einzuschränken. Ferner kann bei den Ausführungsbeispielen die Reihenfolge der Schichten modifiziert werden, und somit umfasst der Begriff „abgeschieden auf", oder dergleichen, in der Beschreibung und den Ansprüchen eine Schicht, die oberhalb der vorhergehenden Schicht, jedoch nicht notwendigerweise unmittelbar angrenzend an die frühere Schicht abgeschieden ist und die höher in dem Stapel liegen kann. Beispielsweise können ohne Einschränkung verschiedene Deckschichten neben den dielektrischen Schichten, den Sperrschichten, den Ätz-Stopschichten, den Metallschichten und anderen Schichten abgeschieden werden.

Claims (3)

  1. Verfahren zum Bearbeiten eines Substrats, umfassend: Abscheiden einer Schicht, die Siliciumkarbid aufweist, auf dem Substrat, und Aussetzen der Siliciumkarbidschicht gegenüber einem Behandlungsplasma, das im wesentlichen aus einem chemisch-trägen Gas besteht, für etwa 10 bis etwa 40 Sekunden bei einer Leistungsdichte von 0,1 W/cm2 (0,7 W/in2) bis 1,7 W/cm2 (11 W/in2), wobei das Aussetzen der Siliciumkarbidschicht gegenüber dem Behandlungsplasma an Ort und Stelle nach der Abscheidung der Siliciumkarbidschicht erfolgt.
  2. Verfahren nach Anspruch 1, wobei das chemisch-träge Gas He ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Aussetzen der Siliciumkarbidschicht gegenüber dem Plasma das Einleiten des chemisch trägen Gases in eine Bearbeitungskammer bei einer Durchflussleistung von 100 bis 4000 sccm, das Aufbauen eines Kammerdrucks zwischen 133 Pa (1 Torr) und 1600 Pa (12 Torr), und das Anlegen einer Hochfrequenzleistung an eine Elektrode der Verarbeitungskammerumfasst.
DE60025072T 1999-06-18 2000-05-10 Verfahren zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat Expired - Fee Related DE60025072T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US336525 1999-06-18
US09/336,525 US6821571B2 (en) 1999-06-18 1999-06-18 Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers

Publications (2)

Publication Number Publication Date
DE60025072D1 DE60025072D1 (de) 2006-02-02
DE60025072T2 true DE60025072T2 (de) 2006-06-22

Family

ID=23316497

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60025072T Expired - Fee Related DE60025072T2 (de) 1999-06-18 2000-05-10 Verfahren zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat

Country Status (5)

Country Link
US (2) US6821571B2 (de)
EP (1) EP1061156B1 (de)
JP (1) JP4738568B2 (de)
KR (1) KR100696033B1 (de)
DE (1) DE60025072T2 (de)

Families Citing this family (560)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
EP1243035B1 (de) * 1999-12-21 2016-03-02 Flexenable Limited Herstellung von leiterbahnen
KR100772736B1 (ko) * 2000-03-13 2007-11-01 엔엑스피 비 브이 반도체 디바이스 제조 방법
US7892974B2 (en) * 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6660646B1 (en) * 2000-09-21 2003-12-09 Northrop Grumman Corporation Method for plasma hardening photoresist in etching of semiconductor and superconductor films
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP3643533B2 (ja) * 2000-12-27 2005-04-27 株式会社東芝 半導体装置およびその製造方法
US6489238B1 (en) 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
KR100472031B1 (ko) * 2002-08-07 2005-03-10 동부아남반도체 주식회사 반도체 소자 제조 방법
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4882893B2 (ja) * 2002-10-30 2012-02-22 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
KR101106425B1 (ko) * 2002-12-13 2012-01-18 어플라이드 머티어리얼스, 인코포레이티드 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
US7592259B2 (en) * 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
DE10319136B4 (de) 2003-04-28 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
US7138332B2 (en) 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
CN100341121C (zh) * 2003-09-10 2007-10-03 台湾积体电路制造股份有限公司 介电层的改质方法与其在镶嵌式金属制程的应用
US20050059233A1 (en) * 2003-09-12 2005-03-17 Ming-Tsong Wang Process for forming metal damascene structure to prevent dielectric layer peeling
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US6905909B2 (en) * 2003-10-22 2005-06-14 Lsi Logic Corporation Ultra low dielectric constant thin film
DE102004002908B4 (de) * 2004-01-20 2008-01-24 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiterbauelements oder einer mikromechanischen Struktur
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
JP2005350652A (ja) * 2004-05-12 2005-12-22 Matsushita Electric Ind Co Ltd 潤滑剤、ならびに磁気記録媒体および磁気記録媒体の製造方法
KR101044611B1 (ko) * 2004-06-25 2011-06-29 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US7253121B2 (en) * 2004-09-09 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming IMD films
US20060084276A1 (en) * 2004-10-14 2006-04-20 Janet Yu Methods for surface treatment and structure formed therefrom
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
JP5100032B2 (ja) * 2005-06-27 2012-12-19 ラピスセミコンダクタ株式会社 基板の表面改質方法及び半導体装置の製造方法
DE102005056262A1 (de) * 2005-11-25 2007-05-31 Infineon Technologies Ag Verfahren zum Herstellen einer Schichtanordnung, Verfahren zum Herstellen eines elektrischen Bauelementes, Schichtanordnung und elektrisches Bauelement
US8112889B2 (en) * 2005-12-23 2012-02-14 Telecom Italia S.P.A. Method of manufacturing an ink jet printhead
US20070218214A1 (en) * 2006-03-14 2007-09-20 Kuo-Chih Lai Method of improving adhesion property of dielectric layer and interconnect process
US20070231746A1 (en) * 2006-03-29 2007-10-04 Iordanoglou Dimitrios I Treating carbon containing layers in patterning stacks
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US20080026579A1 (en) * 2006-07-25 2008-01-31 Kuo-Chih Lai Copper damascene process
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
EP2096191B1 (de) * 2006-11-02 2015-04-01 Asahi Glass Company, Limited Formprodukt aus ethylen-tetrafluorethylen-copolymer und herstellungsverfahren dafür
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090115060A1 (en) 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
EP2072636B1 (de) * 2007-12-21 2016-08-31 Sandvik Intellectual Property AB Verfahren zum Herstellen eines Schneidwerkzeugs
US20090158999A1 (en) * 2007-12-24 2009-06-25 Qimonda Ag Manufacturing method for an integrated circuit comprising a multi-layer stack, corresponding integrated circuit and multi-layer mask
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
KR101015534B1 (ko) * 2008-10-15 2011-02-16 주식회사 동부하이텍 저유전 상수를 갖는 절연막 및 이를 이용한 에어갭 제조 방법
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100203339A1 (en) * 2009-02-06 2010-08-12 Osman Eryilmaz Plasma treatment of carbon-based materials and coatings for improved friction and wear properties
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9662677B2 (en) 2010-09-15 2017-05-30 Abbott Laboratories Drug-coated balloon with location-specific plasma treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102738062B (zh) * 2011-04-01 2014-06-04 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236243B2 (en) 2014-01-09 2016-01-12 Stmicroelectronics Pte Ltd Method for making semiconductor devices including reactant treatment of residual surface portion
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN107887323B (zh) * 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
ES2949408T3 (es) * 2019-01-09 2023-09-28 Europlasma Nv Método de polimerización por plasma para el recubrimiento de un sustrato con un polímero
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CH716104A1 (fr) * 2019-04-18 2020-10-30 Sy&Se Sa Procédé d'amélioration de l'adhérence d'une couche sur un substrat.
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
DE3040972A1 (de) * 1979-10-30 1981-05-14 Fuji Photo Film Co. Ltd., Minami-Ashigara, Kanagawa Elektrophotographisches lichtempfindliches material und verfahren zu dessen herstellung
US4289798A (en) * 1980-04-14 1981-09-15 Armstrong World Industries, Inc. Method for reducing surface gloss
US4510178A (en) 1981-06-30 1985-04-09 Motorola, Inc. Thin film resistor material and method
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4557943A (en) 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
EP0154483B1 (de) 1984-03-03 1989-12-27 Stc Plc Pulsierendes Plasmaverfahren
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
JPH0642482B2 (ja) * 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
US4797527A (en) * 1985-02-06 1989-01-10 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Electrode for electric discharge machining and method for producing the same
JPS61210179A (ja) 1985-03-13 1986-09-18 Shin Etsu Chem Co Ltd ミクロト−ム用コ−ティング刃の製造方法
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JPS62158859A (ja) 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
DE3800712A1 (de) * 1988-01-13 1989-07-27 Philips Patentverwaltung Verfahren zur plasmaaktivierten reaktiven abscheidung von elektrisch leitendem mehrkomponentenmaterial aus einer gasphase
JPH01246116A (ja) * 1988-03-29 1989-10-02 Natl Inst For Res In Inorg Mater 針状,繊維状,多孔質状ダイヤモンドまたはそれらの集合体の製造法
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
CA2002213C (en) * 1988-11-10 1999-03-30 Iwona Turlik High performance integrated circuit chip package and method of making same
GB8827933D0 (en) * 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
DE3907857C1 (en) 1989-03-10 1990-05-23 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Method for preparing a layer of amorphous silicon carbide
US5011706A (en) * 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5232872A (en) * 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
US5270267A (en) * 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
JPH03105974A (ja) * 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
EP0445319B1 (de) * 1990-03-05 1995-11-08 International Business Machines Corporation Verfahren zum Herstellen von Siliziumkarbidschichten mit vorherbestimmter Spannungskraft
EP0449117A3 (en) * 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5401613A (en) * 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3000717B2 (ja) 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
JPH06101462B2 (ja) * 1991-04-30 1994-12-12 インターナショナル・ビジネス・マシーンズ・コーポレイション 過フッ化炭化水素ポリマ膜を基板に接着する方法および 基板
JPH05308107A (ja) * 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5472829A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
JP2953349B2 (ja) * 1991-12-30 1999-09-27 ソニー株式会社 レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置
US5472827A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5419783A (en) * 1992-03-26 1995-05-30 Sanyo Electric Co., Ltd. Photovoltaic device and manufacturing method therefor
WO1993023713A1 (en) * 1992-05-15 1993-11-25 Shin-Etsu Quartz Products Co., Ltd. Vertical heat treatment apparatus and heat insulating material
DE69322907T2 (de) * 1992-07-24 1999-05-27 Matsushita Electric Ind Co Ltd Magnetischer Aufzeichnungsträger und sein Herstellungsverfahren
JP2885616B2 (ja) * 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
EP0608409B1 (de) * 1992-08-14 1997-05-07 Hughes Aircraft Company Oberflächepräparation und beschichtungs-methode für titannitrid auf gusseisen
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
JPH06120152A (ja) * 1992-10-06 1994-04-28 Nippondenso Co Ltd 水素ドープ非晶質半導体膜の製造方法
JP2734915B2 (ja) * 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
JPH07105441B2 (ja) 1992-11-30 1995-11-13 日本電気株式会社 半導体装置の製造方法
US5409543A (en) * 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
KR970001883B1 (ko) 1992-12-30 1997-02-18 삼성전자 주식회사 반도체장치 및 그 제조방법
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
JP3422515B2 (ja) * 1993-03-08 2003-06-30 東海カーボン株式会社 炭素質基材の耐酸化性被膜形成法
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
JPH07142416A (ja) 1993-06-21 1995-06-02 Applied Materials Inc 改良された界面を有する層のプラズマ化学蒸着法
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
FR2707673B1 (fr) 1993-07-16 1995-08-18 Trefimetaux Procédé de métallisation de substrats non-conducteurs.
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
KR970007116B1 (ko) 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
US5427621A (en) * 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
JPH07202186A (ja) * 1993-12-28 1995-08-04 Sony Corp 半導体装置の製造方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
WO1995032317A1 (de) 1994-05-20 1995-11-30 Widia Gmbh Werkzeug sowie verfahren und vorrichtung zur herstellung des werkzeuges und verwendung des werkzeuges
AU1745695A (en) * 1994-06-03 1996-01-04 Materials Research Corporation A method of nitridization of titanium thin films
DE69535861D1 (de) * 1994-06-24 2008-11-27 Sumitomo Electric Industries Wafer und sein Herstellungsverfahren
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
DE69531980T2 (de) * 1994-08-23 2004-07-29 At & T Corp. Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08186085A (ja) * 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5545592A (en) 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5458927A (en) * 1995-03-08 1995-10-17 General Motors Corporation Process for the formation of wear- and scuff-resistant carbon coatings
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5795648A (en) * 1995-10-03 1998-08-18 Advanced Refractory Technologies, Inc. Method for preserving precision edges using diamond-like nanocomposite film coatings
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5652166A (en) * 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
JP3530667B2 (ja) * 1996-01-19 2004-05-24 キヤノン株式会社 電子写真感光体およびその製造方法
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5821603A (en) 1996-05-29 1998-10-13 Microunity Systems Engineering, Inc. Method for depositing double nitride layer in semiconductor processing
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5869396A (en) * 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5790365A (en) 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US5922418A (en) * 1996-08-15 1999-07-13 Citizen Watch Co., Ltd. Method of forming a DLC film over the inner surface of guide bush
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5730792A (en) * 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5776235A (en) * 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) * 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5827785A (en) 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5779807A (en) * 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
US6028012A (en) * 1996-12-04 2000-02-22 Yale University Process for forming a gate-quality insulating layer on a silicon carbide substrate
JP4142753B2 (ja) * 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
FR2765398B1 (fr) * 1997-06-25 1999-07-30 Commissariat Energie Atomique Structure a composant microelectronique en materiau semi-conducteur difficile a graver et a trous metallises
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US5840957A (en) * 1998-03-16 1998-11-24 E. I. Du Pont De Nemours And Company Transesterification process using lanthanum compound catalyst
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20030089992A1 (en) * 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6251775B1 (en) * 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
ATE418158T1 (de) * 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
EP1077274A1 (de) * 1999-08-17 2001-02-21 Applied Materials, Inc. Vorrichtung zur Kühlung eines Deckels sowie Verfahren zum Auftragen eines Dielektrikums mit niedrigem k-Wert
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6373076B1 (en) * 1999-12-07 2002-04-16 Philips Electronics North America Corporation Passivated silicon carbide devices with low leakage current and method of fabricating
US6576546B2 (en) * 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6676800B1 (en) * 2000-03-15 2004-01-13 Applied Materials, Inc. Particle contamination cleaning from substrates using plasmas, reactive gases, and mechanical agitation
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6335274B1 (en) * 2000-11-17 2002-01-01 Macronix International Co., Ltd. Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6777171B2 (en) * 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US20040166692A1 (en) * 2003-02-26 2004-08-26 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films
DE10319136B4 (de) * 2003-04-28 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7067437B2 (en) * 2003-09-12 2006-06-27 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US6905909B2 (en) * 2003-10-22 2005-06-14 Lsi Logic Corporation Ultra low dielectric constant thin film
US7144828B2 (en) * 2004-01-30 2006-12-05 Chartered Semiconductor Manufacturing Ltd. He treatment to improve low-k adhesion property
US7052932B2 (en) * 2004-02-24 2006-05-30 Chartered Semiconductor Manufacturing Ltd. Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics

Also Published As

Publication number Publication date
US6821571B2 (en) 2004-11-23
US20020054962A1 (en) 2002-05-09
US20050101154A1 (en) 2005-05-12
EP1061156B1 (de) 2005-12-28
EP1061156A3 (de) 2002-11-20
DE60025072D1 (de) 2006-02-02
JP2001060584A (ja) 2001-03-06
US7144606B2 (en) 2006-12-05
KR100696033B1 (ko) 2007-03-15
KR20010039634A (ko) 2001-05-15
JP4738568B2 (ja) 2011-08-03
EP1061156A2 (de) 2000-12-20

Similar Documents

Publication Publication Date Title
DE60025072T2 (de) Verfahren zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat
DE69937807T2 (de) Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung
EP1678746B1 (de) Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung
DE60037395T2 (de) Herstellung eines halbleiter-bauelementes
DE69727846T2 (de) Verfahren zum Herstellen eines isolierenden Zwischenfilms
DE69933598T2 (de) Dielektrikum aus fluoriertem amorphen Kohlenstoff mit einem niedrigen k-Wert, und Verfahren zu dessen Herstellung
DE69633150T2 (de) Siliziumcarbid-Metall-Diffusionsbarriere-Schicht
DE60116216T2 (de) Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht
DE102005057057B4 (de) Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
DE69728683T2 (de) Verfahren zur abscheidung von fluor-dotierten siliziumdioxidschichten
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
DE19904311A1 (de) Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
DE10319136A1 (de) Verbesserte mit Stickstoff angereicherte Barrierenschicht mit kleinem ε für eine Kupfermetallisierungsschicht
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE10224167B4 (de) Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
DE102019116924A1 (de) Dielektrische zwischenschicht
DE69835765T2 (de) Plasma-Verfahren
DE102007022621B4 (de) Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE10240176A1 (de) Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
DE102008044987A1 (de) Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleinem Epsilon unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
DE69724965T2 (de) Verfahren zur vereinfachung der herstellung eines dielektrischen zwischenschicht-stapels
DE60209835T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102006051496B4 (de) Halbleiterbauelement mit einem porösen Materialschichtstapel mit kleinem ε mit reduzierter UV-Empfindlichkeit und Verfahren zu dessen Herstellung
DE102009023378B4 (de) Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING

8339 Ceased/non-payment of the annual fee