DE3624384C2 - - Google Patents

Info

Publication number
DE3624384C2
DE3624384C2 DE19863624384 DE3624384A DE3624384C2 DE 3624384 C2 DE3624384 C2 DE 3624384C2 DE 19863624384 DE19863624384 DE 19863624384 DE 3624384 A DE3624384 A DE 3624384A DE 3624384 C2 DE3624384 C2 DE 3624384C2
Authority
DE
Germany
Prior art keywords
layer
counter plate
photoresist
radiation
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE19863624384
Other languages
English (en)
Other versions
DE3624384A1 (de
Inventor
Michael G. Bethesda Md. Us Ury
John C. Gaithersburg Md. Us Matthews
Stuart N. Germantown Md. Us Rounds
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fusion Systems Corp
Original Assignee
Fusion Systems Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fusion Systems Corp filed Critical Fusion Systems Corp
Publication of DE3624384A1 publication Critical patent/DE3624384A1/de
Application granted granted Critical
Publication of DE3624384C2 publication Critical patent/DE3624384C2/de
Granted legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

Die Erfindung bezieht sich auf ein Verfahren gemäß dem Oberbegriff des Patentanspruchs 1 sowie auf eine Vorrich­ tung zur Durchführung dieses Verfahrens.
Bei der Herstellung integrierter Schaltungen wird häufig das Verfahren der Photolithographie angewendet. Bei der Ausführung dieses Verfahrens wird ein Halbleiterplätt­ chen mit einem Photoresist beschichtet, der dann mit ul­ traviolettem Licht durch eine Maske hindurch bestrahlt wird, so daß ein gewünschtes Muster auf dem Photoresist abgebildet wird. Dies verursacht eine Veränderung der Löslichkeit der belichteten Bereiche des Photoresists, so daß nach Entwicklung in einem geeigneten Lösungsmit­ tel das gewünschte Muster auf dem Plättchen fixiert ist, worauf der Photoresist gehärtet wird, damit er der nach­ folgenden Bearbeitung widerstehen kann.
Bei dieser nachfolgenden Bearbeitung werden Komponenten integrierter Schaltungen, die dem gewünschten Muster entsprechen, durch Prozesse gebildet, die das Plasma­ ätzen oder die Ionenimplantation enthalten.
Nach Bildung der Komponenten der integrierten Schaltung muß der Photoresist vom Halbleiterplättchen entfernt werden, der zu diesem Zeitpunkt bereits seinen Zweck erfüllt hat. Die relative Leichtigkeit oder Schwierig­ keit, mit der dieser Photoresist entfernt werden kann, hängt von dem Ausmaß ab, mit dem physikalische und che­ mische Änderungen im Photoresist während der speziellen Plasmaätz- oder Ionenimplantationsprozesse hervorgeru­ fen worden sind; eine weitere Abhängigkeit besteht vom Ausmaß, mit dem der Photoresist quervernetzt worden ist.
Ein Verfahren der eingangs angegebenen Art ist aus der US-PS 43 41 592 bekannt. Bei diesem Verfahren wird der Photoresist einer ozonhaltigen Gasatmosphäre ausgesetzt, während das Substrat, auf dem sich die Photoresistschicht befindet, erhitzt wird. Dabei wird Ozon in eine Reaktions­ kammer über den Photoresist geschickt, während das Substrat auf eine nicht über 260°C liegende Temperatur erhitzt wird.
Bei dem aus der DE-OS 20 63 721 bekannten Verfahren wird der Photoresist, der aus einem kohlenwasserstoffhaltigen Material besteht, Sauerstoff ausgesetzt, während er mit ultraviolettem Licht bestrahlt wird, das Spektralkom­ ponenten unterhalb von 300 nm enthält. Die Kombination von ultraviolettem Licht und Sauerstoff führt zu einer Oxidation des Photoresists, wodurch er entfernt wird, indem er in flüchtige Nebenprodukte umgewandelt wird.
Zusätzlich kann das die Photoresistschicht tragende Substrat bei diesem Verfahren erhitzt werden, jedoch nicht auf Temperaturen über 250°-300°C.
Die geschilderten Verfahren zum Entfernen von Photoresist sind zwar vielversprechend, da sie sauber und gut hand­ habbar sind und kaum Probleme mit Oberflächenverunreini­ gungen und elektrischer Beschädigungen ergeben. Jedoch sind diese Verfahren bisher nicht merklich kommerziell angewendet worden, da sie in ihrer derzeit üblichen Ausführung für die meisten Photoresist-Materialien zu langsam sind und nicht einmal die Fähigkeit haben, Photoresist-Materialien zu entfernen, die stark einer Ionenimplantation unterzogen worden sind, und daher einer Härtung unterlagen.
Der Erfindung liegt die Aufgabe zugrunde, ein Verfahren der eingangs geschilderten Art zu schaffen, bei dem die Entfernung der strahlungsempfindlichen Schicht von dem Schichtträger sehr rasch durchgeführt werden kann, ins­ besondere auch dann, wenn die Schicht schwer zu entfernen ist, wenn sie beispielsweise zuvor einer zu einer Härtung führenden Ionenimplantation ausgesetzt worden ist. Ferner soll eine Vorrichtung zur Durchführung dieses Verfahrens geschaffen werden.
Erfindungsgemäß wird die Aufgabe dadurch gelöst, daß die Schicht bis zum vollständigen Entfernen auf über 300°C erwärmt wird und daß das Gas durch einen Spalt, der aus der Schichtoberfläsche und einer Gegenplatte gebildet wird, strömt, der höchstens 2 mm breit ist.
Beim erfindungsgemäßen Verfahren kann das Substrat Tempe­ raturen deutlich über 300°C ausgesetzt werden, weil das Erhitzen nur für kurze Zeit vorgenommen wird. Dies wird erreicht, weil durch den engen Spalt das Oxidationsmittel mit einer genügend großen Strömungsmenge geschickt wer­ den kann und dementsprechend auch das Entfernen der Schicht in sehr kurzer Zeit erfolgt, wobei Zeitintervalle von weniger als fünf Minuten und typischerweise inner­ halb von 1 bis 3 Minuten erreicht werden.
Die bevorzugte Weiterbildung des erfindungsgemäßen Ver­ fahrens besteht darin, daß die Schicht unter UV-Bestrah­ lung behandelt wird und daß die Intensität der UV-Strah­ lung mindestens 800 mW/cm2 beträgt.
Vorteilhafte Ausführungsformen der Vorrichtung zur Durch­ führung des Verfahrens sind in den Ansprüchen 3 bis 9 gekennzeichnet.
Die Erfindung wird nun anhand der Zeichnung beispiels­ halber erläutert. Es zeigt
Fig. 1 eine schematische Darstellung einer Ausführungsform der Erfindung,
Fig. 2 eine schematische Darstellung einer weiteren Ausführungsform der Erfin­ dung,
Fig. 3 und 4 eine Ausführungsform der Erfindung, bei der eine Gegenplatte mit mehreren Öffnungen ver­ wendet wird,
Fig. 5 und 6 eine Ausführungsform der Erfindung, bei der eine Gegenplatte ver­ wendet wird und ein Oxida­ tionsmittel von einem Rand der Platte aus zugeführt wird,
Fig. 7 und 8 eine Ausführungsform der Erfindung mit einer Gegenplatte mit mehreren parallelen Leitungen zum Zuführen eines Oxidationsmit­ tels,
Fig. 9 eine Ausführungsform der Erfindung mit einer Gegenplatte, der Leitungen zugeordnet sind, wobei je­ weils abwechselnd Leitungen ein Oxidationsmittel liefern, während dazwischenliegende Leitungen das Oxidationsmittel ab­ saugen,
Fig. 10 eine Ausführungsform der Erfindung mit einem konischen Spaltabstandsele­ ment und
Fig. 11 ein Diagramm eines bevorzugten Ultra­ violettspektrums, das bei der Licht­ quelle angewendet werden kann.
In Fig. 1 ist ein als Schichtträger dienendes Substrat, beispielsweise ein Silicium­ plättchen, das auf einem Halter 2 angebracht ist, mit einem zu entfernenden Photoresist 6 beschichtet.
Bei dieser Ausführungsform wird als Oxida­ tionsmittel Ozon verwendet; zur Erzeugung des Ozons wird reiner Sauerstoff einem Ozongenerator 18 zugeführt, der ein Generator mit stiller Entladung sein kann.
Das Ozon wird über eine Leitung 10 durch eine Öffnung in einer Gegenplatte 20 zu dem unmittelbar über dem Photoresist 6 liegenden Bereich geleitet. Die Gegenplatte 20 wird zur Erzeugung eines schmalen Spalts über dem Photoresist 6 verwendet, durch den eine dünne Schicht aus Ozon strömt. In der bevorzugten Aus­ führung besteht die Gegenplatte 20 aus Quarz. Die Platte muß aus einem Material hergestellt sein, das durch die Einwirkung von Ozon nicht beeinträchtigt wird und das keine übermäßig schnelle Zersetzung des Ozons bewirkt. Es können auch andere Materialien als Quarz verwendet werden, solange diese Materialien die obigen Eigenschaften besitzen.
Die Gegenplatte 20 befindet sich im Abstand von 2 mm oder weniger von dem Photoresist, wobei der bevorzugte Ab­ stand für viele Resist-Materialien etwa 0,5 mm beträgt. Die Gegenplatte ist mit Hilfe geeigneter Befestigungsmittel, beispielsweise geeignete Abstandsglieder, im richtigen Abstand vom Photoresist angebracht, und vorzugsweise haben die Gegenplatte und die Halterung die Form kreisrun­ der Scheiben, so daß sie mit der Form des Halbleiter­ plättchens, von dem der Photoresist entfernt werden soll, kongruent sind. Das Ozon wird dem schmalen Spalt zwischen dem Photoresist und der Gegenplatte mit einer Strömungsmenge zugeführt, die so groß ist, daß in dem Spalt Strömungsgeschwindigkeiten hervorgeru­ fen werden, die in den Bereich von 2-600 cm/s fallen. Der schmale Spalt erleichtert das Erreichen von solchen hohen Geschwindigkeiten. In der Ausführungsform von Fig. 1, bei der eine Gegenplatte mit einer einzi­ gen, in der Mitte angebrachten Strömungsmittelöffnung verwendet wird, ergibt eine Strömungsmenge von 0,056- 0,14 m3/h Geschwindigkeiten zwischen 20 und 600 cm/s. Die Geschwindigkeit beträgt etwa 20 cm/s nahe der Außenseite des zu entfernenden Photoresists. Das Ozon strömt an der Außenseite nicht nur langsamer, sondern es ist auch mehr verunreinigt als in der Mitte. In der Ausfüh­ rungsform von Fig. 3 wird mehr nicht verunreinigtes Ozon nahe der Außenseite zugeführt, so daß die Strö­ mungsgeschwindigkeit hier niedriger sein kann. In der bevorzugten Ausführungsform wird Ozon mit einer Konzentration von 4% in Sauerstoff verwendet.
Das Oxidationsmittel wird aus dem Bereich über dem Photoresist mit Hilfe von Abzugsleitungen 32 und 34 abgeführt, die zu einer Neutralisiervorrichtung führen oder zur Atmosphäre hin, beispielsweise über einen Kamin, offen sind.
Die Halterung 2 ist hohl und aus einem guten Wärmeleiter, beispielsweise aus Aluminium hergestellt. Ein elektri­ sches Widerstandsheizelement 24 ist innerhalb der Halte­ rung angebracht und so angeordnet, daß es das Halblei­ terplättchen vorheizt, ehe es dem Ozon ausgesetzt wird. Das Substrat wird auf eine Tempera­ tur über 300°C, vorzugsweise beträchtlich über 300°C erhitzt, damit das schnelle Entfernen des Photoresists erzielt wird.
Die schnelle Strömung durch den schmalen Spalt stellt sicher, daß der Photoresist ständig frischem Ozon aus­ gesetzt wird, so daß Effekte wie die Ozonrekombination auf ein Minimum herabgesetzt werden.
In der bevorzugten Ausführungsform wird als Oxidations­ mittel zwar Ozon verwendet, jedoch können auch andere bekannte Oxidationsmittel eingesetzt werden.
Es sei bemerkt, daß eine übergroße Strömungsgeschwindig­ keit im engen Spalt zu einem Abkühlen des Photoresists führt, das das Entfernen verhindert; die Obergrenze der Strömungsgeschwindigkeit hängt vom verwendeten Photore­ sist-Material und auch von anderen Prozeßvariablen ab.
In Fig. 2 ist eine weitere Ausführungsform der Erfin­ dung dargestellt, bei der gleiche Bezugszeichen die gleichen Teile wie bei der Ausführungsform von Fig. 1 kennzeichnen. Die Ausführungsform von Fig. 2 stimmt mit der von Fig. 1 überein mit der Ausnahme, daß der Photoresist während der Behandlung mit Ozon und Wärme mit ultraviolettem Licht bestrahlt wird, das merkliche Spektralkomponenten unterhalb von 300 nm hat. Die Be­ strahlung mit ultraviolettem Licht kann eine Verbesse­ rung der zum Entfernen des Photoresists benötigte Zeit­ dauer mit sich bringen, insbesondere dann, wenn größere Spaltbreiten in der Nähe von 2 mm angewendet werden.
In Fig. 2 wird die ultraviolette Strahlung von einer Quelle 30 geliefert, deren Bestrahlungsstärke wenigstens 800 mW/cm2 betragen sollte. Die Anwendung der dünnen Ozon­ schicht setzt die Absorption des ultravioletten Lichts auf ein Minimum herab und ermög­ licht die Verwendung einer fokussierten, elektrodenlosen Quelle mit hoher Leistung, die von dem Photoresist aus mechanischen Gründen getrennt sein muß, wobei der Photo­ resist in der Brennebene der Quelle angeordnet sein kann, damit die gewünschte Bestrahlungsstärke erzielt wird. Ty­ pischerweise wird eine mit Mikrowellenenergie gespeiste elektrodenlose Lichtquelle zur Erzielung der benötigten Bestrahlungsstärke benutzt.
Ein bevorzugtes Spektrum für die Strahlungsquelle ist in Fig. 11 dargestellt; es ist zu erkennen, daß merkliche Spektralkomponenten unterhalb von 300 nm vorhanden sind. Es können zwar auch andere spezifische Spektren mit tie­ fen UV-Wellenlängen unterhalb von 300 nm vorteilhaft ar­ beiten, doch hat sich gezeigt, daß das dargestellte Spek­ trum besonders gut arbeitet.
Wenn bei den Ausführungsformen von Fig. 1 und Fig. 2 der Vorgang des Entfernens des Photoresists durchge­ führt worden ist, ist es erwünscht, den Halter schnell abzukühlen, damit das Halbleiterplättchen so kurz wie möglich auf der hohen Temperatur gehalten wird, damit eine Beschädigung des Plättchens vermieden und die an­ schließenden Transportvorgänge des Plättchens erleich­ tert werden. Eine Möglichkeit zur Erzielung der Kühlung besteht darin, ein Kühlmittel, beispielsweise entioni­ siertes Wasser, durch einen (nicht dargestellten) Kanal in den Halter zu leiten. Dabei würde es sich um einen kontinuierlichen Kanal handeln, wobei in Fig. 1 das Kühlmittel über eine Leitung 14 zugeführt und eine Lei­ tung 16 abgeführt wird. Das Kühlen erfolgt durch einen Wärmeleitvorgang, und es wird schnell erreicht, da der Halter aus einem guten Wärmeleiter hergestellt ist.
Das Plättchen wird auf eine Temperatur über 300°C erhitzt, möglicherweise bis auf eine Temperatur von 350°C. Bei Ausführungsformen, bei denen eine Bestrahlung mit ultraviolettem Licht an­ gewendet wird, kann eine Erhöhung der Bestrahlungsstärke der Quelle auf 800 mW/cm2 und beträchtlich höher bis zu 2 W/cm2 oder mehr die zum Entfernen des Photoresists be­ nötigte Zeit herabsetzen, was höhere Substrattemperatu­ ren ohne daraus resultierende Schäden ermöglicht. Eine Obergrenze für die Substrattemperatur wird durch die chemischen Eigenschaften des Ozons gesetzt, das bei ho­ hen Temperaturen rekombiniert.
Die nachfolgenden Beispiele zeigen, wie die Erfindung in besonderen Fällen verwirklicht worden ist, bei denen die Vorrichtung nach Fig. 1 angewendet worden ist.
Beispiel 1
Es wurde ein Photoresist mit einer Dicke von 1,5 µm entfernt, der einer Ionenim­ plantation unterzogen und unter ultraviolettem Licht gehärtet worden war.
Das Halbleiterplättchen wurde auf eine Temperatur von 330°C erhitzt; es erreichte während des Entfernungs­ vorgangs einen oberen Temperaturwert von 331°C. Einem engen Spalt von 0,5 mm über dem Photoresist wurde mit einer Strömungsgeschwindigkeit von 0,11 m3/h eine Gasmischung zugeführt, die 4% Ozon in Sauerstoff enthielt.
Der Photoresist wurde auf einer Fläche mit einem Durch­ messer von 10 cm in 3 Minuten bis zu 98% entfernt.
Beispiel 2
Es wurde ein Photoresist mit einer Dicke von 1,5 µm, der einer starken Ionenimplantation unterzogen worden war, entfernt.
Das Halbleiterplättchen wurde auf eine Temperatur von 320°C erhitzt. Eine 3%-4% Ozon in Sauerstoff ent­ haltende Gasmischung wurde einem schmalen Spalt von 2 mm oder weniger über dem Photoresist einer Strömungs­ menge von 0,09-0,11 m3/h zugeführt, und der Photoresist wurde einer UV-Strahlung (200-420 nm) bei einer Bestrahlungsstärke von etwa 1450 mW/cm2 aus­ gesetzt.
Der Resist war nach 2,5 Minuten vollständig entfernt.
Beispiel 3
Ein PMMA-Photoresist mit einer Dicke von 1 µm wurde gehärtet.
Das Halbleiterplättchen wurde auf 320°C erhitzt. Eine 3%-4% Ozon in Sauerstoff enthaltende Gasmischung wurde einem engen Spalt von 2 mm oder weniger über dem Photoresist mit einer Strömungsmenge von 0,09-0,11 m3/h zugeführt, und der Photoresist wurde einer UV-Strahlung mit einer Bestrahlungsstärke von 1450 mW/cm2 ausgesetzt.
Der Resist war nach 30-45 s vollständig entfernt.
Es sei bemerkt, daß bei der Ausführung in einer tatsäch­ lich existierenden Fertigungsstraße die Anwendung des beschriebenen Verfahrens automatisiert werden kann. Halbleiterplättchen, von denen ein Photoresist entfernt werden soll, werden dabei automatisch zu dem Halter transportiert, wo sie dann auf eine vorbestimmte Temperatur erhitzt und an­ schließend dem Oxidationsmittel ausgesetzt werden.
In der in Fig. 1 dargestellten Ausführungsform ist zwar eine Gegenplatte 20 aus Quarz mit einer einzigen, in der Mitte an­ geordneten Strömungsmittelzufuhröffnung dargestellt, doch sind auch andere Anordnungen möglich und können sogar wünschenswert sein. In diesem Zusammenhang ist es erwünscht, eine Schicht aus einem Oxidationsmittel mit gleichmäßiger Dicke und gleichmäßiger Strömungsmenge zu erzeugen, die nicht durch Bestandteile verunreinigt ist, die aus den vorkommenden chemischen Reaktionen resultieren, beispiels­ weise mit Kohlenstoffdioxid und Wasserdampf.
In der Ausführungsform von Fig. 2 hat die Ozonschicht die Neigung, beim Strömen von der Mitte zum Rand der aus Quarz bestehenden Gegenplatte sich aufzulösen, während die Strömungsge­ schwindigkeit kleiner wird und das Ozon mit Kohlenstoff­ dioxid und Wasserdampf verunreinigt wird.
In Fig. 3 ist eine Ausführungsform dargestellt, bei der die Gegenplatte 40 mehrere Öffnungen 42, 44, 46 und 48 hat. Diese Anordnung führt dazu, daß eine Ozonschicht mit gleichmäßigerer Dicke bei einer gleichmäßigeren Strömungsgeschwindigkeit mit einer geringeren gesam­ ten und örtlichen Verunreinigung des Strömungsmittels erhalten wird. Es kann jedoch dabei zum Auftreten von Nullbereichen zwischen den Öffnungen beispielsweise an den in Fig. 3 mit 50 angegebenen Zonen kommen.
Diese Nullbereiche können durch Drehen des Photoresists in einem beträchtlichen Ausmaß kompensiert werden. In Fig. 4 ist eine Ausführungsform dargestellt, bei der der unter der Gegenplatte 52 angeordnete Halter 56 durch den Motor 58 gedreht wird. Bei einer solchen Ausführung sind zur Ermöglichung der Strö­ mungsmittelübertragung während des Drehens Gleitverbin­ dungen vorgesehen, während zur Ermöglichung der Übertra­ gung elektrischer Ströme Gleitringe verwendet werden.
In Fig. 5 ist eine Ausführungsform mit Randspeisung dargestellt, bei der die Gegenplatte 60 rechteckig ist und das Oxidationsmittel innerhalb einer Umlenkvorrich­ tung 70 durch eine Leitung 68 zugeführt wird, die sich längs des Randes der Gegenplatte 60 erstreckt. Die Leitung 68 ist in der Zeichenebene verlängert, und sie weist eine Öffnung auf, die sich an ihr entlang erstreckt. Das Oxidationsmittel wird dieser Leitung zugeführt, und es wird durch die darin angebrachte Öffnung in den Spalt zwischen der Gegenplatte 60 und dem Photore­ sist auf dem Halbleiterplättchen 62 eingespeist.
Die Dichte und die Strömungsgeschwindigkeit des Oxida­ tionsmittels sind in der Ausführung von Fig. 5 mit Randspeisung sehr gleichmäßig, jedoch zeigt das Oxida­ tionsmittel die Neigung, wegen der relativ großen Strecke, die es zurücklegt, verunreinigt zu werden.
Dies kann durch Drehen des Photoresists kompensiert werden; Fig. 6 zeigt einen Motor 72 zum Drehen der Halterung 64′.
In den Fig. 7 und 8 ist eine Ausführungsform darge­ stellt, bei der zum Einleiten des Oxidationsmittels parallele Quarzleitungen angewendet werden. An die aus Quarz beste­ hende Gegenplatte 74 sind Leitungen 80 und 82 einstückig an­ geformt, wobei der Strömungsmittelfluß zu dem auf dem Halter 78 befindlichen Halbleiterplättchen 76 durch die Pfeile in Fig. 7 angegeben ist.
In Fig. 9 ist eine weitere Ausführungsform dargestellt, bei der abwechselnd aus Quarz bestehende Leitungen, die einstückig mit der ebenfalls aus Quarz bestehenden Gegenplatte ausgebildet sind, der Strömungsmittel­ zufuhr (Leitungen 90, 94, 98) und dem Absaugen (Leitungen 92, 96, 100) von Strömungsmittel von dem Be­ reich zwischen der Gegenplatte und dem auf dem Halter 88 befindlichen Halbleiterplättchen 86 dienen. Das Absaugen des Strömungsmittels, nachdem es eine kur­ ze Strecke zurückgelegt hat, führt zu einem relativ niedrigen Verunreinigungswert.
Zur Vermeidung möglicher Nullbereiche sowie einer Ab­ schattung durch die Quarzleitungen kann es erwünscht sein, den Photoresist in den Ausführungsformen der Fig. 7, 8 und 9 zu drehen.
Anstelle einer Drehung des Halters in den oben be­ schriebenen Ausführungsformen kann ein vergleichbares Ergebnis erzielt werden, wenn der Halter in Schwing­ bewegungen versetzt wird.
In Fig. 10 ist eine weitere Ausführungsform dargestellt, bei der die das Strömungsmittel eingrenzende Gegenplatte 102 konisch ausgebildet ist, damit die Einlaßgeschwindigkeit vergrößert und die Geschwindigkeit zur Außenseite hin erhöht wird, wo dies erforderlich ist, damit einer Ver­ dünnung und Verunreinigung des Strömungsmittels entge­ gengewirkt wird.
Somit sind eine Vorrichtung und ein Verfahren beschrie­ ben worden, mit deren Hilfe ein Photoresist schnell ent­ fernt werden kann.
Die Erfindung ist zwar im Zusammenhang mit der Verwen­ dung einer ozonhaltigen Gasatmosphäre beschrieben wor­ den, doch ist zu erkennen, daß es auch möglich ist, zusätzlich zu Ozon Oxidationsmittel zu verwenden; un­ ter dem Ausdruck "Oxidationsmittel" sollen daher in den Ansprüchen Substanzen einschließlich Ozon, Sauer­ stoff, Chlor, Fluor, Jod und Wasserstoffperoxid ver­ standen werden.
Wie erwähnt, ist unter dem Ausdruck "Ultraviolettstrah­ lung" und "ultraviolett" eine Strahlung bei 200-420 nm zu verstehen.
Die Erfindung ist zwar im Zusammenhang mit dem Entfer­ nen von Photoresist-Materialien beschrieben worden, doch kann sie allgemein beim Entfernen organischer Substanzen Anwendung finden.

Claims (11)

1. Verfahren zum vollständigen Entfernen einer strahlungs­ empfindlichen Schicht von einem Schichtträger, bei dem die Schicht mit einem oxidierenden Gas bei höherer Temperatur behandelt wird, dadurch gekennzeichnet, daß die Schicht bis zum vollständigen Entfernen auf über 300°C erwärmt wird und daß das Gas durch einen Spalt, der aus der Schichtoberfläche und einer Gegenplatte (20; 20′; 40; 60; 60′; 74; 84; 102) gebildet wird, strömt, der höchstens 2 mm breit ist.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Schicht unter UV-Bestrahlung behandelt wird und daß die Intensität der UV-Strahlung mindestens 800 mW/cm2 beträgt.
3. Vorrichtung zur Durchführung des Verfahrens nach An­ spruch 1, dadurch gekennzeichnet, daß der die strahlungs­ empfindliche Schicht tragende Schichtträger auf einem Halter (2; 2′; 56; 64; 64′) angebracht ist, daß in dem Halter (2; 2′; 56; 64; 64′) eine Heizvorrichtung angebracht ist und daß die Gegenplatte (20; 20′; 40; 74; 84; 102) in einem Abstand von höchstens 2 mm über der Oberfläche der strahlungsemp­ findlichen Schicht angebracht ist.
4. Vorrichtung nach Anspruch 3 zur Durchführung des Verfah­ rens nach Anspruch 2, dadurch gekennzeichnet, daß die Gegen­ platte (20; 20′; 40; 74; 84; 102) aus Quarz besteht und daß über der Gegenplatte (20; 20′; 40; 74; 84; 102) eine UV- Strahlungsquelle (30) angebracht ist, die eine Strahlungsin­ tensität von mindestens 800 mW/cm2 erzeugt.
5. Vorrichtung nach Anspruch 3 oder 4, dadurch gekennzeich­ net, daß in der Gegenplatte (20; 20′; 40; 74; 84; 102) we­ nigstens eine Öffnung zum Zuführen des oxidierenden Gases angebracht ist.
6. Vorrichtung nach Anspruch 3 oder 4, dadurch gekennzeich­ net, daß die Gegenplatte (74; 84) mit mehreren parallelen Zuführungsleitungen (80, 82; 90, 94, 98) für das oxidierende Gas versehen ist, die zu dem Spalt hin offen sind.
7. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, daß die Gegenplatte (84) mit mehreren parallelen Absaugleitungen (92, 96, 100) versehen ist, die zu dem Spalt offen sind und abwechselnd mit den Zuführungsleitungen (90, 94, 98) ange­ ordnet sind.
8. Vorrichtung nach Anspruch 3 oder 4, dadurch gekennzeich­ net, daß das oxidierende Gas dem Spalt zwischen der Schicht­ oberfläche und der Gegenplatte (60, 60′) vom Rand her zuge­ führt wird.
9. Vorrichtung nach einem der Ansprüche 3 bis 8, dadurch gekennzeichnet, daß der Spalt kleiner als 0,6 mm ist.
10. Vorrichtung nach einem der Ansprüche 3 bis 5, dadurch gekennzeichnet, daß die Gegenplatte (102) derart ausgebildet ist, daß der Spalt zum Rand hin enger wird.
11. Vorrichtung nach einem der Ansprüche 3 bis 10, dadurch gekennzeichnet, daß der Schichtträger auf einem zur Durch­ führung einer Drehbewegung antreibbaren Halter (56; 64; 64′) angebracht ist.
DE19863624384 1985-07-19 1986-07-18 Vorrichtung zum entfernen einer photoresistschicht von einem substrat Granted DE3624384A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US75668885A 1985-07-19 1985-07-19

Publications (2)

Publication Number Publication Date
DE3624384A1 DE3624384A1 (de) 1987-01-29
DE3624384C2 true DE3624384C2 (de) 1988-11-10

Family

ID=25044626

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19863624384 Granted DE3624384A1 (de) 1985-07-19 1986-07-18 Vorrichtung zum entfernen einer photoresistschicht von einem substrat

Country Status (2)

Country Link
JP (1) JPH0628254B2 (de)
DE (1) DE3624384A1 (de)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2588508B2 (ja) * 1986-05-23 1997-03-05 日立東京エレクトロニクス株式会社 処理装置
JPH06103661B2 (ja) * 1986-12-25 1994-12-14 東京エレクトロン株式会社 アツシング装置
JPS63310118A (ja) * 1987-06-12 1988-12-19 Ube Ind Ltd オゾン反応処理方法
JP2801003B2 (ja) * 1987-06-26 1998-09-21 株式会社日立製作所 有機物除去装置
JPH01175231A (ja) * 1987-12-29 1989-07-11 Fujitsu Ltd アッシング方法
JP2574838B2 (ja) * 1988-01-18 1997-01-22 株式会社日立製作所 Alのスパッタエッチング装置
JPH0713215Y2 (ja) * 1988-01-19 1995-03-29 日本電気株式会社 半導体のレジストアッシング装置
JP2932275B2 (ja) * 1988-09-05 1999-08-09 株式会社日立製作所 有機物除去装置
JP2929196B2 (ja) * 1988-09-13 1999-08-03 東京エレクトロン株式会社 加熱装置
JPH088243B2 (ja) * 1989-12-13 1996-01-29 三菱電機株式会社 表面クリーニング装置及びその方法
DE4238586A1 (de) * 1992-11-16 1994-05-19 Inst Halbleiterphysik Gmbh Vorrichtung zur Feinstreinigung scheibenförmiger Objekte
GB2285141B (en) * 1993-12-23 1998-03-11 Motorola Ltd Method of removing photo resist
JP2764690B2 (ja) * 1994-05-20 1998-06-11 東京エレクトロン株式会社 アッシング方法及びアッシング装置
JP5987815B2 (ja) * 2013-12-06 2016-09-07 ウシオ電機株式会社 アッシング方法およびアッシング装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664899A (en) * 1969-12-29 1972-05-23 Gen Electric Removal of organic polymeric films from a substrate
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPH0612766B2 (ja) * 1983-03-04 1994-02-16 株式会社精密エンタプライズ 光照射装置
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
JPH0691048B2 (ja) * 1985-05-17 1994-11-14 日本真空技術株式会社 基板乾処理の方法および装置

Also Published As

Publication number Publication date
JPH0628254B2 (ja) 1994-04-13
JPS62290134A (ja) 1987-12-17
DE3624384A1 (de) 1987-01-29

Similar Documents

Publication Publication Date Title
DE3624384C2 (de)
DE2635066A1 (de) Verfahren zum entfernen einer photoresistschicht von einer substratoberflaeche und vorrichtung zur durchfuehrung des verfahrens
DE1966237C3 (de) Verfahren zur Erhöhung des Gradienten von elektrisch aktiven Störstellenkonzentrationen
DE2140092C3 (de) Verfahren zur Herstellung dünner Schichten auf Substraten
DE3751333T2 (de) Verfahren zum Entfernen von Photoresists auf Halbleitersubstraten.
EP0009558A1 (de) Verfahren und Vorrichtung zur Modifizierung einer Oberfläche mittels Plasma
DE3821093A1 (de) Verfahren und vorrichtung zur behandlung von oberflaechen
DE2601288A1 (de) Gasaetzvorrichtung, insbesondere zur herstellung von halbleitervorrichtungen
DE102005030338A1 (de) Dünnfilm-Ätzverfahren und Verfahren zum Herstellen eines Flüssigkristalldisplays unter Verwendung desselben
DE3919885A1 (de) Verfahren und anlage zur behandlung von mit schadstoffen belasteten fluessigkeiten
DE4217836C2 (de) Photolackentfernungsverfahren
EP0225501A2 (de) Verfahren und Vorrichtung zur Behandlung von Halbleitermaterialien
DE19534574C2 (de) Dotierverfahren zur Herstellung von Homoübergängen in Halbleitersubstraten
EP0048288B1 (de) Verfahren zur Dotierung von Halbleiterbauelementen mittels Ionenimplantation
DE69032089T2 (de) Kupfer-Aetzverfahren mit Hilfe von Haliden
DE1564963B2 (de) Verfahren zum herstellen eines stabilisierten halbleiter bauelements
DE3330032A1 (de) Behandlungsverfahren zum herbeifuehren plastischen fliessens einer glasschicht auf einem halbleiterplaettchen
DE1544275A1 (de) Herstellung von Halbleitervorrichtungen
DE3925070A1 (de) Verfahren zum erhalt einer sauberen siliziumoberflaeche
DE60021302T2 (de) Verfahren und Vorrichtung zur Behandlung eines Werkstoffes mit elektromagnetischer Strahlung in einer kontrollierten Atmosphäre
DE69535661T2 (de) Verfahren zur Herstellung eines Films für eine Halbleiteranordnung bei niedriger Temperatur
DE69125653T2 (de) Verfahren zum Herstellen einer Halbleitervorrichtung einschliesslich eines Herstellungsschrittes für ein Muster eines Fotoresistfilms
DE4336512A1 (de) Verfahren zur Reaktionskontrolle und ein Apparat, wobei Kohlenstoff-Rußmoleküle und organometallische Komplexe in angeregtem Zustand verwendet werden
EP0028786A1 (de) Ionenimplantationsverfahren
DE3687749T2 (de) Photolack-behandlungsverfahren.

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee