DE3624384A1 - Vorrichtung zum entfernen einer photoresistschicht von einem substrat - Google Patents

Vorrichtung zum entfernen einer photoresistschicht von einem substrat

Info

Publication number
DE3624384A1
DE3624384A1 DE19863624384 DE3624384A DE3624384A1 DE 3624384 A1 DE3624384 A1 DE 3624384A1 DE 19863624384 DE19863624384 DE 19863624384 DE 3624384 A DE3624384 A DE 3624384A DE 3624384 A1 DE3624384 A1 DE 3624384A1
Authority
DE
Germany
Prior art keywords
photoresist
net
photoresist layer
narrow gap
oxidizing agent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE19863624384
Other languages
English (en)
Other versions
DE3624384C2 (de
Inventor
Michael G Ury
John C Matthews
Stuart N Rounds
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fusion Systems Corp
Original Assignee
Fusion Systems Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fusion Systems Corp filed Critical Fusion Systems Corp
Publication of DE3624384A1 publication Critical patent/DE3624384A1/de
Application granted granted Critical
Publication of DE3624384C2 publication Critical patent/DE3624384C2/de
Granted legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

Die Erfindung bezieht sich auf eine Vorrichtung zum Ent­ fernen einer Photoresistschicht von einem Substrat, und insbesondere auf eine Vorrichtung, mit deren Hilfe das Entfernen sehr schnell durchgeführt werden kann.
Bei der Herstellung integrierter Schaltungen wird häufig das Verfahren der Photolithographie angewendet. Bei der Ausführung dieses Verfahrens wird ein Halbleiterplätt­ chen mit einem Photoresist beschichtet, der dann mit ul­ traviolettem Licht durch eine Maske hindurch bestrahlt wird, so daß ein gewünschtes Muster auf dem Photoresist abgebildet wird. Dies verursacht eine Veränderung der Löslichkeit der belichteten Bereiche des Photoresists, so daß nach Entwicklung in einem geeigneten Lösungsmit­ tel das gewünschte Muster auf dem Plättchen fixiert ist, worauf der Photoresist gehärtet wird, damit er der nach­ folgenden Bearbeitung widerstehen kann.
Bei dieser nachfolgenden Bearbeitung werden Komponenten integrierter Schaltungen, die dem gewünschten Muster entsprechen, durch Prozesse gebildet, die das Plasma ätzen oder die Ionenimplantation enthalten.
Nach Bildung der Komponenten der integrierten Schaltung muß der Photoresist vom Halbleiterplättchen entfernt werden, der zu diesem Zeitpunkt bereits seinen Zweck erfüllt hat. Die relative Leichtigkeit oder Schwierig­ keit, mit der dieser Photoresist entfernt werden kann, hängt von dem Ausmaß ab, mit dem physikalische und che­ mische Änderungen im Photoresist während der speziellen Plasmaätz- oder Ionenimplantationsprozesse hervorgeru­ fen worden sind; eine weitere Abhängigkeit besteht vom Ausmaß, mit dem der Photoresist quervernetzt worden ist. Es ist allgemein bekannt, daß in einem beträchtlichen Ausmaß das Härten und in einem noch größeren Ausmaß die Plasmaätz- und Ionenimplantationsvorgänge physikalische und chemische Änderungen in dem Photoresist hervorrufen, so daß das Entfernen besonders schwierig ist.
Die bisher am häufigsten angewendeten Verfahren zum Ent­ fernen des Photoresists sind die Anwendung nasser Lö­ sungsmittelentwickler wie einer Schwefelsäure-Wasser­ stoffperoxid-Lösung und das Plasmaätzen. Diese Verfah­ ren haben sich jedoch nicht völlig befriedigend gezeigt, da der Umgang mit nassen Lösungsmittelentwicklern schwie­ rig und gefährlich ist und zu einer Oberflächenverunrei­ nigung führt, während das Plasmaätzen charakteristischer­ weise zu langsam ist und manchmal zu einer elektrischen Beschädigung des Halbleiterplättchens führte.
Ein weiteres Verfahren zum Entfernen des Photoresists besteht darin, den Photoresist einer ozonhaltigen Gas­ atmosphäre auszusetzen, während das Substrat, auf dem sich die Photoresistschicht befindet, erhitzt wird. Die­ ses Verfahren ist in der US-PS 44 31 592 beschrieben, bei dem der Ozon in eine Reaktionskammer über den Pho­ toresist geschickt wird, während das Substrat auf eine nicht über 260°C liegende Temperatur erhitzt wird.
Bei einem damit in Zusammenhang stehenden Verfahren, das als "Ultraviolett-Ozon"-Verfahren bekannt ist, wird der Photoresist, der aus einem kohlenwasserstoffhalti­ gen Material besteht, Ozon ausgesetzt, während er mit ultraviolettem Licht bestrahlt wird, das Spektralkom­ ponenten unterhalb von 300 nm enthält. Die Kombination von ultraviolettem Licht und Ozon führt zu einer Oxida­ tion des Photoresists, wodurch er entfernt wird, indem er in flüchtige Nebenprodukte umgewandelt wird. Zusätz­ lich kann das die Photoresistschicht tragende Substrat im Zusammenhang mit dem Ultraviolett-Ozon-Verfahren er­ hitzt werden, obgleich bisher Temperaturen über 250°- 300°C nicht angewendet worden sind.
Die oben erläuterten Ozon- und Ultraviolett-Ozon-Verfah­ ren zum Entfernen von Photoresist waren anfänglich viel­ versprechend, da sie sauber und gut handhabbar waren und zu weniger Problemen mit Oberflächenverunreinigun­ gen und elektrischen Beschädigungen als früher angewen­ dete Verfahren ergaben. Jedoch sind diese Verfahren bis­ her nicht merklich kommerziell angewendet worden, da sie in ihrer derzeit üblichen Ausführung für die mei­ sten Photoresist-Materialien zu langsam sind und nicht einmal die Fähigkeit haben, Photoresist-Materialien zu entfernen, die stark einer Ionenimplantation unterzogen worden sind.
Beim Stand der Technik ist bekannt, daß das Entfernen durch Erhitzen des Substrats beschleunigt werden kann, während die Oberfläche des Photoresists Ozon oder Ozon und ultraviolettem Licht ausgesetzt wird. Es ist jedoch nicht offenbart worden, Substrattemperaturen über 300°C, typischerweise nicht über 260°C, anzuwenden. Es wird angenommen, daß der Grund für die Vermeidung höherer Temperaturen die Annahme ist, daß die Verwendung hö­ herer Temperaturen für Zeitintervalle, die mit den bisher zum Entfernen des Photoresists angewendeten Zeitintervallen vergleichbar sind, zu einer Beschä­ digung von Komponenten führen würde, beispielsweise durch Diffusion zwischen den Schichten der integrier­ ten Schaltung.
Gemäß der Erfindung wurde erkannt, daß das Substrat Temperaturen deutlich über 300°C ausgesetzt werden kann, wenn das Erhitzen nur für kurze Zeit vorgenom­ men wird. Damit dies erreicht wird, wird ein Mittel vorgesehen, das angrenzend an den Photoresist einen engen Spalt mit einer Dicke von 2 mm oder weniger erzeugt; wenn dann durch diesen Spalt ein Oxidationsmittel mit einer genügend großen Strö­ mungsmenge geschickt wird, daß Strömungsgeschwindig­ keiten in dem Spalt erzeugt werden, die in den Be­ reich von 2-600 cm/s fallen und dabei Substrattem­ peraturen über 300°C angewendet werden, geschieht das Entfernen des Photoresists in wesentlich kürzeren Zeiten. Bei Anwendung der Erfindung können somit sehr kurze Zeitintervalle zum Entfernen des Photoresists erzielt werden, und schwierig zu entfernende Photo­ resist-Materialien, wie diejenigen, die einer Ionen­ implantation ausgesetzt worden sind, werden innerhalb kommerziell akzeptabler Zeitintervalle entfernt, d. h. in weniger als fünf Minuten und typischerweise inner­ halb von 1-3 Minuten.
In der bevorzugten Ausführungsform der Erfindung wird der enge Spalt dadurch erzeugt, daß in geringem Ab­ stand über dem Photoresist eine Quarzplatte angeord­ net wird. Wenn zusätzlich Ultraviolettstrahlung ange­ wendet wird, minimiert die durch die Quarzplatte be­ grenzte dünne Schicht aus dem Oxidationsmit­ tel die Ultraviolettabsorption, so daß die Verwendung einer Ultraviolettquelle mit höherer Bestrahlungsstär­ ke verwendet werden kann, die in einigem Abstand von dem Photoresist angebracht werden kann. Wenn bei der Anwendung der Erfindung Ozon als Oxidations­ mittel angewendet wird, ergibt die Kombination aus der mit hoher Geschwindigkeit erfolgenden Ozonströmung durch einen engen Spalt bei Substrattemperaturen über 300°C schnellere Entfernungszeiten, als dies bisher mit ver­ gleichbaren Prozessen der Fall war. Eine weitere Verbes­ serung kann erzielt werden, wenn der Photoresist zusätz­ lich mit ultraviolettem Licht bestrahlt wird, das merk­ liche Spektralkomponenten unterhalb von 300 nm hat. Außerdem ist es möglich, das die Kombination aus dem Erhitzen des Substrats über 300°C bei Bestrahlung mit ultraviolettem Licht mit einer Bestrahlungsstärke über etwa 800 mW/cm2 auch bei Abwesenheit eines engen Spalts gemäß den obigen Ausführungen zu verbesserten Entfer­ nungsgeschwindigkeiten führt.
Somit soll mit Hilfe der Erfindung eine Vorrichtung zum schnellen Entfernen von Photoresist-Materialien geschaf­ fen werden.
Die mit Hilfe der Erfindung zu schaffende Vorrichtung ist besonders wirksam beim Entfernen von Photoresist- Materialien, die schwer zu entfernen sind, beispiels­ weise solche, die einer Ionenimplantation ausgesetzt worden sind.
Gemäß der Erfindung soll das Verfahren des Entfernens des Photoresist-Materials mit einem Oxidati­ onsmittel und den damit verbundenen Vorteilen ange­ wendet werden, wobei jedoch mit diesem Verfahren ein schnelleres Entfernen erzielt werden soll, als dies bisher möglich war.
Mittels der Erfindung soll ferner ein Verfahren zum Ent­ fernen von Photoresist-Material geschaffen werden, bei dem eine fokussierte Ultraviolettlichtquelle mit hoher Bestrahlungsstärke benutzt wird, die im Abstand von dem Resist-Material angebracht ist.
Die Erfindung wird nun anhand der Zeichnung beispiels­ halber erläutert. Es zeigen:
Fig. 1 eine schematische Darstellung einer Ausführungsform der Erfindung,
Fig. 2 eine schematische Darstellung einer weiteren Ausführungsform der Erfin­ dung,
Fig. 3 und 4 eine Ausführungsform der Erfindung, bei der ein Spaltabstandselement mit mehreren Auslässen oder Öffnungen ver­ wendet wird,
Fig. 5 und 6 eine Ausführungsform der Erfindung, bei der ein Spaltabstandselement ver­ wendet wird und ein Oxida­ tionsmittel von einem Rand der Platte aus zugeführt wird,
Fig. 7 und 8 eine Ausführungsform der Erfindung mit einem Spaltabstandselement mit mehreren parallelen Leitungen zum Zuführen eines Oxidationsmit­ tels,
Fig. 9 eine Ausführungsform der Erfindung mit einem Spaltabstandselement, dem Leitungen zugeordnet sind, wobei je­ weils abwechselnd Leitungen ein Oxidationsmittel liefern, während dazwischenliegende Leitungen das Oxidationsmittel ab­ saugen,
Fig. 10 eine Ausführungsform der Erfindung mit einem konischen Spaltabstandsele­ ment und
Fig. 11 ein Diagramm eines bevorzugten Ultra­ violettspektrums, das bei der Licht­ quelle angewendet werden kann.
In Fig. 1 ist ein Substrat, beispielweise ein Silicium­ plättchen, das auf einem Halter 2 angebracht ist, mit einem zu entfernenden Photoresist 6 beschichtet.
Bei dieser Ausführungsform wird als Oxida­ tionsmittel Ozon verwendet; zur Erzeugung des Ozons wird reiner Sauerstoff einem Ozongenerator 18 zugeführt, der ein Generator mit stiller Entladung sein kann. Bei­ spielsweise ist der Ozonerzeuger TC 0.5C der Firma Griffin Techniques Corporation geeignet.
Das Ozon wird über eine Leitung 10 durch eine Öffnung in einem Spaltabstandselement 20 zu dem unmittelbar über dem Photoresist 6 liegenden Bereich geleitet. Das Spalt­ abstandselement 20 wird zur Erzeugung eines schmalen Spalts über dem Photoresist verwendet, durch den eine dünne Schicht aus Ozon strömt. In der bevorzugten Aus­ führung ist das Element 20 eine ebene Platte aus Quarz. Die Platte muß aus einem Material hergestellt sein, das durch die Einwirkung von Ozon nicht beeinträchtigt wird und das keine übermäßig schnelle Zersetzung des Ozons bewirkt. Es können auch andere Materialien als Quarz verwendet werden, solange diese Materialien die obigen Eigenschaften besitzen.
Die Platte 20 befindet sich im Abstand von 2 mm oder weniger von dem Photoresist, wobei der bevorzugte Ab­ stand für viele Resist-Materialien etwa 0,5 mm beträgt. Die Platte ist mit Hilfe geeigneter Befestigungsmittel, beispielsweise geeignete Abstandsglieder, im richtigen Abstand vom Photoresist angebracht, und vorzugsweise haben die Platte und die Halterung die Form kreisrun­ der Scheiben, so daß sie mit der Form des Halbleiter­ plättchens, von dem der Photoresist entfernt werden soll, kongruent sind. Gemäß der Erfindung wird das Ozon dem schmalen Spalt zwischen dem Photoresist und der Platte mit einer Strömungsmenge zugeführt, die so groß ist, daß in dem Spalt Strömungsgeschwindigkeiten hervorgeru­ fen werden, die in den Bereich von 2-600 cm/s fallen. Der schmale Spalt erleichtert das Erreichen von solchen hohen Geschwindigkeiten. In der Ausführungsform von Fig. 1, bei der ein Spaltabstandselement mit einer einzi­ gen, in der Mitte angebrachten Strömungsmittelöffnung verwendet wird, ergibt eine Strömungsmenge von 0,056- 0,14 m3/h (2-5 SCFH) Geschwindigkeiten zwischen 20 und 600 cm/s. Die Geschwindigkeit beträgt etwa 20 cm/s nahe der Außenseite des zu entfernenden Photoresists. Das Ozon strömt an der Außenseite nicht nur langsamer, sondern es ist auch mehr verunreinigt als in der Mitte und wenn an­ dere Ausführungsformen verwendet werden. In der Ausfüh­ rungsform von Fig. 3 wird mehr nicht verunreinigtes Ozon nahe der Außenseite zugeführt, so daß die Strö­ mungsgeschwindigkeit hier niedriger sein kann. In der bevorzugten Ausführungsform wird Ozon mit einer Konzentration von 4 % in Sauerstoff verwendet.
Das Oxidationsmittel wird aus dem Bereich über dem Photoresist mit Hilfe von Abzugsleitungen 32 und 34 abgeführt, die zu einer Neutralisiervorrichtung führen oder zur Atmosphäre hin, beispielsweise über einen Kamin, offen sind.
Die Halterung 2 ist hohl und aus einem guten Wärmeleiter, beispielsweise aus Aluminium hergestellt. Ein elektri­ sches Widerstandsheizelement 24 ist innerhalb der Halte­ rung angebracht und so angeordnet, daß es das Halblei­ terplättchen vorheizt, ehe es dem Ozon ausgesetzt wird. Gemäß der Erfindung wird das Substrat auf eine Tempera­ tur über 300°C, vorzugsweise beträchtlich über 300°C erhitzt, damit das schnelle Entfernen des Photoresists erzielt wird.
Die schnelle Strömung durch den schmalen Spalt stellt sicher, daß der Photoresist ständig frischem Ozon aus­ gesetzt wird, so daß Effekte wie die Ozonrekombination auf ein Minimum herabgesetzt werden.
In der bevorzugten Ausführungsform wird als Oxidations­ mittel zwar Ozon verwendet, jedoch können auch andere bekannte Oxidationsmittel eingesetzt werden. Es wird angenommen, daß bei aktiveren Oxidationsmitteln Tempe­ raturen unterhalb von 300°C vorteilhafte Ergebnisse bringen, und es ist möglich, daß auf das Erhitzen ver­ zichtet werden kann. Auch bei Ozonkonzentrationen über 4 % ist eine geringere Erhitzung erforderlich.
Es sei bemerkt, daß eine übergroße Strömungsgeschwindig­ keit im engen Spalt zu einem Abkühlen des Photoresists führt, das das Entfernen verhindert; die Obergrenze der Strömungsgeschwindigkeit hängt vom verwendeten Photore­ sist-Material und auch von anderen Prozeßvariablen ab.
In Fig. 2 ist eine weitere Ausführungsform der Erfin­ dung dargestellt, bei der gleiche Bezugszeichen die gleichen Teile wie bei der Ausführungsform von Fig. 1 kennzeichnen. Die Ausführungsform von Fig. 2 stimmt mit der von Fig. 1 überein mit der Ausnahme, daß der Photoresist während der Behandlung mit Ozon und Wärme mit ultraviolettem Licht bestrahlt wird, das merkliche Spektralkomponenten unterhalb von 300 nm hat. Die Be­ strahlung mit ultraviolettem Licht kann eine Verbesse­ rung der zum Entfernen des Photoresists benötigten Zeit­ dauer mit sich bringen, insbesondere dann, wenn größere Spaltbreiten in der Nähe von 2 mm angewendet werden.
In Fig. 2 wird die ultraviolette Strahlung von einer Quelle 30 geliefert, deren Bestrahlungsstärke wenigstens 800 mW/cm2 betragen sollte. Die Anwendung der dünnen Ozon­ schicht gemäß der Erfindung setzt die Absorption des ultravioletten Lichts auf ein Minimum herab und ermög­ licht die Verwendung einer fokussierten, elektrodenlosen Quelle mit hoher Leistung, die von dem Photoresist aus mechanischen Gründen getrennt sein muß, wobei der Photo­ resist in der Brennebene der Quelle angeordnet sein kann, damit die gewünschte Bestrahlungsstärke erzielt wird. Ty­ pischerweise wird eine mit Mikrowellenenergie gespeiste elektrodenlose Lichtquelle zur Erzielung der benötigten Bestrahlungsstärke benutzt.
Eine Lichtquelle, die sich als besonders gut geeignet erwiesen hat, ist der Strahler des Typs M 150 PC der Firma Fusion Systems Corporation. In dieser Quelle werden ein sphärischer Kolben und ein segmentierter Reflektor angewendet, wie in der USA-Patentanmeldung SN 7 07 159 vom 1. März 1985 beschrieben ist. Ferner hat sich ge­ zeigt, daß für den Zweck der vorliegenden Erfindung ein Rückhaltering aus reflektierendem Material, der unter­ halb des Gitters 30 angebracht ist und um 5° gegen die Vertikale zur Außenseite hin geneigt ist, die Gleich­ mäßigkeit noch weiter verbessern kann.
Ein bevorzugtes Spektrum für die Infrarotquelle ist in Fig. 11 dargestellt; es ist zu erkennen, daß merkliche Spektralkomponenten unterhalb von 300 nm vorhanden sind. Es können zwar auch andere spezifische Spektren mit tie­ fen UV-Wellenlängen unterhalb von 300 nm vorteilhaft ar­ beiten, doch hat sich gezeigt, daß das dargestellte Spek­ trum besonders gut arbeitet.
Wenn bei den Ausführungsformen von Fig. 1 und Fig. 2 der Vorgang des Entfernens des Photoresists durchge­ führt worden ist, ist es erwünscht, die Halterung schnell abzukühlen, damit das Halbleiterplättchen so kurz wie möglich auf der hohen Temperatur gehalten wird, damit eine Beschädigung des Plättchens vermieden und die an­ schließenden Transportvorgänge des Plättchens erleich­ tert werden. Eine Möglichkeit zur Erzielung der Kühlung besteht darin, ein Kühlmittel, beispielsweise entioni­ siertes Wasser, durch einen (nicht dargestellten) Kanal in der Halterung zu leiten. Dabei würde es sich um einen kontinuierlichen Kanal handeln, wobei in Fig. 1 das Kühlmittel über eine Leitung 14 zugeführt und eine Lei­ tung 16 abgeführt wird. Das Kühlen erfolgt durch einen Wärmeleitvorgang, und es wird schnell erreicht, da die Halterung aus einem guten Wärmeleiter hergestellt ist.
Bei der Ausführung der Erfindung wird das Plättchen auf eine Temperatur über 300°C erhitzt, möglicherweise bis auf eine Temperatur von 350°C. Bei Ausführungsformen, bei denen eine Bestrahlung mit ultraviolettem Licht an­ gewendet wird, kann eine Erhöhung der Bestrahlungsstärke der Quelle auf 800 mW/cm2 und beträchtlich höher bis zu 2 W/cm2 oder mehr die zum Entfernen des Photoresists be­ nötigte Zeit herabsetzen, was höhere Substrattemperatu­ ren ohne daraus resultierende Schäden ermöglicht. Eine Obergrenze für die Substrattemperatur wird durch die chemischen Eigenschaften des Ozons gesetzt, das bei ho­ hen Temperaturen rekombiniert.
Die nachfolgenden Beispiele zeigen, wie die Erfindung in besonderen Fällen verwirklicht worden ist, bei denen die Vorrichtung nach Fig. 1 angewendet worden ist:
Beispiel 1
Es wurde ein Photoresist der Serie 1400 der Firma Shipley mit einer Dicke von 1,5 µm entfernt, der einer Ionenim­ plantation unterzogen und unter ultraviolettem Licht gehärtet worden war.
Das Halbleiterplättchen wurde auf eine Temperatur von 330°C erhitzt; es erreichte während des Entfernungs­ vorgangs einen oberen Temperaturwert von 331°C. Einem engen Spalt von 0,5 mm über dem Photoresist wurde mit einer Strömungsgeschwindigkeit von 0,11 m3/h (4 SCFH) eine Gasmischung zugeführt, die 4% Ozon in Sauerstoff enthielt.
Der Photoresist wurde auf einer Fläche mit einem Durch­ messer von 10 cm in 3 Minuten bis zu 98% entfernt.
Beispiel 2
Es wurde ein Photoresist der Serie 1400 der Firma Shipley mit einer Dicke von 1,5 µm, der einer starken Ionenimplantation unterzogen worden war, entfernt.
Das Halbleiterplättchen wurde auf eine Temperatur von 320°C erhitzt. Eine 3%-4% Ozon in Sauerstoff ent­ haltende Gasmischung wurde einem schmalen Spalt von 2 mm oder weniger über dem Photoresist einer Strömungs­ menge von 0,09-0,11 m3/h (3-4 SCFH) zugeführt, und der Photoresist wurde einer UV-Strahlung (200-420 nm) bei einer Bestrahlungsstärke von etwa 1450 mW/cm2 aus­ gesetzt.
Der Resist war nach 2,5 Minuten vollständig entfernt.
Beispiel 3
Ein PMMA-Photoresist mit einer Dicke von 1 µm wurde gehärtet.
Das Halbleiterplättchen wurde auf 320°C erhitzt. Eine 3%-4% Ozon in Sauerstoff enthaltende Gasmischung wurde einem engen Spalt von 2 mm oder weniger über dem Photoresist mit einer Strömungsmenge von 0,09-0,11 m3/h (3-4 SCFH) zugeführt, und der Photoresist wurde einer UV-Strahlung mit einer Bestrahlungsstärke von 1450 mW/cm2 ausgesetzt.
Der Resist war nach 30-45 s vollständig entfernt.
Es sei bemerkt, daß bei der Ausführung in einer tatsäch­ lich existierenden Fertigungsstraße die Anwendung der Erfindung automatisiert werden kann. Halbleiterplättchen, von denen ein Photoresist entfernt werden soll, würden dabei automatisch zu der Halterung transportiert, wo sie dann auf eine vorbestimmte Temperatur erhitzt und an­ schließend dem Oxidationsmittel ausgesetzt würden.
In der in Fig. 1 dargestellten Ausführungsform ist zwar eine Quarzplatte 20 mit einer einzigen, in der Mitte an­ geordneten Strömungsmittelzufuhröffnung dargestellt, doch sind auch andere Anordnungen möglich und können sogar wünschenswert sein. In diesem Zusammenhang ist es erwünscht, eine Schicht aus einem Oxidationsmittel mit gleichmäßiger Dicke und gleichmäßiger Strömungsmenge zu erzeugen, die nicht durch Bestandteile verunreinigt ist, die aus den vorkommenden chemischen Reaktionen resultieren, beispiels­ weise mit Kohlenstoffdioxid und Wasserdampf.
In der Ausführungsform von Fig. 2 hat die Ozonschicht die Neigung, beim Strömen von der Mitte zum Rand der Quarzplatte sich aufzulösen, während die Strömungsge­ schwindigkeit kleiner wird und das Ozon mit Kohlenstoff­ dioxid und Wasserdampf verunreinigt wird.
In Fig. 3 ist eine Ausführungsform dargestellt, bei der die Quarzplatte 40 mehrere Öffnungen 42, 44, 46 und 48 hat. Diese Anordnung führt dazu, daß eine Ozonschicht mit gleichmäßigerer Dicke bei einer gleichmäßigeren Strömungsgeschwindigkeit mit einer geringeren gesam­ ten und örtlichen Verunreinigung des Strömungsmittels erhalten wird. Es kann jedoch dabei zum Auftreten von Nullbereichen zwischen den Öffnungen beispielsweise an den in Fig. 3 mit 50 angegebenen Zonen kommen.
Diese Nullbereiche können durch Drehen des Photoresists in einem beträchtlichen Ausmaß kompensiert werden. In Fig. 4 ist eine Ausführungsform dargestellt, bei der die Halterung 56 durch den Motor 58 gedreht wird. Bei einer solchen Auführung sind zur Ermöglichung der Strö­ mungsmittelübertragung während des Drehens Gleitverbin­ dungen vorgesehen, während zur Ermöglichung der Übertra­ gung elektrischer Ströme Gleitringe verwendet werden.
In Fig. 5 ist eine Ausführungsform mit Randspeisung dargestellt, bei der die Quarzplatte 60 rechteckig ist und das Oxidationsmittel innerhalb einer Umlenkvorrich­ tung 70 durch eine Leitung 68 zugeführt wird, die sich längs des Randes der Platte 60 erstreckt. Die Leitung 68 ist in der Zeichenebene verlängert, und sie weist eine Öffnung auf, die sich an ihr entlang erstreckt. Das Oxidationsmittel wird dieser Leitung zugeführt, und es wird durch die darin angebrachte Öffnung in den Spalt zwischen der Quarzplatte 60 und dem Photore­ sist auf dem Halbleiterplättchen 62 eingespeist.
Die Dichte und die Strömungsgeschwindigkeit des Oxida­ tionsmittels sind in der Ausführung von Fig. 5 mit Randspeisung sehr gleichmäßig, jedoch zeigt das Oxida­ tionsmittel die Neigung, wegen der relativ großen Strek­ ke, die es zurücklegt, verunreinigt zu werden.
Dies kann durch Drehen des Photoresists kompensiert werden; Fig. 6 zeigt einen Motor 72 zum Drehen der Halterung 64′.
In den Fig. 7 und 8 ist eine Ausführungsform darge­ stellt, bei der zum Einleiten des Oxidationsmittels parallele Quarzleitungen angewendet werden. An die Quarzplatte 74 sind Leitungen 80 und 82 einstückig an­ geformt, wobei der Strömungsmittelfluß durch die Pfeile in Fig. 7 angegeben ist.
In Fig. 9 ist eine weitere Ausführungsform dargestellt, bei der abwechselnd Quarzleitungen, die einstückig mit der Quarzplatte ausgebildet sind, der Strömungsmittel­ zufuhr und dem Absaugen von Strömungsmittel von dem Be­ reich zwischen der Platte und dem Photoresist dienen. Das Absaugen des Strömungsmittels, nachdem es eine kur­ ze Strecke zurückgelegt hat, führt zu einem relativ niedrigen Verunreinigungswert.
Zur Vermeidung möglicher Nullbereiche sowie einer Ab­ schattung durch die Quarzleitungen kann es erwünscht sein, den Photoresist in den Ausführungsformen der Fig. 7, 8 und 9 zu drehen.
Anstelle einer Drehung der Halterung in den oben be­ schriebenen Ausführungsformen kann ein vergleichbares Ergebnis erzielt werden, wenn die Halterung in Schwing­ bewegungen versetzt wird.
In Fig. 10 ist eine weitere Ausführungsform dargestellt, bei der das das Strömungsmittel eingrenzende Teil 102 konisch ausgebildet ist, damit die Einlaßgeschwindigkeit vergrößert und die Geschwindigkeit zur Außenseite hin erhöht wird, wo dies erforderlich ist, damit einer Ver­ dünnung und Verunreinigung des Strömungsmittels entge­ gengewirkt wird.
Somit sind eine Vorrichtung und ein Verfahren beschrie­ ben worden, mit deren Hilfe ein Photoresist schnell ent­ fernt werden kann.
Die Erfindung ist zwar im Zusammenhang mit der Verwen­ dung einer ozonhaltigen Gasatmosphäre beschrieben wor­ den, doch ist zu erkennen, daß es auch möglich ist, zusätzlich zu Ozon Oxidationsmittel zu verwenden; un­ ter dem Ausdruck "Oxidationsmittel" sollen daher in den Ansprüchen Substanzen einschließlich Ozon, Sauer­ stoff, Chlor, Fluor, Jod und Wasserstoffperoxid ver­ standen werden.
Wie erwähnt, ist unter dem Ausdruck "Ultraviolettstrah­ lung" und "ultraviolett" eine Strahlung bei 200-420 nm zu verstehen.
Die Erfindung ist zwar im Zusammenhang mit dem Entfer­ nen von Photoresist-Materialien beschrieben worden, doch kann sie allgemein beim Entfernen organischer Substanzen Anwendung finden.

Claims (15)

1. Vorrichtung zum schnellen Entfernen einer Schicht aus Photoresist-Material von einem Substrat aus einem anderen Material, auf dem die Photoresistschicht ange­ bracht ist, gekennzeichnet durch Mittel zum Erzeugen eines an die Photoresistschicht angrenzenden engen Spalts von 2 mm oder weniger, der durch eine Fläche begrenzt ist, die sich im Abstand von der Photoresist­ schicht befindet, und Mittel zum Zuführen eines Oxida­ tionsmittels zu dem engen Spalt, wodurch in dem engen Spalt an der Photoresistschicht vorbei eine Strömung des Oxidationsmittels erzeugt wird.
2. Vorrichtung nach Anspruch 1, dadurch gekennzeich­ net, daß der Photoresist nur für die zum Entfernen er­ forderliche kurze Zeit auf eine Temperatur über 300°C erhitzt wird.
3. Vorrichtung nach Anspruch 2, dadurch gekennzeich­ net, daß das Oxidationsmittel in dem engen Spalt Strö­ mungsgeschwindigkeiten erreicht, die in dem Bereich zwischen 2 und 600 cm/s liegen.
4. Vorrichtung nach Anspruch 3, dadurch gekennzeich­ net, daß die Strömungsgeschwindigkeiten im Bereich zwi­ schen 20 und 500 cm/s liegen.
5. Vorrichtung nach Anspruch 4, dadurch gekennzeich­ net, daß das Oxidationsmittel ein ozonhaltiges gasför­ miges Mittel ist.
6. Vorrichtung nach Anspruch 5, gekennzeichnet durch Mittel zum Bestrahlen der Photoresistschicht mit ultra­ violettem Licht mit einer Bestrahlungsstärke von wenig­ stens 800 mW/cm2, während das Substrat erhitzt wird und das Oxidationsmittel über die Photoresistschicht bewegt wird.
7. Vorrichtung nach Anspruch 1, dadurch gekennzeich­ net, daß der enge Spalt kleiner als 0,6 mm ist.
8. Vorrichtung nach Anspruch 2, dadurch gekennzeich­ net, daß die Mittel zum Erzeugen eines engen Spalts aus einer Platte bestehen, die angrenzend an die Photore­ sistschicht angebracht ist und eine einzige Mittelöff­ nung zum Zuführen des Oxidationsmittels aufweist.
9. Vorrichtung nach Anspruch 2, dadurch gekennzeich­ net, daß die Mittel zum Erzeugen eines engen Spalts von einer Platte gebildet sind, die mehrere Öffnungen auf­ weist.
10. Vorrichtung nach Anspruch 2, dadurch gekennzeich­ net, daß die Mittel zum Erzeugen eines engen Spalts ei­ ne ebene Fläche mit einem geraden Rand enthalten und daß das Oxidationsmittel dem Bereich zwischen der ebe­ nen Fläche und der Photoresistschicht längs des Randes zugeführt wird.
11. Vorrichtung nach Anspruch 2, dadurch gekennzeich­ net, daß eine ebene Fläche ein Teil der Mittel zum Er­ zeugen eines engen Spalts ist und daß die ebene Fläche mehrere parallele Leitungen aufweist.
12. Vorrichtung nach Anspruch 11, dadurch gekennzeich­ net, daß jede der Leitungen mit einer in ihrer Längs­ richtung verlaufenden Öffnung versehen ist und daß je­ weils abwechselnd Leitungen mit Mitteln zum Zuführen des Oxidationsmittels zu dem Raum zwischen der ebenen Fläche und der Photoresistschicht und mit Mitteln zum Absaugen des Oxidationsmittels aus diesem Raum versehen sind, wobei die Mittel zum Absaugen des Oxidationsmit­ tels jeweils zwischen den Leitungen zum Zuführen des Oxidationsmittels angeordnet sind.
13. Vorrichtung nach Anspruch 2, dadurch gekennzeich­ net, daß die Photoresistschicht gedreht wird.
14. Vorrichtung nach Anspruch 3, dadurch gekennzeich­ net, daß die Mittel zum Erzeugen eines engen Spalts ein konisch ausgebildetes Teil enthalten, das nahe der Mitte einen breiteren Spalt und nahe der Außenseite ei­ nen engeren Spalt bildet.
15. Vorrichtung zum schnellen Entfernen einer Schicht aus Photoresist-Material von einem Substrat aus einem anderen Material, auf dem die Photoresistschicht ange­ bracht ist, gekennzeichnet durch Mittel zum Erhitzen des Substrats auf eine Temperatur über 300°C, Mittel zum Aussetzen der Photoresistschicht einem Oxidations­ mittel während ihrer Bestrahlung mit Ultraviolettlicht mit einer Bestrahlungsstärke von wenigstens etwa 800 mW/cm2 und Mittel zum Aufrechterhalten einer Tem­ peratur des Substrats von mehr als 300°C nur für die relativ kurze Zeit, die für die Kombination der rela­ tiv hohen Temperatur und der relativ hohen Bestrahlungs­ intensität mit Ultraviolettlicht erforderlich ist, damit das Entfernen des Photoresists erhalten wird, wobei eine Beschädigung des Substrats aufgrund einer übermäßigen Erhitzung vermieden wird.
DE19863624384 1985-07-19 1986-07-18 Vorrichtung zum entfernen einer photoresistschicht von einem substrat Granted DE3624384A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US75668885A 1985-07-19 1985-07-19

Publications (2)

Publication Number Publication Date
DE3624384A1 true DE3624384A1 (de) 1987-01-29
DE3624384C2 DE3624384C2 (de) 1988-11-10

Family

ID=25044626

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19863624384 Granted DE3624384A1 (de) 1985-07-19 1986-07-18 Vorrichtung zum entfernen einer photoresistschicht von einem substrat

Country Status (2)

Country Link
JP (1) JPH0628254B2 (de)
DE (1) DE3624384A1 (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3821093A1 (de) * 1987-06-26 1989-01-12 Hitachi Ltd Verfahren und vorrichtung zur behandlung von oberflaechen
DE4039853A1 (de) * 1989-12-13 1991-06-20 Mitsubishi Electric Corp Vorrichtung und verfahren zur oberflaechenreinigung
DE4238586A1 (de) * 1992-11-16 1994-05-19 Inst Halbleiterphysik Gmbh Vorrichtung zur Feinstreinigung scheibenförmiger Objekte
EP0660190A1 (de) * 1993-12-23 1995-06-28 Motorola Ltd Verfahren zum Entfernen von Photolack

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2588508B2 (ja) * 1986-05-23 1997-03-05 日立東京エレクトロニクス株式会社 処理装置
JPH06103661B2 (ja) * 1986-12-25 1994-12-14 東京エレクトロン株式会社 アツシング装置
JPS63310118A (ja) * 1987-06-12 1988-12-19 Ube Ind Ltd オゾン反応処理方法
JPH01175231A (ja) * 1987-12-29 1989-07-11 Fujitsu Ltd アッシング方法
JP2574838B2 (ja) * 1988-01-18 1997-01-22 株式会社日立製作所 Alのスパッタエッチング装置
JPH0713215Y2 (ja) * 1988-01-19 1995-03-29 日本電気株式会社 半導体のレジストアッシング装置
JP2932275B2 (ja) * 1988-09-05 1999-08-09 株式会社日立製作所 有機物除去装置
JP2929196B2 (ja) * 1988-09-13 1999-08-03 東京エレクトロン株式会社 加熱装置
JP2764690B2 (ja) * 1994-05-20 1998-06-11 東京エレクトロン株式会社 アッシング方法及びアッシング装置
JP5987815B2 (ja) * 2013-12-06 2016-09-07 ウシオ電機株式会社 アッシング方法およびアッシング装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2063721A1 (de) * 1969-12-29 1971-10-07 General Electric Company, Schenectady, NY (V St A ) Entfernung von organischen Poly merfilmen von der Oberflache von Schicht tragern
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0612766B2 (ja) * 1983-03-04 1994-02-16 株式会社精密エンタプライズ 光照射装置
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
JPH0691048B2 (ja) * 1985-05-17 1994-11-14 日本真空技術株式会社 基板乾処理の方法および装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2063721A1 (de) * 1969-12-29 1971-10-07 General Electric Company, Schenectady, NY (V St A ) Entfernung von organischen Poly merfilmen von der Oberflache von Schicht tragern
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3821093A1 (de) * 1987-06-26 1989-01-12 Hitachi Ltd Verfahren und vorrichtung zur behandlung von oberflaechen
US4936940A (en) * 1987-06-26 1990-06-26 Hitachi, Ltd. Equipment for surface treatment
DE4039853A1 (de) * 1989-12-13 1991-06-20 Mitsubishi Electric Corp Vorrichtung und verfahren zur oberflaechenreinigung
DE4238586A1 (de) * 1992-11-16 1994-05-19 Inst Halbleiterphysik Gmbh Vorrichtung zur Feinstreinigung scheibenförmiger Objekte
EP0660190A1 (de) * 1993-12-23 1995-06-28 Motorola Ltd Verfahren zum Entfernen von Photolack

Also Published As

Publication number Publication date
DE3624384C2 (de) 1988-11-10
JPH0628254B2 (ja) 1994-04-13
JPS62290134A (ja) 1987-12-17

Similar Documents

Publication Publication Date Title
DE3624384A1 (de) Vorrichtung zum entfernen einer photoresistschicht von einem substrat
DE2635066A1 (de) Verfahren zum entfernen einer photoresistschicht von einer substratoberflaeche und vorrichtung zur durchfuehrung des verfahrens
DE1966237C3 (de) Verfahren zur Erhöhung des Gradienten von elektrisch aktiven Störstellenkonzentrationen
DE3419217C2 (de) Verfahren und Vorrichtung zum Härten von strukturierten Reliefbildern
DE69010516T2 (de) Mikrowellen-Plasmabearbeitungsgerät.
EP0009558A1 (de) Verfahren und Vorrichtung zur Modifizierung einer Oberfläche mittels Plasma
DE2534158A1 (de) Halbleiteraufbau und verfahren zu seiner herstellung
DE2601288A1 (de) Gasaetzvorrichtung, insbesondere zur herstellung von halbleitervorrichtungen
DE2125303B2 (de) Verfahren zum Herstellen einer Halbleiteranordnung
DE19534574C2 (de) Dotierverfahren zur Herstellung von Homoübergängen in Halbleitersubstraten
DE3821093A1 (de) Verfahren und vorrichtung zur behandlung von oberflaechen
DE112005002252T5 (de) Blitzlampen-Aufwärmgerät zum Erzeugen elektromagnetischer Strahlung mit selektiven Wellenlängen
EP0143437B1 (de) Verfahren zur Herstellung von Resistmustern und für dieses Verfahren geeigneter Trockenresist
DE3136105A1 (de) "verfahren und vorrichtung zum tempern von halbleitern"
EP0225501A2 (de) Verfahren und Vorrichtung zur Behandlung von Halbleitermaterialien
DE4217836C2 (de) Photolackentfernungsverfahren
DE2749439A1 (de) Verfahren und vorrichtung zum aushaerten von beschichtungsstoffen
EP0195106A1 (de) Herstellung einer Abhebemaske und ihre Anwendung
DE1544275A1 (de) Herstellung von Halbleitervorrichtungen
DE3925070A1 (de) Verfahren zum erhalt einer sauberen siliziumoberflaeche
DE2227344B2 (de) Verfahren zum aetzen von oeffnungen in eine schicht aus organischem material
DE4106913A1 (de) Verfahren und vorrichtung zum beseitigen von organischen verunreinigungen aus einem medium
DE69125653T2 (de) Verfahren zum Herstellen einer Halbleitervorrichtung einschliesslich eines Herstellungsschrittes für ein Muster eines Fotoresistfilms
DE1489162B2 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE69535661T2 (de) Verfahren zur Herstellung eines Films für eine Halbleiteranordnung bei niedriger Temperatur

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee