DE102013100709A1 - Vorrichtungen und Verfahren zum Polieren, Ätzen und Reinigen mit einer mit Gas gemischten Flüssigkeit - Google Patents

Vorrichtungen und Verfahren zum Polieren, Ätzen und Reinigen mit einer mit Gas gemischten Flüssigkeit Download PDF

Info

Publication number
DE102013100709A1
DE102013100709A1 DE102013100709A DE102013100709A DE102013100709A1 DE 102013100709 A1 DE102013100709 A1 DE 102013100709A1 DE 102013100709 A DE102013100709 A DE 102013100709A DE 102013100709 A DE102013100709 A DE 102013100709A DE 102013100709 A1 DE102013100709 A1 DE 102013100709A1
Authority
DE
Germany
Prior art keywords
layer
chuck
gas
fluid
liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102013100709A
Other languages
English (en)
Inventor
Johann Kosub
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102013100709A1 publication Critical patent/DE102013100709A1/de
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/52After-treatment of electroplated surfaces by brightening or burnishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Electrochemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)

Abstract

Gemäß einer Ausführungsform der vorliegenden Erfindung weist ein Verfahren zum Polieren einer Vorrichtung das Bereitstellen einer Schicht mit einer nicht einheitlichen oberen Oberfläche auf. Die nicht einheitliche obere Oberfläche weist mehrere Vorsprünge auf. Das Verfahren beinhaltet ferner das Entfernen der mehreren Vorsprünge durch Aussetzen der Schicht einem Fluid (310), das Gasblasen (440) und eine Flüssigkeit (430) aufweist.

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung betrifft im Allgemeinen Polier- Ätz- und Reinigungsverfahren und genauer Vorrichtungen und Verfahren für mit Gas gemischte Flüssigkeiten zum Polieren, Ätzen und Reinigen.
  • ALLGEMEINER STAND DER TECHNIK
  • Polierverfahren wie die Planarisierung werden in vielen verschiedenen Industrien angewendet. Zum Beispiel erfordert die Herstellung von herkömmlichen Halbleitervorrichtungen viele Polierverfahren. In ähnlicher Weise sind Ätz- und Reinigungsvorgänge übliche Verfahrensschritte in der Halbleiterindustrie. In herkömmlichen Verfahren erfolgt das Ätzen, Reinigen und Polieren mittels verschiedener Ausrüstungsgeräte und Verfahren, die die Verfahrenskosten erhöhen.
  • Aufgrund der kontinuierlichen Skalierung von Halbleitervorrichtungen werden ständig neue Materialien und strukturelle Merkmale eingeführt. Ferner werden die Abmessungen der Merkmale verringert, was kontinuierlich eine verbesserte Verfahrenssensitivität bei gleichzeitiger Verringerung der Verfahrensgrenzen/-toleranzen erforderlich macht. Zum Beispiel erfordert dies eine verbesserte Ätzselektivität bei gleichzeitiger Reduzierung von Ätzfehlern. Herkömmliche Polierverfahren sind mechanische Verfahren, die im Hinblick auf die Ausführung/Gestaltung und Position entlang des Wafers sehr empfindlich sind.
  • Es ist daher Aufgabe der vorliegenden Erfindung einfache und insbesondere wirkungsvolle Verfahren und Verarbeitungsinstrumente zum Ätzen, Reinigen und Polieren eines Substrats bei der Herstellung einer Halbleitervorrichtung anzugeben, wobei gleichzeitig die Herstellungskosten reduziert sind.
  • Diese Aufgabe wird hinsichtlich des Polierverfahrens durch das Verfahren des Anspruchs 1, hinsichtlich des Verarbeitungsinstruments durch die Merkmale des Anspruchs 21 und hinsichtlich des Herstellungsverfahrens durch die Maßnahmen des Anspruchs 25 gelöst. Weiterbildungen sind in den Unteransprüchen angegeben.
  • Außerdem werden die folgenden Ausgestaltungen angegeben:
    • 21. Verarbeitungsinstrument, umfassend: ein Spannfutter zum Halten eines Substrats; eine Düse, die in einer Öffnung des Spannfutters angeordnet ist; einen ersten Gaseinlass für ein Gas, der mit der Düse verbunden ist; und einen Flüssigkeitseinlass, der mit der Düse verbunden ist, wobei die Düse konfiguriert ist, um ein Fluid, das Gasblasen und eine Flüssigkeit umfasst, freizusetzen.
    • 24. Instrument nach Punkt 21, ferner umfassend eine andere Düse, die in einer anderen Öffnung des Spannfutters angeordnet ist, wobei die andere Düse mit einem ersten Gaseinlass und dem Flüssigkeitseinlass verbunden ist.
    • 25. Instrument nach Punkt 21, ferner umfassend eine Mischkammer zwischen der Düse und dem ersten Gaseinlass und zwischen dem Flüssigkeitseinlass und der Mischkammer, wobei die Mischkammer konfiguriert ist, um das Gas in die Flüssigkeit zu mischen.
    • 26. Instrument nach Punkt 21, wobei das Substrat ein Wafer ist.
    • 27. Instrument nach Punkt 21, wobei das Spannfutter drehbar ist.
    • 28. Instrument nach Punkt 21, ferner umfassend mehrere Schaufeln zum Erzeugen eines Niederdruckbereichs über dem Spannfutter.
    • 29. Instrument nach Punkt 28, wobei der erste Gaseinlass konfiguriert ist, um das Gas aufgrund des Druckunterschieds zwischen dem Niederdruckbereich über dem Spannfutter und einem Hochdruckbereich unter dem Spannfutter einzuspritzen.
    • 30. Instrument nach Punkt 28, wobei die mehreren Schaufeln konfiguriert sind, um das Substrat zu halten.
    • 31. Instrument nach Punkt 21, wobei die Düse konfiguriert ist, um ein turbulent durchströmendes Fluid freizusetzen.
    • 32. Instrument nach Punkt 21, wobei die obere Oberfläche des Spannfutters strukturiert ist.
    • 33. Instrument nach Punkt 21, ferner umfassend einen zweiten Gaseinlass zum Einspritzen des Gases.
    • 34. Verfahren zum Herstellen einer Halbleitervorrichtung, wobei das Verfahren Folgendes umfasst: Abscheiden einer Metallschicht über einem Substrat; Bilden einer Ätzmaske über der Metallschicht; und Verwenden der Ätzmaske, Ätzen der Metallschicht durch Aussetzen der Metallschicht einem Fluid, das Gasblasen und eine Flüssigkeit umfasst.
    • 35. Verfahren nach Punkt 34, wobei das Ätzen der Metallschicht Folgendes umfasst: Verwenden eines Korrosionshemmers in dem Fluid zum Verhindern von Korrosion der Metallschicht während des Ätzens.
    • 36. Verfahren nach Punkt 35, wobei die Metallschicht eine Kupferschicht ist.
    • 37. Verfahren nach Punkt 35, wobei der Korrosionshemmer langsamer diffundiert als die anderen Bestandteile des Fluids.
    • 38. Verfahren nach Punkt 35, ferner umfassend das Bilden einer Metallbeschichtung durch Ätzen der Metallschicht.
    • 39. Verfahren nach Punkt 38, ferner umfassend das Bilden einer Durchkontaktierung durch Ätzen der Metallschicht.
    • 40. Verfahren nach Punkt 38, ferner umfassend das Bilden einer Öffnung mit Seitenwänden und einer unteren Fläche durch Ätzen der Metallschicht, wobei die untere Fläche einen Abschnitt der Metallschicht freilegt.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zum Polieren einer Vorrichtung das Bereitstellen einer Schicht mit einer nicht einheitlichen oberen Oberfläche. In einer Ausführungsform kann die Schicht über einem Substrat angeordnet werden. Die nicht einheitliche obere Oberfläche weist mehrere Vorsprünge auf. Das Verfahren umfasst ferner das Entfernen der mehreren Vorsprünge durch Aussetzen der Schicht einem Fluid, das Gasblasen und eine Flüssigkeit umfasst.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zum Herstellen einer Halbleitervorrichtung das Bilden einer Kupferschicht, die eine nicht einheitliche obere Oberfläche über einem Substrat aufweist, und das Planarisieren der nicht einheitlichen oberen Oberfläche durch Aussetzen der Kupferschicht einer Strömung eines Fluids. Das Fluid umfasst Gasblasen und eine Flüssigkeit.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung umfasst ein Verarbeitungsinstrument ein Spannfutter zum Halten eines Substrats und einer Düse, die in einer zentralen Öffnung des Spannfutters angeordnet ist. Das Instrument weist ferner einen ersten Gaseinlass, der mit der Düse verbunden ist, und einen Flüssigkeitseinlass auf, der mit der Düse verbunden ist. Die Düse ist derart konfiguriert, dass sie ein Fluid freisetzt, das Gasblasen und eine Flüssigkeit umfasst.
  • Vorstehend wurden die Merkmale einer Ausführungsform der vorliegenden Erfindung grob umrissen, sodass die nun folgende ausführliche Beschreibung der Erfindung besser verständlich ist. Zusätzliche Merkmale und Vorteile der Ausführungsformen der Erfindung werden nachstehend beschrieben und bilden den Gegenstand der Ansprüche der Erfindung. Der Fachmann wird zu schätzen wissen, dass das hierin offenbarte Konzept und die spezifischen Ausführungsformen ohne Weiteres als Grundlage für Änderungen oder zur Gestaltung anderer Strukturen oder Verfahren für die gleichen Zwecke der vorliegenden Erfindung verwendet werden können. Der Fachmann wird ferner erkennen, dass diese äquivalenten Konstruktionen von dem in den beiliegenden Ansprüchen dargelegten Geist und Schutzbereich der Erfindung nicht abweichen.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Für ein vollständigeres Verständnis der vorliegenden Erfindung und deren Vorteile wird nun auf die folgenden Beschreibungen in Verbindung mit den beiliegenden Zeichnungen Bezug genommen. Es zeigen:
  • 1, die 1A und 1B einschließt, eine Querschnittsansicht einer Halbleitervorrichtung nach der Bildung der Vorrichtungsbereiche und während der Bildung der unteren Metallisierungsebenen gemäß einer Ausführungsform der Erfindung;
  • 2 die Halbleitervorrichtung nach Füllen mehrerer Löcher mit einem Opfermaterial gemäß einer Ausführungsform der Erfindung;
  • 3 die Halbleitervorrichtung nach Bilden von Mustern für Metallbeschichtungen in einer Isolierschicht gemäß einer Ausführungsform der Erfindung;
  • 4 die Halbleitervorrichtung nach Bilden einer einzigen Öffnung für Metallbeschichtungen und Durchkontaktierungen gemäß einer Ausführungsform der Erfindung;
  • 5 die Halbleitervorrichtung nach Überfüllen der Öffnung für Metallbeschichtungen und Durchkontaktierungen mit Kupfer gemäß einer Ausführungsform der Erfindung;
  • 6 die Halbleitervorrichtung während des Polierens/Ätzens unter Verwendung eines übersättigten Fluids gemäß einer Ausführungsform der Erfindung;
  • 7 die Halbleitervorrichtung nach der Planarisierung unter Verwendung des übersättigten Fluids gemäß einer Ausführungsform der Erfindung;
  • 8 die Halbleitervorrichtung nach ähnlichem Bilden nachfolgender Metallisierungsschichten gemäß einer Ausführungsform der Erfindung;
  • 9, die 9A bis 9C einschließt, ein Ätzinstrument gemäß Ausführungsformen der Erfindung, wobei 9A und 9C Querschnittsansichten darstellen und 9B eine Draufsicht darstellt;
  • 10, die 10A bis 10C einschließt, eine vergrößerte Querschnittsansicht der Oberfläche des Spannfutters aus 9 gemäß Ausführungsformen der Erfindung;
  • 11, die 11A bis 11B einschließt, eine Draufsicht der Oberfläche des Spannfutters aus 9 gemäß Ausführungsformen der Erfindung;
  • 12, die 12A und 12B aufweist, eine alternative Ätz-/Poliervorrichtung gemäß einer Ausführungsform der Erfindung;
  • 13, die 13A und 13B einschließt, ein Verfahren zum Ätzen/Polieren gemäß einer alternativen Ausführungsform der Erfindung;
  • 14, die 14A und 14B einschließt, eine Ausführungsform der Erfindung, die auf die Reinigung angewendet wird;
  • 15, die 15A und 15B einschließt, eine Ausführungsform der Erfindung, die auf die Polierung angewendet wird; und
  • 16, die 16A und 16B einschließt, eine Ausführungsform der Erfindung, die auf das Ätzen von Metallbeschichtungen angewendet wird.
  • Übereinstimmende Ziffern und Symbole in den unterschiedlichen Figuren beziehen sich im Allgemeinen auf die entsprechenden Teile, sofern nicht ausdrücklich anderweitig angegeben. Die Figuren sind derart gezeichnet, dass sie die relevanten Aspekte der Ausführungsformen verdeutlichen, und sind daher nicht unbedingt maßstabsgetreu.
  • AUSFÜHRLICHE BESCHREIBUNG VON BEISPIELHAFTEN AUSFÜHRUNGSFORMEN
  • Die Herstellung und Verwendung der verschiedenen Ausführungsformen wird unten ausführlich erläutert. Man wird jedoch zu schätzen wissen, dass die vorliegende Erfindung viele anwendbare erfinderische Konzepte bereitstellt, die in einer breiten Vielfalt spezifischer Kontexte ausgeführt werden können. Die hier erläuterten spezifischen Ausführungsformen sind lediglich Darstellungen spezifischer Arten und Weisen der Herstellung und Verwendung der Erfindung und schränken den Schutzbereich der Erfindung in keiner Weise ein.
  • Herkömmliche Ätz- und Reinigungsverfahren sind durch den Verfahrenstyp (z. B. Plasmaätzen, Nassätzen usw.) und die verfügbaren Ätzmittel begrenzt. In ähnlicher Weise sind Polierverfahren durch das verfügbare Poliermedium eingeschränkt. Ausführungsformen der Erfindung überwinden diese Einschränkungen, indem sie einen neuen Typ von Ätz-/Reinigungs-/Polierverfahren lehren und den grundlegenden Mechanismus dieser Verfahren mithilfe eines Gas-Flüssigkeits-Gemisches verändern.
  • Eine Ausführungsform der Erfindung, die ein Verfahren zum Polieren/Ätzen betrifft, wird anhand von 1 bis 8 beschrieben. Eine alternative Ausführungsform des Polierens/Ätzens wird anhand von 13 beschrieben. Eine Ausführungsform der Erfindung zum Reinigen von Substraten wird anhand von 14 beschrieben. Eine Ausführungsform der Erfindung zum Polieren von Substraten wird anhand von 15 beschrieben. Ausführungsformen der Erfindung, die ein Verfahrensinstrument zum Polieren/Ätzen betreffen, werden anhand von 9 bis 12 beschrieben.
  • 1, die 1A und 1B einschließt, stellt eine Querschnittsansicht einer Halbleitervorrichtung nach der Bildung der Vorrichtungsbereiche und während der Bildung der unteren Metallisierungsebenen gemäß einer Ausführungsform der Erfindung dar.
  • 1 stellt eine Draufsicht im Querschnitt des Chips 1 (nicht maßstabsgetreu dargestellt) dar, in dessen Inneren eine aktive Schaltung angeordnet ist. Die aktive Schaltung enthält Vorrichtungsbereiche und weist notwendige Transistoren, Widerstände, Kondensatoren, Induktoren oder andere Komponenten auf, die zum Bilden von integrierten Schaltungen verwendet werden.
  • Danach werden Metallisierungsschichten in einer Isolierschicht 100 über den Vorrichtungsbereichen gebildet, um die aktiven Vorrichtungen elektrisch zu kontaktieren und miteinander zu verbinden. Die Metallisierungsschichten und Vorrichtungsbereiche bilden zusammen eine vollständige funktionelle integrierte Schaltung. Mit anderen Worten, die elektrischen Funktionen des Chips 1 können von der verbundenen aktiven Schaltung ausgeführt werden. In logischen Vorrichtungen kann die Metallisierung viele Schichten aus Kupfer oder alternativ aus anderen Metallen aufweisen, z. B. neun oder mehr. In Speichervorrichtungen wie DRAMs kann die Anzahl der Metallebenen geringer sein.
  • Ein vergrößerter Querschnittsabschnitt des Chips 1 ist in 1B dargestellt. Die Halbleitervorrichtung ist nach der Bildung von Vorrichtungsbereichen wie Transistorbereichen in dem Substrat 10 dargestellt. Die Vorrichtungsbereiche können jeden beliebigen Vorrichtungstyp beinhalten, einschließlich aktiver Vorrichtungen wie Transistoren, Dioden, Thyristoren usw., sowie passive Vorrichtungen wie Widerstände, Induktoren usw.
  • Wie auch in den nachfolgenden Verfahrensschritten erläutert, werden die Metallisierungsschichten mit Metallbeschichtungen und Durchkontaktierungen über dem Substrat gebildet. Die Metallbeschichtungen und Durchkontaktierungen werden in einer Isolierschicht 100 gebildet, die gerade hergestellt wird. Die Isolierschicht 100 umfasst in einer oder mehreren Ausführungsformen SiO2 wie Tetraethyloxysilan (TEOS) oder fluoriertes TEOS (FTEOS). In verschiedenen Ausführungsformen kann die Isolierschicht 100 Isoliermaterialien umfassen, die gewöhnlich bei der Halbleiterherstellung für ILD(Inter-Level Dielectric)-Schichten verwendet werden, wie z. B. dotiertes Glas (BPSG, PSG, BSG), Organosilikatglas (OSG), kohlenstoffdotierte Oxide (CDO), fluoriertes Silikatglas (FSG), Spin-On-Glas (SOG) oder Isoliermaterialien mit niedriger Dielektrizitätskonstante (Low-k), die eine Dielektrizitätskonstante von etwa 4 oder weniger aufweisen. Die Isolierschicht 100 kann auch ein Dielektrikum mit einer Dielektrizitätskonstante von etwa 3 oder niedriger wie ein dichtes SiCOH oder beispielsweise ein poröses Dielektrikum umfassen.
  • In verschiedenen Ausführungsformen kann die Isolierschicht 100 mehrere Schichten umfassen. In einer Ausführungsform wird jede nachfolgende Schicht der Metallbeschichtung in einer anderen Isolierschicht gebildet. Als weiteres Beispiel umfasst die Isolierschicht 100 eine erste Isolierschicht 100A, eine zweite Isolierschicht 100B und eine dritte Isolierschicht 100C. Jede dieser Schichten der Isolierschicht 100 kann zum Beispiel eine Dicke von etwa 100 nm bis etwa 500 nm umfassen, wenngleich sie alternativ andere Abmessungen umfassen können.
  • Das Substrat 10 kann viele Vorrichtungen wie einen Transistor 5 aufweisen, der in 1B dargestellt ist. Der Transistor 5 umfasst ein Gate 40, ein Gatedielektrikum 45 und einen Kanalbereich 30, der zwischen den Source-Drain-Bereichen 15 angeordnet ist. Benachbarte Vorrichtungen können durch Isolierbereiche 20 isoliert sein. Aktive Bereiche, die Transistoren aufweisen (z. B. CMOS-Transistoren), können voneinander durch Isolierbereiche 20, z. B. eine Isolierung mit flachem Graben voneinander getrennt sein. Die Source-Drain-Bereiche 15 (und andere Vorrichtungsbereiche) sind mit anderen Vorrichtungen auf dem Substrat 10 und mit Eingangs-/Ausgangs-Kontakten durch Kontaktbereiche 50 verbunden. Die Kontaktbereiche 50 können in dem Substrat 10 angeordnet sein und können sich über dem Substrat 10 erstrecken (wie dargestellt). In einer oder mehreren Ausführungsformen umfassen die Kontaktbereiche 50 Silizidbereiche. Die erste Isolierschicht 100A deckt die Kontaktbereiche 50 ab und umgibt das Gate 40. Der Transistor 5 kann zusätzliche Strukturen wie versetzte Abstandshalter um das Gate 40 und das Gatedielektrikum 45 umfassen. Kontaktstecker 55 sind in der ersten Isolierschicht 100A angeordnet, um sich mit Vorrichtungsbereichen in dem Substrat 10 durch die Kontaktbereiche 50 zu verbinden.
  • Eine zweite Isolierschicht 100B wird über der ersten Isolierschicht 100A gebildet. Ein Ätzstoppschicht und dielektrische Diffusionsbarrieren können vor Abscheiden der zweiten Isolierschicht 100B über der ersten Isolierschicht 100A gebildet werden. Solche Schichten können zum Bedecken der Metallbeschichtungen werden und können dielektrische Materialien wie Siliciumnitrid (SiN), Siliciumcarbid (SiC), Siliciumcarbonitride (SiCN) oder andere geeignete dielektrische Sperrschichten oder Kombinationen davon umfassen. Erste Metallbeschichtungen 60 werden in der zweiten Isolierschicht 100B gebildet.
  • Ferner werden in verschiedenen Ausführungsformen Metallschichten hergestellt. Die Anzahl der Metallschichten hängt von dem Typ der Schaltung und der hergestellten Halbleitervorrichtung ab. Nur zu Erläuterungszwecken werden in dieser Ausführungsform sieben Metallschichten hergestellt.
  • In verschiedenen Ausführungsformen der Erfindung werden die Metall- und Durchkontaktierungsebenen mithilfe eines einfachen Damascene-Verfahrens oder eines dualen Damascene-Verfahrens gebildet. In einem einfachen Damascene-Verfahren wird eine einzelne Schicht aus Isoliermaterial mit einem Muster für leitfähige Merkmale wie leitfähige Beschichtungen, leitfähige Durchkontaktierungen gemustert. Im Gegensatz dazu werden in einem dualen Damascene-Verfahren die Durchkontaktierungen und Metallbeschichtungen für leitfähige Merkmale gemustert und in einem einzigen Füllschritt mit einem leitfähigen Material gefüllt.
  • Zum Beispiel werden die Metallbeschichtungen und Durchkontaktierungen in der dritten Isolierschicht 100C mithilfe eines dualen Damascene-Verfahrens gebildet. Wie dargestellt, wird eine Ätzstoppschicht 66 auf der zweiten Isolierschicht 100B gebildet. Die Ätzstoppschicht 66 umfasst SiCHN, wenngleich in anderen Ausführungsformen andere Nitride oder andere geeignete Materialien verwendet werden können. Zu Beispielen der Ätzstoppschicht 66 gehören in verschiedenen Ausführungsformen Materialien wie Siliciumnitrid (SiN), Siliciumoxynitrid (SiON), Siliciumcarbid (SiC) oder Siliciumcarbonitrid (SiCN), Titannitrid. Die Ätzstoppschicht 66 kann mithilfe eines Dampfphasenabscheidungsverfahrens wie der chemischen Dampfphasenabscheidung, Plasma-Dampfphasenabscheidung usw. abgeschieden werden.
  • Eine dritte Isolierschicht 100C wird über der Ätzstoppschicht 66 gebildet. Die dritte Isolierschicht 100C kann entweder ein Spin-On-Material sein oder durch Dampfphasenabscheidungstechniken wie der chemischen Dampfphasenabscheidung, Plasma-Dampfphasenabscheidung abgeschieden werden. Eine erste Hartmaskenschicht (nicht dargestellt) wird über der dritten Isolierschicht 100C angeordnet. Die erste Hartmaskenschicht umfasst SiO2 wie Tetraethyloxysilan (TEOS), Siliciumcarbid (SiC) oder kohlenstoffdotiertes Glas, jedoch können in verschiedenen Ausführungsformen andere Materialien verwendet werden. Durch herkömmliche Lithographietechniken wird die erste Hartmaskenschicht für Durchkontaktierungen gemustert. Mithilfe der ersten Hartmaskenschicht als Ätzmaske werden mehrere Löcher 67 zum Bilden von Durchkontaktierungen in der dritten Isolierschicht 100C gemustert, das heißt, das Muster von der ersten Hartmaskenschicht wird auf die dritte Isolierschicht 100C übertragen. In verschiedenen Ausführungsformen können die mehreren Löcher 67 mithilfe eines anisotropen Ätzverfahrens wie dem reaktiven Ionenätzverfahren gebildet werden. Die Ätzstoppschicht 66 hält das Ätzverfahren an, sodass die darunterliegenden ersten Metallbeschichtungen 60 während der Bildung der mehreren Löcher 67 nicht mehr freigelegt sind (und nicht mehr dort hindurch geätzt wird).
  • Danach werden, wie in 2 dargestellt, die mehreren Löcher 67 mit einem Opfermaterial 68 gefüllt. Das Opfermaterial 68 wird derart gewählt, dass es eine hohe Ätzselektivität zwischen der Ätzstoppschicht 66 und dem Opfermaterial 68 aufweist. In einer oder mehreren Ausführungsformen kann das Opfermaterial 68 ein dielektrisches Material mit niedriger Dielektrizitätskonstante (Low-k) oder Photoresistmaterial umfassen. In einer Ausführungsform umfasst das Opfermaterial 68 eine Antireflexionsbeschichtung. Das Opfermaterial 68 umfasst ein Planarisierungs-Spin-On-Material oder andere BARC(Bottom Anti-Reflective Coating)-Materialien. Das Opfermaterial 68 wird überfüllt, um eine glatte Oberfläche zu bilden, und überschüssiges Opfermaterial 68 über der dritten Isolierschicht 100C wird gereinigt oder zurückgeätzt.
  • Wie in 3 dargestellt, werden Muster für Metallbeschichtungen in der dritten Isolierschicht 100C gebildet. Eine zweite Hartmaskenschicht 73 wird über der dritten Isolierschicht 100C angeordnet. Die zweite Hartmaskenschicht 73 umfasst SiO2 wie Tetraethyloxysilan (TEOS), Siliciumcarbid (SiC) oder kohlenstoffdotiertes Glas, jedoch können in verschiedenen Ausführungsformen andere Materialien verwendet werden. Mittels Lithographietechniken wird die zweite Hartmaskenschicht 73 für Metallbeschichtungen gemustert.
  • Mithilfe der zweiten Hartmaskenschicht 73 als Ätzmaske werden mehrere Löcher 71 zum Bilden von Metallbeschichtungen in der dritten Isolierschicht 100C gemustert, das heißt, das Muster von der zweiten Hartmaskenschicht 73 wird auf die dritte Isolierschicht 100C übertragen. In verschiedenen Ausführungsformen können die mehreren Gräben 71 mithilfe eines anisotropen Ätzverfahrens wie dem reaktiven Ionenätzverfahren gebildet werden. Die mehreren Gräben 71 legen eine obere Oberfläche des Opfermaterials 68 in den mehreren Löchern 67 frei.
  • In Bezug auf 4 wird das Opfermaterial 68 aus den mehreren Löchern 67 entfernt, sodass eine einzelne Öffnung für Metallbeschichtungen und Durchkontaktierungen gebildet wird. Nach Entfernen des Opfermaterials 68 wird die freigelegte Ätzstoppschicht 66 in der Öffnung zum Beispiel mittels eines Nassätzverfahrens entfernt.
  • Danach wird, wie in 5 dargestellt, in verschiedenen Ausführungsformen eine überfüllte Kupferschicht 72 abgeschieden. Eine Sperrschicht wie eine Diffusionssperrschicht wird über den Seitenwänden der mehreren Gräben 71 und der mehreren Löcher 67 abgeschieden. Die Sperrschicht kann Titan, Titannitrid, Tantal, Tantalnitrid und andere geeignete Materialien umfassen, die die Diffusion von Kupfer in die dritte Isolierschicht 100C verhindern. Die Sperrschicht kann in verschiedenen Ausführungsformen mittels Sputterabscheidung oder anderer Dampfphasenabscheidungstechniken abgeschieden werden. Eine Keimschicht wird auf der Diffusionssperrschicht abgeschieden. Die Keimschicht ist ein Kupferkeim zur nachfolgenden Verkupferung. Die Keimschicht kann in einer Ausführungsform mittels Sputterabscheidung abgeschieden werden.
  • Kupfer 72 wird mittels eines elektrochemischen Abscheidungsverfahrens in die mehreren Gräben 71 und die mehreren Löcher 67 gefüllt. Daher wird das Kupfer 72 überfüllt und bildet sich über der zweiten Hartmaskenschicht 73. In einer Ausführungsform umfasst das Kupfer 72 reines metallisches Kupfer. Man wird verstehen, dass ein reines Metall wie ein metallisches Kupfer Spurenverunreinigungen enthalten kann. Das Kupfer 72 kann eine Kupferlegierung sein. Dementsprechend kann das Kupfer 72 in verschiedenen Ausführungsformen Verunreinigungen sowie andere zusätzliche Metalle enthalten. Aufgrund der schnelleren Abscheidungsrate von Kupfer in engen Durchkontaktierungen im Vergleich zu offenen Bereichen ist die Kupferschicht 72 nicht einheitlich und muss planarisiert werden.
  • In Bezug auf 6 wird der Chip 1, der hergestellt wird, in einer Ätzkammer 300 angeordnet und einem Ätzmittel 310 ausgesetzt. In einer Ausführungsform wird der Chip 1 mit der Vorderseite nach unten angeordnet, wenn er dem Ätzmittel 310 ausgesetzt wird. In verschiedenen Ausführungsformen umfasst das Ätzmittel 310 eine mit Gas übersättigte Flüssigkeit. In einer oder mehreren Ausführungsformen wird der Chip 1 einer turbulenten Strömung des Ätzmittels 310 unterzogen.
  • In verschiedenen Ausführungsformen umfasst das Ätzmittel 310 ein Fluid und ein Gas. Das Fluid umfasst Schwefelsäure, Wasserstoffperoxid, Fluorwasserstoffsäure, Ammoniumfluorid, Phosphorsäure, Essigsäure, Kaliumhydroxid, Ammoniumhydroxid, Salpetersäure, andere organischen Säuren und Kombinationen davon. In verschiedenen Ausführungsformen umfasst das Gas Sauerstoff, Stickstoff, Ozon, Wasserstoffperoxid, Wasserstoff und Argon.
  • Wenngleich das Ätzmittel 310 in einer flüssigen Phase vorliegt, ist es aufgrund der großen Menge darin eingeschlossener Gase übersättigt. Das übersättigte flüssige Ätzmittel 310 trifft auf die Oberfläche des Kupfers 72 auf. Nach Kontaktieren der Kupferoberfläche 72 (sowie vor dem Kontaktieren) trennt sich die Gasphase teilweise von der flüssigen Phase ab. Die Gasphase agglomeriert teilweise zu Blasen. Diese Blasen steigen schließlich nach oben und bewegen sich. Auf diese Weise hat das Ätzmittel 310 aufgrund der einzigartigen Eigenschaften der übersättigten Flüssigkeit eine Vielzahl von Interaktionstypen mit der Kupferoberfläche 72: chemische Wirkung der übersättigten Flüssigkeit, Reaktion mit Blasen in dem Ätzmittel 310, Reaktion mit dem eingeschlossenen Gas in dem Ätzmittel 310 wie die Blasen und Gasschichten und Reaktion mit der flüssigen Phase während und nach der Trennung. Dies führt zu einem neuen grundlegenden Mechanismus, in dem die Chemikalien gezwungenermaßen an den Gasblasen vorbei und durch Gasschichten gehen müssen, bevor sie die Oberfläche des zu behandelnden Materials kontaktieren, z. B. die Oberfläche des Kupfers 72. Das Ergebnis ist ein von Diffusion beherrschtes/gesteuertes Ätzverfahren, da die Diffusion der Chemikalien um die Gasblasen und durch die Gasschichten das geschwindigkeitsbegrenzende Verfahren sein kann. Vorteilhafterweise wird durch Halten des Chips 1 mit der Vorderseite nach unten die Menge von eingeschlossenem Gas und somit die Ätzwirkung erhöht. Ferner kann die Isolier- und Verkapselungseigenschaft der sich bewegenden Blasen weitere Interaktionen mit der behandelten Oberfläche hervorrufen. Zum Beispiel können Teilchen, die von der Oberfläche des Kupfers 72 entfernt werden, abtransportiert werden. Aufgrund dieser einzigartigen Eigenschaften des Ätzmittels kann das Ätzmittel Polierverfahren ausführen, die herkömmlicherweise durch chemische und mechanische Verfahren in einem chemisch-mechanischen Polier(CMP)-Schritt ausgeführt werden. Daher kann der CMP-Schritt mithilfe der Ausführungsformen der Erfindung vermieden werden.
  • Das Ätzmittel 310 planarisiert die Oberfläche des Kupfers 72 während des Ätzens. Wie in 7 dargestellt, wird eine planarisierte Kupferschicht nach Aussetzen dem übersättigten Ätzmittel 310 gebildet.
  • In Bezug auf 8 können nachfolgende Metallisierungsschichten in ähnlicher Weise gebildet werden. Zum Beispiel umfasst die Isolierschicht 100 ferner eine vierte Isolierschicht 100D, eine fünfte Isolierschicht 100E, eine sechste Isolierschicht 100F, eine siebte Isolierschicht 100G und eine achte Isolierschicht 100H. Jede dieser Schichten der Isolierschicht 100 kann zum Beispiel eine Dicke von etwa 100 nm bis etwa 500 nm umfassen, wenngleich sie alternativ andere Abmessungen umfassen können. Daher werden in dem dargestellten Beispiel sechs Metallebenen, die M1, M2, M3 , M4, M5 und M6 umfassen, vertikal gestapelt und durch Kontakt und die Durchkontaktierungsebenen V1, V2, V3, V4, V5 und V6 verbunden. In anderen Ausführungsformen kann eine größere oder kleinere Zahl von Metallebenen und Durchkontaktierungsebenen verwendet werden.
  • Eine vierte Isolierschicht 100D wird über der dritten Isolierschicht 100C gebildet. Zweite Durchkontaktierungen 75 und dritte Metallbeschichtungen 80 werden in der vierten Isolierschicht 100D gebildet. Eine fünfte Isolierschicht 100E wird über der vierten Isolierschicht 100D gebildet. Dritte Durchkontaktierungen 85 und vierte Metallbeschichtungen 90 werden in der fünften Isolierschicht 100E gebildet. Eine sechste Isolierschicht 100F wird über der fünften Isolierschicht 100E gebildet. Vierte Durchkontaktierungen 95 und fünfte Metallbeschichtungen 105 werden in der sechsten Isolierschicht 100F gebildet. Eine siebte Isolierschicht 100G wird über der sechsten Isolierschicht 100F gebildet. Fünfte Durchkontaktierungen 110 und sechste Metallbeschichtungen 115 werden in der siebten Isolierschicht 100G gebildet. Eine achte Isolierschicht 100H wird über der siebten Isolierschicht 100G gebildet. Wie in 8 dargestellt, kann die Dicke der Metallbeschichtungen und der Durchkontaktierungen in einer oder mehreren Ausführungsformen zunehmen und sich von der Metallisierung der unteren Ebene zu der Metallisierung der oberen Ebene bewegen. In verschiedenen Ausführungsformen kann jede der Metallbeschichtungen und Durchkontaktierungen unter Verwendung des oben beschriebenen übersättigten flüssigen Ätzmittels planarisiert werden.
  • 9, die 9A bis 9C einschließt, stellt ein Ätzinstrument gemäß Ausführungsformen der Erfindung dar, wobei 9A und 9C Querschnittsansichten darstellen und 9B eine Draufsicht darstellt.
  • 9A stellt einen Chip 1 dar, der in einer Ätzkammer 500 des Ätzinstruments verarbeitet wird. In einer Ausführungsform kann der Chip 1, der in 9A dargestellt ist, der Chip 1 sein, der oben in 5 während der Herstellung beschrieben wurde, und die Instrumentenkammer 500 kann als die Ätzkammer 300 verwendet werden, wie in Bezug auf 6 beschrieben. Wie dargestellt, wird das Substrat 10 umgekehrt angeordnet, sodass die obere Oberfläche mit den Vorrichtungsbereichen und Metallisierungsschichten nach unten zu einem Spannfutter 400 gerichtet ist. In einigen Ausführungsformen kann das Substrat 10 um eine zentrale Achse gedreht werden.
  • Das Spannfutter 400 weist eine Düse 460 oder Öffnung zum Durchlass eines Fluids 430 auf. Das Spannfutter 400 kann in einigen Ausführungsformen ferner Düsen 431 (optional) aufweisen. Das Fluid 430 wird durch die Säule 450 eingeleitet. Das Fluid 430 enthält die flüssige Phase des Ätzmittels. Entlüftungsöffnungen 420 stellen Einlässe zum Mischen eines Gases 440 mit dem Fluid 430 in einer Mischkammer 470 unter dem Spannfutter 400 bereit. Es können sowohl das Fluid 430 als auch das Gas 440 in die Mischkammer 470 eingespritzt werden, um die Menge des eingeschlossenen Gases 440 in dem Ätzmittel, das aus dem Fluid 430 gebildet wird, zu ändern.
  • Das Mischen des Gases 440 mit dem Fluid 430 verändert die Phase des Gases 440 von einer Gasphase in eine flüssige Phase aufgrund der Auflösung des Gases in dem Fluid 430. Auf diese Weise kann die Übersättigung des eingeschlossenen Gases durch Verändern des Mischverfahrens, der relativen Gas- und Fluidmengen, Temperatur, Druck usw. geändert werden. Das Übersättigungsverhältnis ist das Verhältnis der vorliegenden Menge von eingeschlossenem Gas in der Lösung im Vergleich zu der Menge von eingeschlossenem Gas, die durch das Äquilibrium bei denselben Bedingungen für Temperatur und Druck vorhergesagt wurde. In verschiedenen Ausführungsformen wird ein übersättigtes flüssiges Ätzmittel durch Mischen des Gases 440 und des Fluids 430 erzeugt. Dieses übersättigte flüssige Ätzmittel 310 wird an der oberen Oberfläche des Spannfutters 400 durch die Düse 460 abgegeben. Dennoch ist in verschiedenen Ausführungsformen das eingeschlossene Gas in dem Ätzmittel 310 nicht nur ein Gas, das in einem Fluid 430 aufgelöst ist, sondern das Ätzmittel 310 schließt vielmehr Gasblasen und Gasschichten ein, wenn das Ätzmittel 310 mit der zu behandelnden Oberfläche in Kontakt tritt.
  • In verschiedenen Ausführungsformen umfasst das Fluid 430 Schwefelsäure, Wasserstoffperoxid, Hydrofluorsäure, Ammoniumfluorid, Phosphorsäure, Essigsäure, Kaliumhydroxid, Ammoniumhydroxid, Salpetersäure und Kombinationen davon. In verschiedenen Ausführungsformen umfasst das Gas 440 Sauerstoff, Stickstoff, Ozon, Wasserstoffperoxid, Wasserstoff und Argon.
  • In verschiedenen Ausführungsformen ist die Strömung des Ätzmittels 310 auf der oberen Oberfläche des Spannfutters 400 stark turbulent. Die Verwirbelung des Ätzmittels 310 kann gesteuert werden, um die relativen Verhältnisse der Ätzrate und der Polierrate zu erhöhen bzw. zu senken. Ferner kann in verschiedenen Ausführungsformen die obere Oberfläche des Spannfutters 400 konkav oder konvex sein, um die Strömung des Ätzmittels 310 zu verbessern.
  • Während der Verarbeitung bilden sich Gasblasen, werden größer, steigen schließlich auf und platzen aus dem Fluid 430. In verschiedenen Ausführungsformen hält bzw. behält das übersättigte flüssige Ätzmittel 310 das Gas für einen begrenzten Zeitraum bei, der gesteuert werden kann. Zum Beispiel kann bei Äquilibrium eine Menge von Luft oder anderen Gasen bereits als kleine mikroskopische Bläschen in dem Fluid 430 eingeschlossen sein. In einigen Ausführungsformen wird das Fluid 430 so gereinigt, dass die Menge des eingeschlossenen Gases in dem Fluid 430 geringer ist als das Äquilibrium, d. h., die Flüssigkeit ist untersättigt. Dies kann die Metastabilität der übersättigten Flüssigkeit verbessern, d. h. Gasblasen brauchen länger, um aus der übersättigten Flüssigkeit zu platzen. Der Grund dafür ist, dass sich bereits vorhandene Gasblasen wie Keimbildungsstellen verhalten können, die zu einer schnelleren Abscheidung von Gas 440 aus dem Fluid 430 führen.
  • Während der Verarbeitung tritt die obere Oberfläche des Kupfers 72 mit dem übersättigten flüssigen Ätzmittel 310 in Kontakt und kann aufgrund des diffusionsgesteuerten Ätzverfahrens gleichzeitig geätzt und planarisiert werden. Schließlich trennt sich das Gas 440 von dem Fluid 430 ab (steigt über dem Ätzmittel 310 auf). Das restliche Fluid 430 fließt seitlich aus dem Spannfutter und wird unter dem Spannfutter 400 in der Instrumentenkammer 500 gesammelt.
  • In verschiedenen Ausführungsformen sind mehrere Schaufeln 410 um das Spannfutter 400 herum angeordnet. Die mehreren Schaufeln 410 sind auf solche Weise ausgerichtet, dass sie einen Niederdruckbereich über der Oberfläche des Spannfutters 400 erzeugen, z. B. aufgrund der Krümmung der Schaufeln während der Drehung. Das Druckdifferenzial erhöht die Strömung von Gas 440 durch die Entlüftungsöffnungen 420 in die Mischkammer 470. Auf diese Weise können in einigen Ausführungsformen die mehreren Schaufeln 410 verwendet werden, um die Menge von Gas in der Flüssigkeit zu steuern. Zum Beispiel kann die Drehung der Schaufeln erhöht werden (durch schnelleres Drehen des Spannfutters), um den Druck über dem Spannfutter zu verringern, wodurch die Gasströmung in die Mischkammer 470 durch die Entlüftungsöffnungen 420 erhöht wird.
  • In einigen Ausführungsformen können die mehreren Schaufeln 410 auch verwendet werden, um das Substrat 10 oder das Spannfutter 400 zu tragen. In einer oder mehreren Ausführungsformen können die mehreren Schaufeln 410 verwendet werden, um das Substrat 10 zu befestigen und/oder das Substrat 10 zu drehen (9C). Auf diese Weise werden keine separaten Befestigungsmittel benötigt, um das Substrat 10 über dem Spannfutter 400 zu halten.
  • In verschiedenen Ausführungsformen kann die Strömung des Fluids 430 nach dem Ätz- bzw. Polierverfahren reduziert oder vollständig abgeschnitten werden. Auf diese Weise kann das Substrat 10 innerhalb des Instruments getrocknet werden.
  • 10, welche 10A bis 10C einschließt, zeigt eine vergrößerte Querschnittansicht der Oberfläche des Spannfutters 400 aus 9 gemäß Ausführungsformen der Erfindung. 9 hebt den Querschnittsbereich, der in 10 dargestellt ist, hervor.
  • In verschiedenen Ausführungsformen ist die Oberfläche des Spannfutters 400 strukturiert, um die Strömung des Ätzmittels 310 zu steuern. In einer oder mehreren Ausführungsformen hilft die strukturierte Oberfläche des Spannfutters 400 dabei, die Verwirbelung des Ätzmittels 310 zu erhöhen, während dies die sich absetzende Flüssigkeit mit dem aufsteigenden Gas vermischt.
  • Wie in 10A dargestellt, ist die obere Oberfläche des Spannfutters 400 strukturiert und kann Erhöhungen 480 und Vertiefungen 490 aufweisen. Die Länge des Vertiefungsbodens Lb kann mit der Länge der Erhöhungsdecke Lt in einer oder mehren Ausführungsformen in Beziehung stehen. In einer oder mehreren Ausführungsformen kann die Länge des Vertiefungsbodens Lb ungefähr gleich der Länge der Erhöhungsdecke Lt sein. In einer oder mehreren Ausführungsformen kann die Länge des Vertiefungsbodens Lb mindestens zweimal die Länge der Erhöhungsdecke Lt sein.
  • In verschiedenen Ausführungsformen kann die strukturierte Oberfläche andere Formen aufweisen. Zum Beispiel, wie in 10B dargestellt, können die Erhöhungen 480 und Vertiefungen 490 eine schärfere Spitze aufweisen als in der vorherigen Ausführungsform. In 10B sind die Erhöhungen 480 als Dreieck ausgebildet, während in einer anderen Ausführungsform, wie in 10C, die Erhöhungen 480 eine Facettenstruktur (vierseitig) aufweisen.
  • 11, die 11A bis 11B einschließt, zeigt eine Draufsicht der Oberfläche des Spannfutters 400 aus 9 gemäß den Ausführungsformen der Erfindung.
  • In verschiedenen Ausführungsformen kann die strukturierte Oberfläche unterschiedliche Muster aufweisen. In einer Ausführungsform kann die strukturierte Oberfläche durchgehende konzentrische Erhöhungen 480 umfassen, während in einer anderen Ausführungsform die strukturierte Oberfläche Erhöhungen 480 umfassen kann, die radial ausgerichtet sind. 11C stellt eine andere Ausführungsform mit mehreren Erhöhungen 480 dar, die über der oberen Oberfläche des Spannfutters 400 ausgebildet sind. Anders als die durchgehenden Erhöhungen 480 aus 11A bis 11B sind die Erhöhungen 480 von 11C mesaartige Strukturen. In einer oder mehreren Ausführungsformen weisen die Erhöhungen 480 aus 11C eine Länge des Vertiefungsbodens Lb auf und die Länge der Erhöhungsdecke Lt ist kleiner als ungefähr 1 nm und ungefähr 0,1 μm bis ungefähr 1 μm in einer Ausführungsform.
  • 12, die 12A und 12B einschließt, zeigt eine alternative Ätz- bzw. Poliervorrichtung gemäß einer Ausführungsform der Erfindung.
  • Anders als die Ausführungsform aus 9 schließt diese Ausführungsform einen zusätzlichen Gaseinlass 425 zum Einspritzen von Gas in eine Mischkammer 470 ein. Alternativ kann das eingespritzte Gas innerhalb einer Düse 460 gemischt werden. In verschiedenen Ausführungsformen kann der zusätzliche Gaseinlass 425 separat von den Entlüftungsöffnungen 420 sein, sodass sowohl das eingespritzte Gas als auch die Druckauswirkungen (die z. B. von den mehreren Schaufeln 410 stammen) gleichzeitig eingesetzt werden können. Der zusätzliche Gaseinlass 425 kann als konzentrische Schicht um den Hauptfluideinlass ausgebildet sein (wie in 12A und 12B dargestellt) oder als separate Leitung konfiguriert sein.
  • 13, die 13A und 13B einschließt, zeigt ein Verfahren zum Ätzen bzw. Polieren gemäß einer alternativen Ausführungsform der Erfindung.
  • Während die vorher beschriebene Ausführungsform in Bezug auf 1 bis 8 verwendet wurde, um Kupfer zu planarisieren, kann in verschiedenen Ausführungsformen das übersättigte Ätzmittelverfahren angewendet werden, um andere Strukturen zu ätzen und/oder zu polieren. Zum Beispiel können die Ausführungsformen der Erfindung für Muster-, Ätz-, Polier- und beliebige mehrschichtige Strukturen angewendet werden. Ferner können die Ausführungsformen auf jede Art von Polierverfahren angewendet werden, zum Beispiel, auf die Herstellung von mikroskopischen Proben und Veredelungsverfahren, einschließlich des Polierens von Metall.
  • Als Beispiel zeigt 13A eine gemusterte Schicht 610, die über einem Werkstück 600 angeordnet ist. Das Werkstück 600 kann das Substrat 10 wie zuvor in einer Ausführungsform beschrieben sein. In anderen Ausführungsformen kann das Werkstück 600 alles sein, was ein zu ätzendes und polierendes Merkmal aufweist, z. B. ein Produkt vor der endgültigen Veredelung oder eine Probe für die Mikroskopie. Eine Schicht 620, die planarisiert werden soll, wird auf der gemusterten Schicht 610 ausgebildet. In einer Ausführungsform kann die gemusterte Schicht 610 die dritte Isolierschicht 100C sein (1B), die Schicht 620 kann Kupfer 72 (5) sein.
  • Das Werkstück 600 wird einem übersättigten flüssigen Ätzmittel 310 ausgesetzt, wie in vorherigen Ausführungsformen beschrieben. Das übersättigte flüssige Ätzmittel 310 poliert die Oberflächen des Werkstücks 600 mit der Schicht 620. Die resultierende Struktur ist in 13B dargestellt.
  • 14, die 14A bis 14B einschließt, zeigt eine Ausführungsform der Erfindung, die auf die Reinigung angewendet wird.
  • Wie in 14A dargestellt, kann die zu reinigende Oberfläche eines Werkstückes 600 Teilchen 630 umfassen. Die Teilchen 630 können organischer oder anorganischer Natur sein und können während der Verarbeitung des Werkstückes 600 gebildet werden. Das Werkstück 600 wird einem Ätzmittel 310, umfassend ein übersättigtes Fluid wie zuvor geschrieben, ausgesetzt. Während der Aussetzung entfernt das Ätzmittel 310 die Teilchen 630 von dem Werkstück 600. Danach erzeugen die aus dem Ätzmittel 310 aufsteigenden Glasblasen eine Schutzschicht über dem Werkstück, während die schwerere Flüssigkeit mit den Teilchen 630 diese von der Oberfläche des Werkstücks 600 abtransportiert. 14B zeigt das Werkstück 600 nach der Entfernung der Teilchen 630.
  • 15, die 15A bis 15B einschließt, zeigt eine Ausführungsform der Erfindung, die auf das Polieren angewendet wird.
  • 15A zeigt die zu polierende Oberfläche eines Werkstückes 600 mit Oberflächen-Ungleichförmigkeiten 640. Die Ungleichförmigkeiten 640 können Oberflächenrauheit sein oder Konsequenz eines Abscheidungsverfahrens wie elektrochemische Abscheidung (wie in 5) sein. Die Ungleichförmigkeiten 640 werden dem Ätzmittel 310 wie zuvor beschrieben ausgesetzt, um eine einheitliche Oberfläche, wie in 15B dargestellt, zu bilden.
  • 16, die 16A und 16B einschließt, zeigt eine Ausführungsform der Erfindung, die auf das Ätzen von Metallbeschichtungen angewendet wird.
  • In Bezug auf 16A wurde eine zu ätzende Metallschicht 650 über einem Werkstück 600 gebildet. In verschiedenen Ausführungsformen umfasst die Metallschicht 650 Kupfer. In einer Ausführungsform ist die Metallschicht 650 eine reine Kupferschicht. In anderen Ausführungsformen umfasst die Metallschicht 650 eine Kupferlegierung, zum Beispiel mit mindestens 80% Kupfer.
  • Eine Hartmaske 660 wird über der Metallschicht 650 gebildet und wird unter Verwendung der herkömmlichen Lithographie gemustert. Danach wird die Metallschicht 650 dem Ätzmittel 310 ausgesetzt, wie in verschiedenen Ausführungsformen zuvor beschrieben.
  • In dieser Ausführungsform kann das Ätzmittel 310 ferner einen Korrosionshemmer einschließen, um die Oxidation und Korrosion der Metallschicht 650 zu verhindern. Vorteilhaft reduziert der Korrosionshemmer die Ätzrate der Metallschicht 650 zum Beispiel durch Bilden einer Schutzschicht über der Metallschicht 650.
  • Die relative Konzentration des Korrosionshemmers variiert jedoch räumlich. Zum Beispiel ist die relative Konzentration des Korrosionshemmers in dem Ätzmittel 310 in einem ersten Bereich 651 höher als in einem zweiten Bereich 652. Dies ist der Fall, weil der Korrosionshemmer große organische Moleküle enthält, die langsam in Bezug auf andere (gasförmige und flüssige) Bestandteile des Ätzmittels 310 diffundieren, die anorganische Moleküle sein können. Dieser Unterschied kann mithilfe der Geometrie verschärft werden, zum Beispiel für Strukturen mit einer Tiefe, die mindestens fünfmal größer ist als eine Breite und ungefähr zehnmal größer in einer Ausführungsform. Mit anderen Worten erreichen die schneller diffundierenden Bestandteile von Ätzmittel 310 die Unterseite der Öffnung schneller als der langsamer diffundierende Korrosionshemmer.
  • Demzufolge ist die Ätzrate an der Unterseite der Öffnung (z. B. zweiter Bereich 652) höher als die Ätzrate an der Oberseite der Öffnung (z. B. erster Bereich 651). Dies führt zur Bildung einer Öffnung mit einem hohen Seitenverhältnis. Vorteilhaft vergrößert in verschiedenen Ausführungsformen die Verwendung von Korrosionshemmern mit dem Ätzmittel 310 den Unterschied hinsichtlich des Diffusionsvermögens. Daher wird der obere Teil der Metallschicht 650 nicht während dem folgenden Ätzen der tieferen Teile der Öffnung geätzt. Auf diese Weise und unter Verwendung dieser Ausführungsform kann jede Tiefeneigenschaft des Seitenverhältnisses wie Gräben, Metallbeschichtungen, Durchkontaktierungen, usw. durch Ätzen der Metallschicht 650 gebildet werden.
  • Demgegenüber wird, wenn ein Korrosionshemmer mit einem herkömmlichen Flüssigätzmittel verwendet wird, der Unterschied in der Ätzrate nicht bedeutend verändert. Die ähnlichen Ätzraten an der Oberseite und Unterseite der Öffnung führen zu einem bedeutenden Hinterschnitt. Zum Beispiel wird Kupfer von der oberen Oberfläche unter der Maske in dem ersten Bereich 651 entfernt. Aus diesem Grund können keine Kupfergräben und Metallbeschichtungen durch Ätzen von Kupfermetallschichten gebildet werden. Deshalb werden Kupfermetallbeschichtungen herkömmlicherweise durch Damascene-Techniken gebildet, aufgrund ihres Unvermögens, die Kupferschichten subtraktiv zu ätzen. Ausführungsformen der Erfindung lösen dieses Problem durch Verstärken der Wirkung von Korrosionshemmern, wenn diese mit dem Ätzmittel 310 verwendet werden.
  • Wie in verschiedenen Ausführungsformen beschrieben, kann ein Material, das ein Metall umfasst, zum Beispiel ein reines Metall, eine Metalllegierung, eine Metallverbindung, ein intermetallisches und anderes Material sein, d. h. jedes Material, das Metallatome aufweist. Zum Beispiel kann Kupfer ein reines Kupfer oder jedes beliebige Material sein, das Kupfer enthält, wie zum Beispiel, eine Kupferlegierung, eine Kupferverbindung, ein intermetallische Kupferverbindung, eine Isolierung, die Kupfer umfasst, und ein Halbleiter, der Kupfer umfasst, ist aber nicht darauf beschränkt.
  • Wenngleich diese Erfindung mit Bezug auf die beispielhaften Ausführungsformen beschrieben worden ist, soll diese Beschreibung nicht in einschränkendem Sinne verstanden werden. Verschiedene Änderungen und Kombinationen der beispielhaften Ausführungsformen sowie andere Ausführungsformen der Erfindung sind für den Fachmann unter Bezugnahme auf die Beschreibung offensichtlich. Als Beispiel können die Ausführungsformen, die in 1 bis 8 beschrieben sind, mit den Ausführungsformen von 9 bis 12 kombiniert werden. Auf ähnliche Weise können die Ausführungsformen, die in 13 oder 14 beschrieben sind, mit den Ausführungsformen, die in 9 bis 12 beschrieben sind, kombiniert werden. Es wird daher beabsichtigt, dass die angehängten Patentansprüche sämtliche dieser Modifikationen oder Ausführungsformen einschließen.
  • Wenngleich die vorliegende Erfindung und ihre Vorteile ausführlich beschrieben worden sind, muss man jedoch verstehen, dass verschiedene Änderungen, Ersetzungen und Modifikationen vorgenommen werden können, ohne dass der eigentliche Sinn und das Schutzgebiet der Erfindung verlassen werden, wie in den angehängten Ansprüchen dargestellt. Beispielsweise wird der Fachmann ohne Weiteres verstehen, dass viele der Merkmale, Funktionen, Verfahren und Materialien, die hier beschrieben wurden, variiert werden können, jedoch nach wie vor im Schutzbereich der vorliegenden Erfindung enthalten sind.
  • Des Weiteren soll das Schutzgebiet der vorliegenden Anmeldung in keiner Weise auf einzelne Ausführungsformen des Verfahrens, der Maschine, Herstellung, Zusammensetzung der Materialien, Mittel, Verfahren und Schritte, die hier beschrieben sind, beschränkt sein. Wie ein Durchschnittsfachmann auf dem Gebiet aufgrund der Offenbarung der vorliegenden Erfindung zu schätzen wissen wird, können Verfahren, Maschinen, Herstellung, Zusammensetzung von Materialien, Mittel, Verfahren oder Schritte, die derzeit im Stand der Technik existieren oder später entwickelt werden und die im Wesentlichen die gleiche Funktion oder im Wesentlichen das gleiche Ergebnis wie die entsprechenden Ausführungsformen, die hierin beschrieben sind, erreichen, gemäß der vorliegenden Erfindung angewendet werden. Entsprechend sollen die angehängten Ansprüche innerhalb ihres Schutzbereichs diese Verfahren, Maschinen, Herstellung, Zusammensetzung von Material, Mitteln, Verfahren oder Schritte einschließen.

Claims (25)

  1. Verfahren zum Polieren einer Vorrichtung, wobei das Verfahren Folgendes umfasst: Bereitstellen einer Schicht, die eine nicht einheitliche obere Oberfläche aufweist, wobei die nicht einheitliche obere Oberfläche mehrere Vorsprünge umfasst; und Entfernen der mehreren Vorsprünge durch Aussetzen der Schicht einem Fluid, das Gasblasen und eine Flüssigkeit umfasst.
  2. Verfahren nach Anspruch 1, wobei das Gas in den Gasblasen ausgewählt ist aus der Gruppe, bestehend aus Sauerstoff, Ozon, Stickstoff und Wasserstoffperoxid, und wobei die Flüssigkeit ein flüssiges Ätzmittel umfasst.
  3. Verfahren nach Anspruch 2, wobei das flüssige Ätzmittel ein Ätzmittel umfasst, das ausgewählt ist aus der Gruppe, bestehend aus Schwefelsäure, Wasserstoffperoxid, Fluorwasserstoffsäure, Ammoniumfluorid, Phosphorsäure, Essigsäure, Kaliumhydroxid, Ammoniumhydroxid und Salpetersäure.
  4. Verfahren nach Anspruch 1, wobei das Fluid ferner Gasschichten und in der Flüssigkeit gelöstes Gas umfasst.
  5. Verfahren nach Anspruch 1, wobei die mehreren Vorsprünge das gleiche Material wie die Schicht umfassen.
  6. Verfahren nach Anspruch 1, wobei die mehreren Vorsprünge Teilchen umfassen, die an die Schicht gebunden sind, und wobei das Entfernen der mehreren Vorsprünge das Reinigen der oberen Oberfläche der Schicht umfasst.
  7. Verfahren nach Anspruch 1, das nach dem Entfernen der mehreren Vorsprünge ferner das Bilden einer Metallbeschichtung über der Schicht ohne Durchführen eines Planarisierungsverfahrens zwischen dem Entfernen der mehreren Vorsprünge und Bilden der Metallbeschichtung umfasst, wobei die Schicht Kupfer umfasst und wobei die mehreren Vorsprünge nach einem elektrochemischen Abscheidungsverfahren Kupfer umfassen.
  8. Verfahren zum Herstellen einer Halbleitervorrichtung, wobei das Verfahren Folgendes umfasst: Bilden einer Schicht mit einer nicht einheitlichen oberen Oberfläche auf einem Substrat; und Planarisieren der nicht einheitlichen oberen Oberfläche durch Aussetzen der Schicht einer Strömung eines Fluids, wobei das Fluid Gasblasen und eine Flüssigkeit umfasst.
  9. Verfahren nach Anspruch 8, wobei die Schicht Kupfer umfasst.
  10. Verfahren nach Anspruch 8, wobei die Schicht eine reine Kupferschicht oder eine Kupferlegierungsschicht ist.
  11. Verfahren nach Anspruch 8, wobei die Schicht ein Metall umfasst.
  12. Verfahren nach Anspruch 8, wobei das Bilden einer Schicht das Anwenden eines Elektroabscheidungsverfahrens umfasst.
  13. Verfahren nach Anspruch 8, wobei die Strömung des Fluids turbulent ist.
  14. Verfahren nach Anspruch 8, wobei das Aussetzen der Schicht einer Strömung eines Fluids Folgendes umfasst: Anordnen des Substrats, wobei die Schicht zu einem Spannfutter gerichtet ist; Einspritzen der Flüssigkeit in eine Düse, die innerhalb einer zentralen Öffnung des Spannfutters angeordnet ist; Erzeugen des Fluids durch Zugeben des Gases in die Gasblasen aus einem Gaseinlass in die Flüssigkeit; und Aussetzen der Schicht dem Fluid.
  15. Verfahren nach Anspruch 14, ferner umfassend das Einspritzen der Flüssigkeit in eine andere Düse, die in einer Öffnung des Spannfutters angeordnet ist, wobei das Aussetzen der Schicht dem Fluid das Freisetzen des Fluids durch die Düse und die andere Düse umfasst.
  16. Verfahren nach Anspruch 14, ferner umfassend das Drehen des Spannfutters zum Erzeugen einer turbulenten Strömung des Fluids auf einer oberen Oberfläche des Spannfutters.
  17. Verfahren nach Anspruch 14, ferner umfassend das Drehen des Spannfutters zum Erzeugen einer laminaren Strömung des Fluids auf einer oberen Oberfläche des Spannfutters.
  18. Verfahren nach Anspruch 14, wobei das Zugeben des Gases in die Gasblasen das Erzeugen eines Niederdruckbereichs über dem Spannfutter durch Drehen des Spannfutters umfasst, sodass das Gas von einem Hochdruckbereich unter dem Spannfutter in die Düse gesaugt wird.
  19. Verfahren nach Anspruch 14, wobei das Gas in den Gasblasen ausgewählt ist aus der Gruppe, bestehend aus Sauerstoff, Ozon, Stickstoff und Wasserstoffperoxid, und wobei die Flüssigkeit ein flüssiges Ätzmittel umfasst.
  20. Verfahren nach Anspruch 19, wobei das flüssige Ätzmittel ein Ätzmittel umfasst, das ausgewählt ist aus der Gruppe, bestehend aus Schwefelsäure, Wasserstoffperoxid, Fluorwasserstoffsäure, Ammoniumfluorid, Phosphorsäure, Essigsäure, Kaliumhydroxid, Ammoniumhydroxid und Salpetersäure.
  21. Verarbeitungsinstrument, umfassend: ein Spannfutter zum Halten eines Substrats; eine Düse, die in einer Öffnung des Spannfutters angeordnet ist; einen ersten Gaseinlass für ein Gas, der mit der Düse verbunden ist; und einen Flüssigkeitseinlass, der mit der Düse verbunden ist, wobei die Düse konfiguriert ist, um ein Fluid, das Gasblasen und eine Flüssigkeit umfasst, freizusetzen.
  22. Instrument nach Anspruch 21, wobei die Düse in einem zentralen Bereich des Spannfutters angeordnet ist.
  23. Instrument nach Anspruch 21, wobei die Düse in einem Umfangsbereich um einen zentralen Bereich des Spannfutters herum angeordnet ist.
  24. Instrument nach Anspruch 21, ferner umfassend eine andere Düse, die in einer anderen Öffnung des Spannfutters angeordnet ist, wobei die andere Düse mit einem ersten Gaseinlass und dem Flüssigkeitseinlass verbunden ist.
  25. Verfahren zum Herstellen einer Halbleitervorrichtung, wobei das Verfahren Folgendes umfasst: Abscheiden einer Metallschicht über einem Substrat; Bilden einer Ätzmaske über der Metallschicht; und Verwenden der Ätzmaske, Ätzen der Metallschicht durch Aussetzen der Metallschicht einem Fluid, das Gasblasen und eine Flüssigkeit umfasst.
DE102013100709A 2012-02-01 2013-01-24 Vorrichtungen und Verfahren zum Polieren, Ätzen und Reinigen mit einer mit Gas gemischten Flüssigkeit Ceased DE102013100709A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/363,998 2012-02-01
US13/363,998 US8877075B2 (en) 2012-02-01 2012-02-01 Apparatuses and methods for gas mixed liquid polishing, etching, and cleaning

Publications (1)

Publication Number Publication Date
DE102013100709A1 true DE102013100709A1 (de) 2013-08-01

Family

ID=48783857

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013100709A Ceased DE102013100709A1 (de) 2012-02-01 2013-01-24 Vorrichtungen und Verfahren zum Polieren, Ätzen und Reinigen mit einer mit Gas gemischten Flüssigkeit

Country Status (2)

Country Link
US (2) US8877075B2 (de)
DE (1) DE102013100709A1 (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8877075B2 (en) * 2012-02-01 2014-11-04 Infineon Technologies Ag Apparatuses and methods for gas mixed liquid polishing, etching, and cleaning
US20140024299A1 (en) * 2012-07-19 2014-01-23 Wen-Chiang Tu Polishing Pad and Multi-Head Polishing System
US9142454B1 (en) * 2014-03-17 2015-09-22 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
US10325779B2 (en) * 2016-03-30 2019-06-18 Tokyo Electron Limited Colloidal silica growth inhibitor and associated method and system
US10515820B2 (en) 2016-03-30 2019-12-24 Tokyo Electron Limited Process and apparatus for processing a nitride structure without silica deposition
WO2020120537A1 (en) * 2018-12-11 2020-06-18 Atotech Deutschland Gmbh A method for depositing a chromium or chromium alloy layer and plating apparatus
EP3754052A1 (de) * 2019-06-21 2020-12-23 Infineon Technologies AG Aufrauhung einer metallisierungsschicht auf einer halbleiterscheibe

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5340437A (en) * 1993-10-08 1994-08-23 Memc Electronic Materials, Inc. Process and apparatus for etching semiconductor wafers
US6054062A (en) * 1997-10-06 2000-04-25 Lsi Logic Corporation Method and apparatus for agitating an etchant
US6039055A (en) 1998-01-08 2000-03-21 International Business Machines Corporation Wafer cleaning with dissolved gas concentration control
JP3563605B2 (ja) * 1998-03-16 2004-09-08 東京エレクトロン株式会社 処理装置
DE19927527B4 (de) * 1999-06-16 2007-02-08 Siltronic Ag Verfahren zur naßchemischen Behandlung einer Halbleiterscheibe
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US20020064961A1 (en) * 2000-06-26 2002-05-30 Applied Materials, Inc. Method and apparatus for dissolving a gas into a liquid for single wet wafer processing
TW471010B (en) * 2000-09-28 2002-01-01 Applied Materials Inc Wafer cleaning equipment
EP1202326B1 (de) * 2000-10-31 2004-01-02 Sez Ag Vorrichtung zur Flüssigkeitsbehandlung von scheibenförmigen Gegenständen
US20020096196A1 (en) * 2001-01-23 2002-07-25 Takayuki Toshima Substrate processing apparatus and substrate processing method
JP4087581B2 (ja) * 2001-06-06 2008-05-21 株式会社荏原製作所 研磨装置
JP4057803B2 (ja) 2001-09-11 2008-03-05 株式会社東芝 半導体装置の製造方法
TWI261875B (en) * 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
CN1444259A (zh) 2002-03-12 2003-09-24 株式会社东芝 半导体器件的制造方法
US6740595B2 (en) 2002-04-12 2004-05-25 Infineon Technologies Ag Etch process for recessing polysilicon in trench structures
JP4570008B2 (ja) * 2002-04-16 2010-10-27 東京エレクトロン株式会社 液処理装置および液処理方法
US7584760B2 (en) * 2002-09-13 2009-09-08 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6910240B1 (en) * 2002-12-16 2005-06-28 Lam Research Corporation Wafer bevel edge cleaning system and apparatus
KR100590513B1 (ko) * 2002-12-30 2006-06-15 동부일렉트로닉스 주식회사 화학 기계적 연마 장치 및 방법
JP4255702B2 (ja) * 2003-01-28 2009-04-15 株式会社荏原製作所 基板処理装置及び方法
JP2004273961A (ja) 2003-03-12 2004-09-30 Ebara Corp 金属配線形成基板の洗浄処理装置
US7189146B2 (en) 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
JP4494840B2 (ja) * 2003-06-27 2010-06-30 大日本スクリーン製造株式会社 異物除去装置、基板処理装置および基板処理方法
TWI282377B (en) * 2003-07-25 2007-06-11 Mec Co Ltd Etchant, replenishment solution and method for producing copper wiring using the same
US6869889B1 (en) * 2004-04-07 2005-03-22 Intel Corporation Etching metal carbide films
JP4446875B2 (ja) * 2004-06-14 2010-04-07 大日本スクリーン製造株式会社 基板処理装置
US8277569B2 (en) * 2004-07-01 2012-10-02 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US7547181B2 (en) * 2004-11-15 2009-06-16 Dainippon Screen Mfg. Co., Ltd. Substrate position correcting method and apparatus using either substrate radius or center of rotation correction adjustment sum
JP2008198739A (ja) * 2007-02-09 2008-08-28 Tokyo Electron Ltd 載置台構造、これを用いた処理装置及びこの装置の使用方法
JP4372173B2 (ja) * 2007-03-16 2009-11-25 株式会社東芝 化学的機械的研磨方法および半導体装置の製造方法
US8734661B2 (en) * 2007-10-15 2014-05-27 Ebara Corporation Flattening method and flattening apparatus
US20090217950A1 (en) * 2008-03-03 2009-09-03 Multimetrixs, Llc Method and apparatus for foam-assisted wafer cleaning
US8143166B2 (en) * 2008-03-11 2012-03-27 Globalfoundries Singapore Pte. Ltd. Polishing method with inert gas injection
US20110130009A1 (en) * 2009-11-30 2011-06-02 Lam Research Ag Method and apparatus for surface treatment using a mixture of acid and oxidizing gas
JP5743853B2 (ja) * 2010-12-28 2015-07-01 東京エレクトロン株式会社 液処理装置および液処理方法
US8877075B2 (en) * 2012-02-01 2014-11-04 Infineon Technologies Ag Apparatuses and methods for gas mixed liquid polishing, etching, and cleaning

Also Published As

Publication number Publication date
US8877075B2 (en) 2014-11-04
US20140374023A1 (en) 2014-12-25
US10204804B2 (en) 2019-02-12
US20130196506A1 (en) 2013-08-01

Similar Documents

Publication Publication Date Title
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102013100709A1 (de) Vorrichtungen und Verfahren zum Polieren, Ätzen und Reinigen mit einer mit Gas gemischten Flüssigkeit
DE60038423T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE69025300T2 (de) Integrierte Schaltung mit einer planarisierten dielektrischen Schicht
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE69619207T2 (de) Polierverfahren
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE19928570B4 (de) Verfahren zur Herstellung von Halbleitervorrichtungen
DE10245179A1 (de) Leitungen auf mehreren Ebenen mit reduziertem Rasterabstand
DE10256346A1 (de) Halbleiterbauelement mit MIM-Kondensator und Herstellungsverfahren
DE102008016425A1 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102007015506B4 (de) Verfahren und Halbleiterstruktur zur Überwachung von Ätzeigenschaften während der Herstellung von Kontaktdurchführungen von Verbindungsstrukturen
DE102007046846A1 (de) Seitenwandschutzschicht
DE102012201586B4 (de) Verfahren zur Herstellung von integrierten Schaltungen mit platzsparenden Kondensatoren
DE19860780A1 (de) Halbleitervorrichtung und Herstellungsverfahren einer Halbleitervorrichtung
DE112004001530T5 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102006036797B4 (de) Verfahren zur Herstellung einer Einzel-Damaszen Struktur mit Einwegschablone
DE60132707T2 (de) Niedrigtemperaturverfahren zur Unterdrückung von Hügeln in Verbindungsleitungen von integrierten Schaltkreisen
DE102014209002A1 (de) Verfahren zum Herstellen integrierter Schaltungen
DE69217838T2 (de) Herstellungsverfahren für eine Halbleitervorrichtung mit durch eine Aluminiumverbindung seitlich voneinander isolierten Aluminiumspuren
DE10334406B4 (de) Verfahren zur Ausbildung eines Kontaktes in einem Halbleiterprozeß
DE102005004409B4 (de) Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
DE102019215117A1 (de) Verbindungsstruktur mit reduzierter variation im widerstand und verfahren zum bilden selbiger

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: KINDERMANN, PETER, DIPL.-ING.UNIV., DE

R016 Response to examination communication
R082 Change of representative

Representative=s name: LAMBSDORFF & LANGE PATENTANWAELTE PARTNERSCHAF, DE

R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final