CN1830072A - 气体处理装置和散热方法 - Google Patents

气体处理装置和散热方法 Download PDF

Info

Publication number
CN1830072A
CN1830072A CNA2004800219846A CN200480021984A CN1830072A CN 1830072 A CN1830072 A CN 1830072A CN A2004800219846 A CNA2004800219846 A CN A2004800219846A CN 200480021984 A CN200480021984 A CN 200480021984A CN 1830072 A CN1830072 A CN 1830072A
Authority
CN
China
Prior art keywords
gas
heat
output mechanism
treatment equipment
processing gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800219846A
Other languages
English (en)
Other versions
CN100495655C (zh
Inventor
饭塚八城
木村宏一郎
池田恭子
迫田智幸
安室章
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1830072A publication Critical patent/CN1830072A/zh
Application granted granted Critical
Publication of CN100495655C publication Critical patent/CN100495655C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在重叠喷淋头基座(41)、气体扩散板(42)和喷淋平板(43)而构成,并且通过连通在气体扩散板(42)的两面上形成的第一气体扩散部(42a)、第二气体扩散部(42b)以及在喷淋平板(43)上形成的第一气体扩散空间(42c)的第一气体排出口(43a)和连通第二气体扩散空间(42d)的第二气体排出口(43b)而向装载台(5)上的芯片W供给原料气体和氧化剂气体的喷淋头(40)中,在第一气体扩散部(42a)内设置有与喷淋头基座(41)的下面密接的多个传热柱(42e),使其间的部分成为第一气体扩散空间(42c),通过该传热柱(42e),将从装载台(5)接受的辐射热沿着喷淋头(40)的厚度方向传递。

Description

气体处理装置和散热方法
技术领域
本发明涉及使用处理气体对被处理基板进行气体处理的处理装置、以及在这样的气体处理装置中的处理气体排出机构的散热方法。
背景技术
在半导体的制造工程中,要在作为被处理体的半导体芯片(下面简称为芯片)上形成由各种物质构成的薄膜,与该薄膜所要求的物理性能的多样化相对应,在形成薄膜时所使用的物质或者组合也逐渐多样且复杂化。
例如,在半导体存储元件中,为了克服由于DRAM(DynamicRandom Access Memory:动态随机存取存储器)元件的更新动作所造成的性能限制,正在开发在强电介质电容中使用强电介质薄膜的大容量存储元件。这种使用强电介质薄膜的强电介质存储元件(FerroelectricRandom Access Memory(FeRAM):铁电体随机存取存储器)是一种非易失性存储元件,在原理上无需更新动作,再加上即使在切断电源的状态下也能够保存所存储的信息的优点,在动作速度上也能够与DRAM相匹敌,所以作为下一代的存储元件而引人注目。
在这样的FeRAM强电介质薄膜中,主要使用SrBi2Ta2O9(SBT)或者Pb(Zr、Ti)O3(PZT)之类的绝缘材料。作为以微细的厚度精度良好地形成这种由多种元素构成的组成复杂的薄膜的方法,有利用气化的有机金属化合物的热分解来形成薄膜的MOCVD(金属有机化合物汽相沉积)技术是适用的。例如,在日本特开平8-291385中公开了一种通过MOCVD技术成膜的方法。
但是,并不限于这样的MOCVD技术,对于一般的CVD技术来说,从相对的喷淋头向放置在装载台上被加热的半导体芯片供给原料气体,通过原料气体的热分解或者还原反应等在半导体芯片上也能形成薄膜,通常,为了均匀地供给气体,在喷淋头中设置有其内部尺寸与半导体芯片的直径同等程度大小的扁平状的气体扩散空间,在与喷淋头相对的表面上,分散配置有与该扩散空间相连通的多个气体排出孔。
然而,当在如上所述的喷淋头内设置有扁平状的气体扩散空间的情况下,由于该空间妨碍向背面一侧传热(散热),所以被来自加热半导体芯片的装载台的辐射热所加热,在反复进行成膜时使喷淋头的温度上升。在存在有这样的扁平状气体扩散空间的情况下,即使通常从上部即从大气一侧进行温度控制,由于传热不够充分,也难以有效地进行温度控制。
特别是在MOCVD中,当因为利用原料气体的热分解而使喷淋头的温度上升并超过该原料气体的热分解温度时,由于在喷淋头的内部或者喷淋头前面的管道中发生并不希望的热分解反应,而导致原料气体浓度降低、析出物质成为异物附着在半导体芯片上等,这就成为成膜不良的原因。此外,由于成膜温度如上述那样随着时间的经过而升高,所以,成为膜的质量或者膜的组成发生偏差的原因。
发明内容
本发明的目的在于提供一种气体处理装置以及散热方法,能够减少因喷淋头等处理气体排出机构的温度上升而引起的不良或者不均匀。
本发明的另一个目的在于提供一种气体处理装置,能够实现缩短以及简化喷淋头等到达处理气体排出机构的气体供给管道。
按照本发明的第一个观点,提供一种气体处理装置,包括:收容被处理基板的处理容器;在上述处理容器内配置的、用来放置被处理基板的装载台;与上述装载台相对设置,将处理气体排放到上述处理容器内的处理气体排出机构;和排放出上述处理容器内气体的排气机构,其中,上述处理气体排出机构包括:导入上述处理气体的气体导入部;具有用于向上述装载台排放处理气体的多个气体排出孔的气体排出部;和设置在上述气体导入部和上述气体排出部之间的气体扩散部,而上述气体扩散部包括:在上述气体导入部和上述气体排出部之间进行热传递的多个传热柱;和与上述气体排出孔相连通,构成上述传热柱以外部分的气体扩散空间。
按照本发明的第二个观点,提供一种气体处理装置,包括:收容被处理基板的处理容器;在上述处理容器内配置的、用来放置被处理基板的装载台;设置在与上述装载台上的被处理基板相对的位置上,将处理气体排放到上述处理容器内的处理气体排出机构;和排放出上述处理容器内气体的排气机构,其中,上述处理气体排出机构包括:分别导入第一处理气体和第二处理气体的第一平板;与上述第一平板的主面相接触的第二平板;与上述第二平板相接触,并对应在上述装载台上放置的被处理基板而形成多个第一和第二气体排出孔的第三平板;设置在上述第一平板和上述第二平板之间的第一气体扩散部;和设置在上述第二平板和上述第三平板之间的第二气体扩散部,而上述第一气体扩散部包括:与上述第一平板和第二平板连接的多个第一柱体;和与上述第一气体排出孔相连通,构成上述多个第一柱体以外的部分的第一气体扩散空间,而上述第二气体扩散部包括:与上述第二平板和上述第三平板连接的多个第二柱体;和与上述第二气体排出孔相连通,构成上述多个第二柱体以外的部分的第二气体扩散空间,其中,被导入的上述第一处理气体经过上述第一气体扩散空间而从上述第一气体排出孔排放出,被导入的上述第二处理气体经过上述第二气体扩散空间而从上述第二气体排出孔排放出。
按照本发明的第三个观点,提供一种气体处理装置,包括:收容被处理基板的处理容器;在上述处理容器内配置的、用来放置被处理基板的装载台;与上述装载台上的被处理基板相对设置,将第一以及第二处理气体排放到上述处理容器内的处理气体排出机构;和排放出上述处理容器内气体的排气机构,其中,上述处理气体排出机构包括:导入上述第一和第二处理气体的气体导入部;具有用于向上述装载台分别排放出第一处理气体和第二处理气体的多个第一和第二气体排出孔的气体排出部;和在上述气体导入部和上述气体排出部之间层积形成的、具有扁平形状的第一和第二气体扩散部,而上述第一气体扩散部包括:用于在上述气体排出部和上述气体导入部之间进行热传递的多个第一柱体;和与上述第一气体排出孔相连通,构成上述多个第一柱体以外的部分的第一扩散空间,而上述第二气体扩散部包括:具有使上述第一处理气体流通的多个气体流通孔的第二柱体;和与上述第二气体排出孔相连通,构成上述多个第二柱体以外的部分的第二气体扩散空间,其中,被导入的上述第一处理气体经过上述第一气体扩散空间而从上述第一气体排出孔排放出,被导入的上述第二处理气体经过上述第二气体扩散空间而从上述第二气体排出孔排放出。
按照本发明的第四个观点,提供一种气体处理装置,包括:收容被处理基板的处理容器;在上述处理容器内配置的、用来放置被处理基板的装载台;设置在与上述装载台相对的位置处,将处理气体排放到上述处理容器内的处理气体排出机构;排放出上述处理容器内气体的排气机构;和上述处理气体排出机构的温度控制机构,其中,上述处理气体排出机构包括:导入上述处理气体的气体导入部;具有用于向上述装载台排放出处理气体的多个气体排出孔的气体排出部;和设置在上述气体导入部和上述气体排出部之间的气体扩散部,而上述气体扩散部包括:在上述气体导入部和上述气体排出部之间进行热传递的传热柱;和与上述气体排出孔相连通,构成上述传热柱以外的部分的气体扩散空间,其中,上述温度控制机构具有从上述处理气体导入部的下部经过上述传热柱而散发掉传导热的散热机构。
按照本发明的第五个观点,提供一种散热的方法,该方法是气体处理装置中的处理气体排出机构的散热方法,其中,上述气体处理装置包括:收容被处理基板的处理容器;在上述处理容器内配置的、用来放置被处理基板的装载台;设置在与上述装载台相对的位置处,将处理气体排放到上述处理容器内的处理气体排出机构;和排放出上述处理容器内气体的排气机构,而且,上述处理气体排出机构包括:导入上述处理气体的气体导入部;具有用于向上述装载台排放处理气体的多个气体导入孔的气体排出部;和设置在上述气体导入部和上述气体排出部之间、使处理气体在其中的处理气体扩散空间扩散、并向上述气体排出孔导入的气体扩散部,其中,在上述气体扩散部设置传热柱来进行上述气体导入部与上述处理气体排出部之间的热传递,对上述处理气体排出机构进行散热。
按照本发明的第六个观点,提供一种散热方法,该方法是在气体处理装置中的处理气体排出机构的散热方法,其中,上述气体处理装置包括:收容被处理基板的处理容器;在上述处理容器内配置的、用来放置被处理基板的装载台;设置在与上述装载台上的被处理基板相对的位置处,将上述处理气体排放到上述处理容器内的处理气体排出机构;和排放出上述处理容器内气体的排气机构,而上述处理气体排出机构包括:分别导入第一处理气体和第二处理气体的第一平板;与上述第一平板的主面相接触的第二平板;与上述第二平板相接触、并对应在上述装载台上放置的被处理基板而形成多个第一和第二气体排出孔的第三平板;设置在上述第一平板和上述第二平板之间的第一气体扩散部;和设置在上述第二平板和上述第三平板之间的第二气体扩散部,其中,在上述第一气体扩散部设置有与上述第一平板和上述第二平板连接的多个第一柱体,在上述第二扩散部分设置有与上述第二平板和第三平板连接的多个第二柱体,通过上述第一柱体进行上述第一平板和上述第二平板之间的热传递,通过上述第二柱体进行上述第二平板和上述第三平板之间的热传递,对上述处理气体排出机构进行散热。
按照本发明的第七个观点,提供一种散热方法,该方法是在气体处理装置中的处理气体排出机构的散热方法,其中,上述气体处理装置包括:收容被处理基板的处理容器;在上述处理容器内配置的、用来放置被处理基板的装载台;设置在与上述装载台上的被处理基板相对的位置处,将上述第一和第二处理气体排放到上述处理容器内的处理气体排出机构;和排放出上述处理容器内气体的排气机构,而上述处理气体排出机构包括:导入上述第一和第二处理气体的气体导入部;具有向上述装载台分别排放出第一处理气体和第二处理气体的多个第一和第二气体排出孔的气体排出部;和在上述气体导入部和上述气体排出部之间层积形成的、具有扁平形状的第一和第二气体扩散部,其中,在上述第一气体扩散部设置有多个第一柱体,在上述第二气体扩散部设置有具有使上述第一处理气体流通的气体流通孔的多个第二柱体,通过上述第一柱体和上述第二柱体进行上述气体排出部和上述气体导入部之间的热传递,对上述气体排出机构进行散热。
按照本发明的第一、第二、第三和第五、第六、第七个观点,在接受从加热被处理基板的装载台来的辐射热的处理气体排出机构中,由于在现有技术中形成扁平状宽大空间的气体扩散部,设置有用于进行热传递的传热柱(柱体),使得能够在处理气体排出机构的厚度方向上充分进行热传递,从而提高了散热的效率。结果,与装载台相对的处理气体排出机构所接受的来自该装载台的辐射热,以优良的效率而被散发到处理气体排出机构厚度方向的背面一侧,能够可靠地抑制与处理气体排出机构相连接的气体供给管道等的温度上升。
其结果,当气体处理是通过从处理气体排出机构向装载台上的被处理基板供给的处理气体的热分解反应而对被处理基板进行成膜处理的情况下,使处理气体排出机构的温度可靠地维持在原料气体的热分解温度以下,从而可靠地防止因处理气体排出机构过热而导致原料气体在到达被处理基板前就在该处理气体排出机构的内部或者在连接的管道中发生热分解等问题,能够可靠地抑制例如由于原料气体浓度降低或者浓度不均匀等而发生的薄膜形成速度降低(所需时间延长)、膜厚、膜的质量(组成比)不均匀,而且,抑制在该处理气体排出机构的内部附着的热分解反应生成物构成的异物飞溅到被处理基板上而造成的成膜缺陷等。
此外,由于设置有传热柱(柱体),能够充分进行在处理气体排出机构厚度方向上的热传递,因此,通过在处理气体排出机构的上部设置温度控制机构,而能够有效地对容易受到从装载台来的辐射热而使温度升高的处理气体排出机构下部进行温度控制,使得更有效地发挥上述效果。
而且,通过在气体扩散部设置传热柱(柱体)而使气体扩散空间连续起来,并没有如设置间隔壁那样被分隔,这就使得气体在扩散空间内均匀地扩散,能够均匀地向下排出,而且无需将向气体扩散空间供给气体的气体流路分支到每个隔断区域再连接起来的复杂气体管道(流路),实现了缩短配管路径以及配管的简单化。
此外,按照本发明的第四个观点,由于控制处理气体排出机构温度的温度控制机构具有从上述处理气体导入部的下部经过上述传热柱散发出所传导的热量的散热机构,所以在能够有效地散发掉处理气体排出机构的热量,均匀地控制处理气体排出机构温度的同时,还能够抑制温度随时间而升高,从而能够以高精度进行温度控制。
附图说明
图1是表示涉及本发明第一实施方式的成膜装置的截面图。
图2是表示涉及本发明第一实施方式的成膜装置框架底部结构一个例子的透视平面图。
图3是表示涉及本发明第一实施方式的成膜装置框架的平面图。
图4是表示构成涉及本发明第一实施方式的成膜装置的喷淋头的喷淋头基座的平面图。
图5是表示构成涉及本发明第一实施方式的成膜装置的喷淋头的喷淋头基座的底面图。
图6是表示构成涉及本发明第一实施方式的成膜装置的喷淋头的气体扩散板的平面图。
图7是表示构成涉及本发明第一实施方式的成膜装置的喷淋头的气体扩散板的底面图。
图8是表示构成涉及本发明第一实施方式的成膜装置的喷淋头的喷淋平板的平面图。
图9是表示沿着IX-IX线切开图4的喷淋头基座的截面图。
图10是表示沿着X-X线切开图6的扩散板的截面图。
图11是表示图8的喷淋平板沿着XI-XI线切开的截面图。
图12是表示传热柱的配置的放大图。
图13是表示传热柱的另一个例子的图。
图14是表示传热柱的再一个例子的图。
图15是表示传热柱的又一个例子的图。
图16是用来说明确认气体管道垂直部的高度对气体扩散均匀性影响的模拟图。
图17是用来说明确认气体管道垂直部的高度对气体扩散均匀性影响的模拟结果的图。
图18是表示在涉及本发明第一实施方式的成膜装置中的气体供给源结构的概念图。
图19是表示涉及本发明第一实施方式的成膜装置的效果的一个例子的曲线图。
图20是表示涉及本发明第一实施方式的成膜装置的效果的一个例子的曲线图。
图21是表示在涉及本发明第一实施方式的成膜装置中的喷淋头的温度测量点位置的图。
图22是表示涉及本发明第二实施方式的成膜装置的截面图。
图23是表示涉及本发明第二实施方式的成膜装置的平面图。
图24是用来说明涉及本发明第二实施方式的效果的图。
图25是表示涉及本发明第三实施方式的成膜装置的喷淋头的截面图。
图26是表示涉及本发明第三实施方式的成膜装置的喷淋头的变形例的截面图。
图27是表示涉及本发明第三实施方式的成膜装置的喷淋头的又一变形例的截面图。
图28是对图25的温度控制部进行分区控制时的区域分割的例子的平面图。
图29是表示涉及本发明第四实施方式的成膜装置的喷淋头的截面图。
图30是表示涉及本发明第五实施方式的成膜装置的喷淋头的截面图。
图31是表示涉及本发明第五实施方式的成膜装置的喷淋头的平面图。
图32A是表示在涉及本发明第五实施方式的成膜装置的喷淋头中使用的温度控制机构的变形例的平面图。
图32B是表示在涉及本发明第五实施方式的成膜装置的喷淋头中使用的温度控制机构的又一变形例的截面图。
图33是表示涉及本发明第六实施方式的成膜装置的喷淋头的截面图。
图34是表示涉及本发明第七实施方式的成膜装置的喷淋头的截面图。
图35是表示在涉及本发明第七实施方式的成膜装置的喷淋头中使用的温度控制机构的变形例的图。
具体实施方式
下面,参照附图对本发明的实施方式进行具体说明。
(第一实施方式)
首先,对第一实施方式进行说明。图1是表示涉及本发明第一实施方式的成膜装置的截面图,图2是表示涉及本发明第一实施方式的成膜装置的框架内部结构的平面图,图3是其上部的平面图。图4~图11是表示构成该成膜装置的喷淋头的构成部件的图。
其中,在图1中,喷淋头的截面表示的是沿着后述图6的X-X线部分切开的切开面,其左右相对于中央部分是非对称的。
如图1所示,该成膜装置具有由例如铝等构成的其平面截面大致呈矩形的框架1,该框架1的内部成为被制成有底圆筒状的处理容器2。在处理容器2的底部设置有与灯具单元(lamp unit)100连接的开口2a,由该开口2a的外侧、通过由O形环构成的密封部件2c对石英制成的透过窗2d与处理容器2进行气密密封。在处理容器2的上部设置有能够开关的盖体3,在该盖体3上设置有支撑在其上的作为气体排出机构的喷淋头40。关于该喷淋头40在后面进行详细说明。此外,虽然在图1中没有示出,但是,在框架1的背后设置有经由喷淋头40向处理容器内供给各种气体的后述的气体供给源60(参照图18)。此外,在气体供给源60上连接有供给原料气体的原料气体管道51和供给氧化剂气体的氧化剂气体管道52。氧化剂气体管道52分支成氧化剂气体支管52a和52b,原料气体管道51和氧化剂气体支管52a以及52b与喷淋头40相连接。
在处理容器2的内部,从处理容器2的底部直立设置有圆筒状的屏蔽基座(shield base)8。在屏蔽基座8上部的开口处配置有环状的基础环7,在该基础环7的内圆周面上支撑有环状的附属件(attachment)6,在附属件6的内圆周面的台阶部上支撑着设置有用于放置芯片W的装载台5。在屏蔽基座8的外侧设置有后述挡板(baffle plate)9。
在挡板9上形成有多个排气孔9a。在处理容器2的外周底部,在包围着屏蔽基座8的位置处设置有底部排气流路71,处理容器2的内部经由挡板9的排气孔9a而与底部排气流路71连通,使得处理容器2能够均匀地进行排气。在框架1的下方安装有对处理容器2进行排气的排气装置101。在下面对有关通过排气装置101进行排气的情况进行详细说明。
上述盖体3被设置在处理容器2上部的开口部分,在该盖体3相对放置于装载台上的芯片W的位置处设置有喷淋头40。
在装载台5、附属件6、基础环7和屏蔽基座8所包围的空间内,从处理容器2的底部立起设置有圆筒状的反射器4。该反射器4是用来反射从图未示出的灯具单元发出的热线、并将其引导至装载台5的下面,使得以更好的效率对装载台5进行加热。此外,作为加热源并不局限于上述灯具,也可以在装载台5内埋入设置有电阻加热体对该装载台5进行加热。
在该反射器4上、例如在三处设置有切口部,在与该切口部相对应的位置上分别配置有用于从装载台5支撑芯片W的可升降的升降销12。该升降销12的销部分和支撑部分构成一体,被支撑在设置于反射器4外侧的圆环状的保持部件13上,通过图未示出的致动器使保持部件13升降来进行上下运动。该升降销12由能够透过从灯具单元发射的热线的材料、例如石英或者陶瓷(例如Al2O3、AIN、SiC)所构成。
升降销12在接受芯片W时,上升至升降销12从装载台5突出预定高度为止,当将支撑于升降销12上的芯片W放置到装载台5上时,升降销12收进装载台5内。
在装载台5的正下方的处理容器2的底部,设置有包围开口2a的反射器4,在该反射器4的内周上,通过支撑在其整个周边上而安装有由石英等能够透过热线的材料所制成的气体遮蔽17。在气体遮蔽17上形成有多个孔17a。
此外,来自吹扫气体供给机构的吹扫气体(例如N2、Ar气等惰性气体),经由在处理容器2的底部形成的吹扫气体流路19和与该吹扫气体流路19连通的、均匀配置在反射器4内侧下部的八个位置的气体排出口18,而被供给到支撑于反射器4内周上的气体遮蔽17的下侧与透过窗2d之间的空间内。
这样供给的吹扫气体通过气体遮蔽17的多个孔17a而流入装载台5的背面一侧,从而,能够防止来自后述喷淋头40的处理气体或者清洗气体侵入装载台5里面侧的空间而在透过窗2d上发生因薄膜的堆积或者蚀刻而造成的损伤等。
在框架1的侧面,设置有与处理容器2相连通的芯片出入口15,该芯片出入口15经由闸阀16与图未示出的负载锁定(load lock)室相连接。
例如,如图2所示,环状的底部排气流路71,与夹持着处理容器2而对称配置在框架1的底部的对角位置的排气合流部72相连通,该排气合流部72,经由设置在框架1的角部内的上升排气流路73和设置在框架1的上部的横行排气管74,而与贯通框架1的角部而配置的下降排气流路75相连接,并与配置在框架1的下方的排气装置101(参照图1)连接。这样一来,由于利用框架1的角部的空闲空间来配置上升排气流路73和下降排气流路75,所以使得排气流路能够在框架1的基底面(footprint)内完成布局,因此,可以不增加装置的设置面积,从而能够节省成膜装置的布置空间。
其中,在装载台5上,多个热电偶80例如一根插在中心附近,另一根插在边缘附近,通过这些热电偶80来测量装载台5的温度,并根据这些热电偶80的测量结果对装载台5的温度进行控制。
接着,对喷淋头40进行详细说明。
喷淋头40包括:其外缘与盖体3上部相嵌合而形成的筒状的喷淋头基座(第一平板)41、与该喷淋头基座41的下面密接的圆盘状的气体扩散板(第二平板)42、和安装在该气体扩散板42的下面的喷淋头平板(第三平板)43。构成喷淋头40的最上部的喷淋头基座41,其形成为能够使喷淋头40的全部热量向外散发的结构。喷淋头40的整体形状呈圆柱状,但是也可以是立方体柱状。
喷淋头基座41通过基座固定螺丝41j而固定在盖体3上。在该喷淋头基座41与盖体3的接合部上设置有盖体O形沟槽3a和盖体O形环3b,两者气密地接合。
图4是该喷淋头基座41的上部平面图,图5是其下部平面图,图9是沿着图4中的IX-IX线部分的截面图。喷淋头基座41包括:设置在中央部分、与原料气体管道51相连接的第一气体导入管道41a;和与氧化剂气体管道52的氧化剂气体支管52a以及52b相连接的多个第二气体导入管道41b。第一气体导入管道41a垂直地延伸,贯穿整个喷淋头基座41。此外,第二气体导入管道41b,从导入部至喷淋头基座41的中途为止垂直延伸,并且,从此处开始水平延伸,然后再垂直延伸,具有钩形的形状。在图中,氧化剂气体分支管52a和52b配置在夹持第一气体导入管道41a的对称位置,但是,只要能够均匀地供给气体,其可以在任何位置。
在喷淋头基座41的下面(相对气体扩散板42的接合面),设置有外周O形沟槽41c和内周O形沟槽41d,通过分别装入外周O形环41f和内周O形环41g来维持接合面的气密性。此外,在第二气体导入管道41b的开口处,还设置有气体流路O形沟槽41e和气体流路O形沟槽41h。由此,能够可靠地防止原料气体与氧化剂气体的混合。
在该喷淋头基座41的下面,配置有具有气体通道的气体扩散板42。图6是该气体扩散板42的上侧平面图,图7是其下侧平面图,图10是沿着图6中的X-X线的截面图。在气体扩散板42的上面侧和下面侧,分别设置有第一气体扩散部42a和第二气体扩散部42b。
上侧的第一气体扩散部42a,避开第一气体流路42f的开口位置而具有多个圆柱状突起的传热柱42e,传热柱42e以外的空间部形成第一气体扩散空间42c。该传热柱42e的高度与第一气体扩散部42a的深度大致相等,与位于上侧的喷淋头基座41密接,具有将来自下侧喷淋平板43的热量传递至喷淋头基座41的功能。
下侧的第二气体扩散部42b具有多个圆柱状的突起42h,这些圆柱状突起42h以外的空间形成第二气体扩散空间42d。第二气体扩散空间42d,经由垂直贯穿该气体扩散板42而形成的第二气体通路42g,与喷淋头基座41的第二气体导入通道41b相连通。在一部分圆柱状突起42h上,优选在与被处理体的范围相同区域的10%以上的区域内,在中心部位贯穿形成第一气体通路42f。该圆柱状突起42h的高度,与第二气体扩散部42b的深度大致相等,与密接气体扩散板42的下侧的喷淋平板43的上面紧密接触。其中,在圆柱状突起42h中形成有第一气体通路42f的部分,被配置成在下面密接的喷淋平板43的后述第一气体排出口43a与第一气体通路42f相连通。也可以全部圆柱状突起42h都形成第一气体通路42f。
如图12放大所示,上述传热柱42e的直径d0例如为2~20mm,优选为5~12mm。与其相邻的传热柱42e之间的间隔d1例如为2~20mm,优选为2~10mm。此外,优选这样配置传热柱42e,使多个传热柱42e的截面面积的合计值S1与第一气体扩散部42a的截面面积S2之比(面积比R=(S1/S2))为0.05~0.50。当该面积比R小于0.05时,对喷淋头基座41的传热效率的提高效果变小,从而使散热性能变差,反之,当其大于0.50时,在第一气体扩散空间42c中的气体流路阻力增大,气流变得不均匀,在基板上成膜时会增加面内膜厚的偏差(不均匀性)。而且,如图12所示,在本实施方式中,相邻的第一气体通路42f与传热柱42e之间的距离是一定的。但是,并不局限于这种方式,只要传热柱42e位于第一气体通路42f之间即可。
此外,传热柱42e的截面形状除了如图12所示的圆形以外,如果是椭圆形的曲面形状,则因为降低流路的阻力而是优选的,但是,也可以是如图13所示的三角形、图14所示的四边形或者图15所示的八角形等的多角形。
而且,传热柱42e的排列,优选是格子状或者锯齿状,第一气体通路42f优选在传热柱42e所排列的格子或者锯齿的中心而形成。例如,在传热柱42e是圆柱的情况下,通过以直径d0:8mm、间隔d1:2mm的尺寸来呈格子状布置传热柱42e,而使面积比R等于0.44。通过按照这样的尺寸布置的传热柱42e,而使得传热效率和气流均匀性都能够维持较高。其中,面积比R可以根据不同的气体适当地设定。
此外,在第一气体扩散部42a的周边部附近(内周O形沟槽41d的外侧附近)的多个位置上,设置有多个扩散板固定螺丝41k,用于将该第一气体扩散部42a内的传热柱42e的上端密接在上侧的喷淋头基座41的下面。通过该扩散板固定螺丝41k的结合力,而能够使第一气体扩散部42a内的多个传热柱42e可靠地与喷淋头基座41的下面密接,降低了传热阻力,从而可靠地得到传热柱42e的传热效果。也可以将固定螺丝41k安装在第一气体扩散部42a的传热柱42e上。
由于设置在第一气体扩散部42a内的多个传热柱42e没有以间隔壁的方式分隔空间,所以第一气体扩散空间42c没有被分隔而是连续地形成,导入到第一气体扩散空间42c中的气体能够在其整体上以扩散的状态下从下部排放出。
此外,根据本发明人的研究结果可以得出,气体在气体扩散空间中的扩散性,与向喷淋头导入气体的气体管道的垂直部的长度有关,如果垂直部的长度足够长,则能够防止由于在气体导入部中的惯性或者行进方向的变化而发生偏差,从而使在气体扩散空间中的气体的扩散性保持均匀。当垂直部的长度太短时,变成倾斜地向气体扩散空间供给气体,由于气体的惯性或者流向变化而造成的压力变动而使在气体导入部内部气体的压力分布发生偏差。特别是在原料气体的情况下,因为该气体压力分布的偏差会破坏成膜处理的均匀性。而且,该偏差在使用比重大的气体的情况下更加显著。
接着,对导入气体的管道的垂直部的长度和从气体导入部导入的气体的流速分布的模拟结果进行说明。在此,使用气流的固定计算(定常計算:steady calculation)作为计算模式,如图16所示,设管道具有水平部PH和弯曲成90°的垂直部Pv,作为条件,设管道的直径为11mmφ、气体-壁面温度为210℃,取预先均匀混合的作为惰性气体的Ar气体和作为有机气体的醋酸丁酯为流入气体,设置流入端的Ar气体流量为固定值300mL/min(气体)、醋酸丁酯流量为固定值1.2mL/min(液体),设置管道的流出侧的压力为固定值319.2Pa(2.4Torr),使垂直部Pv的长度H变化为46mm、92mm、138mm来进行计算。其中,流出端的压力是根据作为压力损失公式的Hagen-Poiseulle(ハ一ゲン.ポアゼイユ)公式而得出的推算值。
其结果,如图17所示。图17是表示在横轴上的管道内的径向位置和纵轴上的流速之间关系的图。如该图所示,在H为46mm较小的情况下,气体的流速分布发生偏离,而当H增加到92mm、138mm时,气体的流速分布变得均匀。在垂直部Pv的长度为138mm的情况下,即使气体的流入量变化50~500%,气体供给量的偏差也小于2%,实现气体供给的均匀性,而且也使成膜的膜的面内均匀性提高。
此外,由于如上述那样第一气体扩散空间42c连续地形成,所以,可以经由一个第一气体导入通道41a和原料气体管道51向第一气体扩散空间42c导入原料气体,从而,减少了原料气体管道51与喷淋头40连接点,实现了管道布局的简单(缩短)化。其结果,由于缩短了原料气体管道51所经的路径,所以,提高了从气体供给源60经由配管面板61所供给的原料气体的进行供给/停止供给的控制精度,并且还能够实现缩小装置整体的布置空间。
如图1所示,原料气体管道51作为整体形成一个弧形,包括:使原料气体垂直上升的垂直上升部分51a、与其相连的向斜上方上升的倾斜上升部分51b、以及与其相连的下降部分51c,垂直上升部分51a与倾斜上升部分51b相连接的部分和倾斜上升部分51b与下降部分51c相连接的部分都被制成平缓的(曲率半径大的)弯曲形状。从而,能够防止在原料气体管道51的中途发生压力变动。
通过从气体扩散板42的上面***的、在其圆周方向上排列的多个固定螺丝42j、42m和42n,而将喷淋平板43安装在上述气体扩散板42的下面。之所以这样从气体扩散板42的上面***固定螺丝,是由于若在喷淋平板40的表面上形成螺纹牙或者螺纹槽,则在喷淋头40的表面上形成的膜容易剥离。下面,对喷淋平板43进行说明。图8是该喷淋平板43的上侧的平面图,图11是沿着图8的XI-XI线表示部分的截面图。
在该喷淋平板43上,交互邻接而布置形成有多个第一气体排出口43a和多个第二气体排出口43b。即,多个第一气体排出口43a中的每一个,均被配置成与上侧的气体扩散板42的多个第一气体通路42f连通,而多个第二气体排出口43b被配置成与上侧的气体扩散板42的第二气体扩散部42b中的第二气体扩散空间42d相连通,即配置在多个圆柱状突起42h的间隙中。
在该喷淋平板43上,在最外周上配置有与氧化剂气体管道52相连接的多个第二气体排出口43b,在其内侧交互均匀地配置有第一气体排出口43a和第二气体排出口43b。这些交互配置的多个第一气体排出口43a和第二气体排出口43b的排列间隔dp,作为一个例子为7mm,第一气体排出口43a例如有460个,第二气体排出口43b例如有509个。这样的排列间隔dp以及个数,是根据被处理体的尺寸和成膜特性而适当地设定。
构成喷淋头40的喷淋平板43、气体扩散板42和喷淋头基座41通过配置在周边部的层积固定螺丝43d而连接。
此外,在层积的喷淋头基座41、气体扩散板42和喷淋平板43上,沿着厚度方向在重叠的位置上有用于安装热电偶10的热电偶***孔41i、热电偶***孔42i和热电偶***孔43c,从而能够测量喷淋平板43的下面或者喷淋头40的内部的温度。热电偶10被设置在中心和外周部,能够以良好的精度均匀地控制喷淋平板43的下面的温度。由此,因为能够均匀地加热基板,所以能够在面内均匀地进行成膜。
在喷淋头40的上面配置有温度控制机构90,由分割成外侧和内侧的多个环状的加热器91、以及设置在加热器91之间的使冷却水等制冷剂流通的制冷剂流路92所构成。将热电偶10的检出信号输入到温度控制器110中,温度控制器110根据该检出信号向加热器电源输出单元93和制冷剂源输出单元94输出控制信号,并反馈到温度控制机构90,从而能够控制喷淋头40的温度。
下面,参照图18对用于通过喷淋头40向处理容器2内供给各种气体的气体供给源60进行说明。
气体供给源60包括:用于生成原料气体的气化器60h、向该气化器60h供给液体原料(有机金属化合物)的多个原料罐60a~原料罐60c、以及溶剂罐60d。接着,在形成PZT薄膜的情况下,例如,作为在有机溶剂中被调节到规定温度的液体原料,在原料罐60a中储存有Pb(thd)2,在原料罐60b中储存有Zr(OiPr)(thd)3,在原料罐60c中储存有Ti(OiPr)2(thd)2
此外,在溶剂罐60d中储存有CH3COO(CH2)3CH3
多个原料罐60a~原料罐60c,通过流量计60f和原料供给控制阀60g而与气化器60h相连接。在该气化器60h中,通过吹扫气体供给控制阀60j、流量控制部60n以及混合控制阀60p而连接有载体气体(吹扫气体)源60i,从而,将各种液体原料导入到气化器60h中。
溶剂罐60d经由流体流量计60f和原料供给控制阀60g而与气化器60h相连接。而且,加压输送用气体源的He气体被导入到多个原料罐60a~60c以及溶剂罐60d中,由于He气体的压力,将从各个罐供给的各种液体原料和溶剂以一定比例供给到气化器60h中并被气化,作为原料气体而送入到原料气体管道51中,通过设置在阀门块61上的阀门62a而送入到喷淋头40中。
此外,在气体供给源60中,设置有载体气体(吹扫气体)源60i,其经由吹扫气体供给控制阀60j、阀门60s、60x、流量控制部60k、60y和阀门60t、60z,将例如Ar、He、N2等惰性气体供给至吹扫气体流路53、19等当中;以及,氧化剂气体源60q,其经由氧化剂气体供给控制阀60r、阀门60v、流量控制部60u和设置在阀门块61上的阀门62b,例如将NO2、N2O、O2、O3和NO等氧化剂(气体)供给至氧化剂气体管道52中。
此外,载体气体(吹扫气体)源60i在原料供给控制阀60g关闭的状态下,通过阀门60w、流量控制部60n和混合控制阀60p将载体气体供给到气化器60h内,从而,根据需要,通过Ar气体等构成的载体气体将气化器60h中的不必要的原料气体向原料气体管道51的管道内部进行吹扫。同样,载体气体(吹扫气体)源60i经由混合控制阀60m与氧化剂气体管道52连接,根据需要,通过Ar气体等吹扫气体对管道内的氧化剂气体或者载体气体进行吹扫。而且,载体气体(吹扫气体)源60i,还经由阀门60s、流量控制部60k、阀门60t和安装在阀门块61上的阀门62c而与原料气体管道51的阀门62a的下游侧连接,从而,能够用Ar气体等吹扫气体对在阀门62关闭状态下的原料气体管道51的下游侧进行吹扫。
接着,对这种结构的成膜装置的动作进行说明。
首先,通过由图未示出的真空泵、在经由底部排气流路71、排气合流部72、上升排气流路73、横行排气管74和下降排气流路75的排气通道内对处理容器2内进行排气,而达到例如100~550Pa左右的真空度。
此时,从载体气体(吹扫气体)源60i经由吹扫气体流路19、并从多个气体排出口18向着气体遮蔽17的背面(下面)一侧供给Ar气体等吹扫气体,该吹扫气体通过气体遮蔽17的孔17a而流向装载台5的背面侧,经由屏蔽基座8的间隙而流入到底部排气流路71中,形成恒定的吹扫气流,以用来防止在位于气体遮蔽17下方的透过窗2d上堆积薄膜或者造成蚀刻等损害。
在该状态下的处理容器2中,通过图未示出的机械臂机构等使升降销12向着装载台5的上面突出而上升,并经由闸阀16、芯片出入口15来送入芯片W,通过图未示出的机械臂机构等将其放置在升降销12上,关闭闸阀16。
接着,使升降销12下降,将芯片W放置在装载台5上,同时启动在下方的图未示出的灯具单元,使热线通过透过窗2d而照射到装载台5的下面(背面),放置在装载台5上的芯片W例如被加热到450~700℃之间,例如被加热至500℃的温度。
然后,通过气体供给源60,从喷淋头40下面的喷淋平板43上的多个第一气体排出口43a和第二气体排出口43b,向这样被加热的芯片W排出供给例如将Pb(thd)2、Zr(OiPr)(thd)3和Ti(OiPr)2(thd)2以一定比例(例如以构成PZT的Pb、Zr、Ti和O等元素按照预定的化学当量比的比例)混合的原料气体和NO2等氧化剂气体,通过这些原料气体和氧化剂气体各自进行的热分解反应或者相互之间的化学反应,而在芯片W的表面上形成由PZT构成的薄膜。
即,来自气体供给源60的气化器60h的被气化的原料气体,与载体气体一起,从原料气体管道51经由气体扩散板42的第一气体扩散空间42c、第一气体通路42f、喷淋平板43的第一气体排出口43a而排放到芯片W上部的空间中。同样,来自氧化剂气体源60q的氧化剂气体,经由氧化剂气体管道52、氧化剂气体支管52a、喷淋头基座41上的第二气体导入管道41b、气体扩散板42的第二气体通路42g而到达第二气体扩散空间42d,再经由喷淋平板43的第二气体排出口43b而排放到芯片W的上部空间。原料气体和氧化性气体在喷淋头40内未经混合就分别供给到处理容器2内。接着,通过控制该原料气体和氧化剂气体的供给时间而能够控制在芯片W上形成的薄膜的膜厚。
在现有技术的喷淋头中,当受到来自装载台的热辐射时,虽然在外周侧部分进行了比较良好的热传递而能够抑制升温,但是,由于其与第一气体扩散部42a相当的部位大约成为整个空间,空间区域比较大,所以,因为隔热效果而使热传递不能充分进行并导致温度升高,在喷淋头的表面上形成温差,同时,由于连续进行成膜处理,所以产生了在喷淋头中央部分温度明显上升的问题。
与此相反,在本实施方式的情况下,来自装载台5的热辐射传递到喷淋平板43上,但该热量传递到设置在气体扩散板42的第二气体扩散部42b上的多个圆柱状突起42h而到达气体扩散板42,再传递到设置在第一气体扩散部42a上的多个传热柱42e而到达喷淋头基座41。即,从喷淋平板43传出的热量的传热效率变得更好,提高了散热效果,更有效地传递到在大气侧最上部的喷淋头基座41的中央部分,并从该喷淋头基座41散发到大气中。因此,在本实施方式的情况下,即使将装载台5加入到如上所述的300~700℃高温的情况下,也能够使喷淋头40的表面(下面)的温度比现有技术中的更低。此外,在没有传热柱存在的情况下,即使在喷淋头的上面设置温度控制机构,由于在喷淋头40的内部侧不能有效地进行传热,所以实质上难以进行均匀的温度控制,而在本实施方式中,由于传热柱42e的存在,使得通过在喷淋头40的上面设置温度控制机构90而能够进行喷淋头40的均匀的温度控制。
在图19中,表示了具有传热柱的本实施方式的喷淋头与现有技术中的具有空心状态的气体扩散空间的喷淋头的温度分布模拟结果的一个例子。在此,表示的是使用200mm的芯片作为基板的情况,对现有技术的具有空心状态的气体扩散空间的喷淋头、和设置有5×5mm且高为10mm的立方柱状的传热柱的情况(本实施方式的模拟模型1)、和设置有12×12mm且高为10mm的立方柱状传热柱的情况(本实施方式的模拟模型2)进行模拟。如该图所示,在现有技术的喷淋头中,喷淋头的整体温度升高,而且在芯片的平面方向上的温度分布也不均匀。与此相反,在本实施方式模拟模型1中,与现有技术的情况相比喷淋头的温度降低,温度分布的均匀性也提高。在本实施方式的模拟模型2中,在喷淋头的温度进一步降低的同时,温度分布的均匀性也更加良好。从该结果可以看出,通过使用具有传热柱的本实施方式的喷淋头,而使通过原料气体热分解反应在芯片W上形成的薄膜的膜厚和膜质分布的芯片W的面内均匀性得到提高。其中,在本模拟中使用了立方柱作为传热柱,但是,考虑到气体流动的通导(conductance)性能,优选圆柱状的传热柱。
此外,实际上即使将装载台5加热到上述300~700℃的高温的情况下,也可以确认与现有技术相比,能够将喷淋头40表面(下面)的温度抑制降低例如大约10℃。例如,在将装载台5的温度设置为524℃、653℃,将喷淋头40的温度设置为160℃的情况下,在现有技术中,实际上对于喷淋头来说,由于来自装载台的辐射热而会分别使其温度上升至174℃和182℃的程度,与此相反,在本实施方式的情况下,能够将其分别抑制在164℃和172℃的程度。
图20是在将装载台的温度设定为653℃、喷淋头的温度设定为160℃的情况下,本实施方式的喷淋头40和现有技术的喷淋头温度,在图21所示的各个测量点处进行比较的曲线图,如该图所示,可以确认在本实施方式中,由于传热柱42e的效果,不仅喷淋头的下面(喷淋平板43)的温度比现有技术的喷淋头的温度低,而且还能够实现中央部分和边缘部分的温度分布的均匀化。具体地说,当在传热柱形成区域(芯片配置区域内)的测量点2~6进行比较时,由于来自装载台的辐射热而升高的喷淋头下面的温度,在现有技术的喷淋头上高达180.1~191.1℃,而且ΔT为11℃,与此相反,在本实施方式喷淋头中的温度为172.2~175.8℃,比现有技术低,且ΔT为3.6℃,而且喷淋头下面中央部分和周边部的温度分布也在5℃之内,可以确认能够比现有技术的喷淋头更为均匀地进行控制。
在此,构成原料气体的Pb(thd)2、Zr(OiPr)(thd)3和Ti(OiPr)2(thd)2的分解温度分别在230℃、230℃和235℃左右,但实际上当喷淋头40内部的温度在220℃以上的情况下,原料气体在喷淋头40内的连通通道的高温部中产生不均匀的该原料气体(成膜原料)的热分解,担心对在芯片W上形成薄膜的膜组成的控制性和均匀性都会产生恶劣的影响。此外,因为在该喷淋头40内的原料气体的热分解而生成的固体作为异物而附着在芯片W上,所以成为成膜缺陷的一个原因。特别是,由于Pb(thd)2的最终热分解温度为220~240℃,所以喷淋头40的温度不能达到220℃。此外,已知从150℃就部分开始了热分解,所以喷淋头40的温度要在150℃以上。从而,喷淋头40的温度优选在160~180℃,例如取170℃。如上述图20明确所示,在具有传热柱42e的本实施方式的喷淋头40中,如上所述几乎在整个区域内测量的温度都比现有技术的喷淋头的温度低,控制在上述优选的170℃左右,可以期待由于传热柱42e的效果而产生的对喷淋头40内的原料气体的热分解反应的抑制效果。
在本实施方式的情况下,由于如上所述将喷淋头40的温度维持在170℃左右以下,就能够可靠地避免喷淋头40内部的原料气体在连通通道中发生所不希望的热分解,使得在芯片W上形成具有目的的组成,且膜厚均匀的PZT等薄膜。
(第二实施方式)
下面,对本发明的第二实施方式进行说明。
在上述第一实施方式中,通过采用设置有传热柱42e的喷淋头40,而形成能够通过传热柱42e从喷淋头40的里面侧向外散热的结构,而且能够通过温度控制机构90对喷淋头40的温度进行控制,但是,在喷淋头40的表面上形成薄膜的情况下,经常会发生在形成薄膜以前吸收反射热、而只用传热柱42e和温度控制机构90还不能充分抑制温度随时间而上升,成为薄膜质量或者薄膜组成发生偏差的原因。
因此,在本实施方式中采用了能够克服该缺点的结构。图22是涉及本发明第二实施方式的成膜装置的截面图,图23是其平面图。由于该成膜装置的基本结构与第一实施方式的成膜装置是一样的,所以,对与图1基本相同的部位标注同样的符号,并省略说明。此外,对于O形环、O形槽、螺丝等,因为与图1完全相同而连符号也省略。
在本实施方式中,具有喷淋头40的温度控制机构120,该温度控制机构除了具有第一实施方式中的加热器91和制冷剂流路92以外,在内侧加热器91的内侧部分还设置有散热部件121。该散热部件121是用铝、铜、铝合金、铜合金等导热性能良好的材料制造的,安装在喷淋头40上面的内侧部分(传热柱形成区域)上,包括与喷淋头40相连接的连接部122、以及设置在连接部122的上端且向外展开成扇形的散热板(散热部)123。其中,与第一实施方式相同,对向加热器91的通电以及在制冷剂流路92中流通的制冷剂的温度和流量进行控制。
在该散热部件121中,喷淋头40的热量通过连接部122而到达散热板123,再从散热板123的板面散发掉。即,散热部件121具有将喷淋头40中心部位的热量散发到外界的功能。此外,散热部件121的散热板123与温度控制机构120的制冷剂流路92相接触,从而提高了冷却效率。
这样一来,通过设置散热部件121,即使在成膜时在喷淋头40的表面上形成薄膜而降低喷淋头40的反射率,使喷淋头40吸收来自装载台5的热量,由于喷淋头40的中心部位的热量通过传热柱42e和散热部件121而被散发掉,所以能够有效地散发掉喷淋头40的热量,能够均匀地控制喷淋头40的温度,而且,还能够以较高的精度进行温度控制,抑制温度随时间的上升。
由于这样能够有效地进行喷淋头40的内部的散热,所以防止了成膜时喷淋头40的温度随时间而上升的情况,而且能够提高喷淋头使热量均匀化的性能,能够稳定地进行喷淋头40的温度控制。其中,对散热部件的形状没有特别限制,只要根据要求的散热能力而适当设定即可。
下面,对在实际进行温度控制的情况下的效果进行说明。
图24是表示,(a)使用没有设置有传热柱的现有装置的喷淋头,(b)使用设置有传热柱的第一实施方式的喷淋头,(c)使用设置有传热柱和散热部件的第二实施方式的喷淋头连续进行PZT膜成膜处理时的处理的件数与喷淋头温度之间的关系图。从该图可以确认,(a)在没有设置传热柱的过现有技术的喷淋头的情况下,随着成膜处理件数的增加,喷淋头的温度急剧上升,如(b)那样通过使用传热柱而大幅度地抑制了喷淋头温度的上升,如(c)所示那样通过在使用传热柱的同时再使用散热部件,而能够使喷淋头的温度几乎不上升,能够高精度地进行喷淋头的温度控制。因此,作为传热柱+散热部件的(c),由于喷淋头的温度随着时间是稳定的,即使在成膜300件后,PZT膜的Pb/(Zr+Ti)比和膜厚之间的偏差分别为±1.9%和±2.0%,与在(b)的情况下分别为±2.7%和±2.1%相比,工序的均匀性良好。与此相反,由于在没有设置传热柱的(a)情况下喷淋头的温度变化很大,PZT膜的Pb/(Zr+Ti)比和膜厚之间的偏差分别为极大的±7.3%和±4.6%。
(第三实施方式)
下面,对第三实施方式进行说明。
为了实现与图22和图23的例子同样的对喷淋头的温度进行高精度控制,优选配置加热构件和冷却构件,对喷淋头40的上面很广的范围内进行加热和冷却。如图25所示,在本实施方式中,从该观点出发,在喷淋头40的上面交互设置有环状的加热器131a、131b、131c和环状的制冷剂流路132a、132b和132c而构成温度控制机构130,由此能够对喷淋头40的上面的大致整个表面进行加热冷却。对向这些加热器131a、131b和131c的通电控制以及在制冷剂流路132a、132b和132c中通过的制冷剂的温度和流量的控制,是根据图未示出的热电偶检出信号,通过与第一实施方式中的控制器110同样的反馈控制来进行的。在该情况下,可以一并对加热器131a、131b和131c的通电以及在制冷剂流路132a、132b和132c中流通的制冷剂的温度和/或流量进行控制,但是,也可以对其独立地进行控制以实现分区控制,能够以更高的精度进行控制。
此外,如图26所示,也可以设置配备有向喷淋头40的上面供给冷却气体的冷却气体供给装置133a、133b、133c的温度控制机构130’来代替制冷剂流路132a、132b、132c。由此。能够向喷淋头40上面的适当部位供给冷却气体来使喷淋头40冷却。在该情况下,通过控制气体的供给量而能够控制对喷淋头40的冷却。在该情况下,也是可以采用整体控制或者分区控制中的任何一种。冷却气体供给装置133a、133b和133c可以采用具有各种结构的冷却气体供给装置,如沿着圆周配置多个冷却气体排出口的结构,或者具有环状的冷却气体排出口的结构等。在该情况下,向加热器131a、131b、131c的供电控制和对冷却气体供给装置133a、133b、133c的气体供给量的控制,都是根据图未示出的热电偶的检出信号、通过与第一实施方式中的控制器110同样的反馈控制来进行的,此外,也可以进行如上所述的分区控制。
而且,如图27所示,通过横跨喷淋头40的大致整个上面设置多个珀尔帖(Peltier:ペルチエ)元件等热电元件141来形成的温度控制机构140,也能够实现高精度的温度控制。热电元件141通过施加电压而发热,并通过该热量而能够对喷淋头40进行加热,此外,通过施加与发热时的电压相反的电压而吸热,能够冷却喷淋头40。此时,优选设置用来散发热电元件141所吸收的热量的冷却构件,例如设置制冷剂流路。对多个热电元件141的供电进行控制,可以根据图未示出的热电偶的检出信号,通过与第一实施方式中的控制器110同样的反馈控制来进行。此外,既可以整体进行热电元件141的供电控制,也可以分成多个区域分别进行供电控制。例如,如图28所示,将喷淋头40的上面分成同心圆状的三个区,即、对应于其中央部分的中心区142、在其外侧的中间区143、和在最外侧的外侧区144,可以各自独立地进行对该三个区域的热电元件141的供电控制。从而,能够实现更高精度的控制。
其中,在第三实施方式中,当提高上述加热器和装置结构的便利、而不能在喷淋头40的上面的大致整个表面设置温度控制机构的情况下,在与要将喷淋头40充分冷却部位相对应的表面位置上设置上述第二实施方式的散热部件121也是有效的。
(第四实施方式)
下面,对第四实施方式进行说明。图29是表示涉及本发明第四实施方式的成膜装置的喷淋头部分的截面图。该成膜装置的基本结构与第一实施方式的成膜装置相同,对基本相同的部分标注同样的符号,并省略说明。O形环、O形槽和螺丝等与图1完全相同,连符号也予以省略。
在本实施方式中,作为喷淋头40的温度控制机构150,是在上述第二实施方式中的温度控制机构120的结构要素上添加散热块151和电扇152。其中,在图29中,对与第二实施方式的温度控制机构120的结构要素相当的部分,标注与第二实施方式相同的符号。
散热块151设置在散热部件121的散热板123上,电扇152设置在散热块151上。而且,喷淋头40的热量经由连接部122而到达散热板123,再从散热板123的表面传递到散热块151,通过电扇152强制进行散热。由此,能够到达比第二实施方式的散热方式更好的散热性能,能够最有效地进行喷淋头40的内部的散热,所以能够提高成膜时喷淋头40的热量均匀化性能,而且能够防止温度随时间而上升,能够更稳定地进行喷淋头40的温度控制。其中,电扇152也可以设置在散热块151的侧面。
(第五实施方式)
下面,对第五实施方式进行说明。图30是表示涉及本发明第五实施方式的成膜装置喷淋头部分的截面图,图31是其平面图。该成膜装置的基本结构与第一实施方式的成膜装置相同,对与图1基本相同的部分标注同样的符号,并省略说明。其中,O形环、O形槽、螺丝等与图1完全相同,连符号也予以省略。
在本实施方式中,作为喷淋头40的温度控制机构160,是在上述第二实施方式的温度控制机构120的结构要素上,再增加设置散热部件161、向散热部件161供给作为热交换介质的干燥空气的干燥空气供给机构162、和根据喷淋头40的温度对干燥空气的温度进行控制的温度控制部163。其中,在图30和图31中,对于与第二实施方式的温度控制机构120的结构要素相当的部分,标注与第二实施方式相同的符号。
散热部件161被设置在散热部件121的散热板123上,在该散热部件161内设置有多个翅片164。在散热部件161上设置有导入干燥空气的导入部165a和排出干燥空气的排出部165b。而且,干燥空气供给机构162和导入部165a由管道166连接,在排出部165b上连接有管道167。从而,使干燥空气流入散热部件161内。
在管道166上,从上游侧开始、依次设置有手动阀168、调节器169和作为温度控制部163的构成要素的质量流量控制计170。
温度控制部163具有温度控制器171,该温度控制器171接受来自检出喷淋头40温度的热电偶10的检出信号,根据该信号向质量流量控制计170输出流量控制信号,通过控制从干燥空气供给机构162供给到散热部件161的干燥空气的流量,而能够将喷淋头40控制在一定温度。该温度控制器171与上述第一实施方式的温度控制器110相同,能够对加热器91的通/断以及在制冷剂流路92中流通的制冷剂温度或者流量进行控制。其中,形成从AC/DC电源173向温度控制器171和质量流量控制计170进行供电。此外,质量流量控制计170与显示板172连接,用来显示流量信息等。
在这种结构中,喷淋头40的热量经过连接部122到达散热板123,再从散热板123的表面传递到散热部件161,在其内部与从干燥空气供给机构162供给到散热部件161内的干燥空气迅速进行热量交换,将热量散发。特别是,由于在散热部件161内设置有多个翅片164,所以可以极为迅速地进行热交换。因此,能够极为有效地对喷淋头40进行散热,而且通过对供给的干燥空气的流量进行控制而能够高精度地对散热性能进行控制。因此,提高了成膜时的喷淋头40的热量均匀化性能,而且能够最有效地防止温度随时间而上升,能够以比第四实施方式更高的水平对喷淋头40的温度进行稳定的控制。
其中,作为热交换介质并不局限于干燥空气,也可以供给其它的气体。此外,对干燥空气等热交换介质流量进行控制便能够控制喷淋头40的温度,因此也可以不设置加热器91和制冷剂流路92。
在上述说明中,散热部件161被设置在散热板123上,但是也可以如图32A和图32B所示,在没有设置散热板123的连接部122上直接设置与散热板123具有同样扇形形状的散热部件161’。在散热部件161’的内部设置有多个翅片(fin)164’,而且设置有导入干燥空气的导入部165a’和排放出干燥空气的排出部165b’,通过在内部流通干燥空气而能够与散热部件161完全一样进行热交换。其中,散热部件161’的形状并不局限于扇形。
(第六实施方式)
下面,对第六实施方式进行说明。图33是表示涉及本发明第六实施方式的成膜装置的喷淋头部分的截面图。该成膜装置的基本结构与第一实施方式的成膜装置相同,因此,对与图1基本相同的部分标注同样的符号,并省略说明。此外,由于O形环、O形槽和螺丝等与图1完全相同,所以连符号也予以省略。
在本实施方式中,作为喷淋头40的温度控制机构180,除了第一实施方式中的加热器91和制冷剂流路92以外,还设置有具有覆盖喷淋头40的上面、即盖体3的上面的中央部位的密闭的罩体181的部件,罩体181具有导入作为热交换介质的干燥空气的导入口182a和排放出干燥空气的排出口182b。从图未示出的干燥空气供给机构经由导入口182a而导入到罩体181内的干燥空气、再从排出口182b排出而形成干燥空气的气流。即,罩体181具有作为热交换部件的功能。其中,对向加热器91的通电和在制冷剂流路92中流通的制冷剂温度或者流量等与第一实施方式一样来进行控制。
如此,通过在密闭的罩体181内形成干燥空气的气流,使得在喷淋头40的盖体3上面与干燥空气之间发生热交换,使得喷淋头40的热量能够有效地被散发,能够均匀地控制喷淋头40的温度,并能够高精度地进行温度控制,抑制温度随时间而上升。此时,通过与第五实施方式同样地控制干燥空气的流量,而能够以极其良好的精度控制喷淋头40的温度。其中,在这样通过与干燥空气进行热交换来进行充分的温度控制的情况下,加热器91和制冷剂流路92也未必是必需的。
(第七实施方式)
下面,对第七实施方式进行说明。图34是表示涉及本发明第七实施方式的成膜装置的喷淋头部分的截面图。该成膜装置的基本结构与第一实施方式的成膜装置相同,所以,对与图1基本相同的部分标注同样的符号,并省略说明。此外,对于O形环、O形槽、螺丝等,由于与图1是完全相同,所以连符号也予以省略。
在本实施方式中,作为喷淋头40的温度控制机构190,除了第一实施方式中的加热器91和制冷剂流路92以外,还设置有多个散热翅片191作为散热部件。散热翅片191被设置在内侧加热器91的更内侧部分,与盖体3一体而从盖体3的上面突出。其中,向加热器91的通电以及对在制冷剂流路92中流通的制冷剂温度或者流量等,与第一实施方式同样来进行控制。
由于该散热翅片191具有翅片的形状,所以散热面积很大,喷淋头40的热量从该散热翅片191的表面有效地散发出去。通过设置这样的散热翅片191,使得喷淋头40即使从装载台5吸收热量,喷淋头40中央部位的热量也能够经由传热柱42e和散热翅片191而散发出去,所以,能够有效地散发喷淋头40的热量,在能够均匀地控制喷淋头40的温度的同时,还能够进行高精度的温度控制,抑制温度随时间而上升。
由于这样能够有效地进行喷淋头40的内部的散热,所以防止在成膜时喷淋头40的温度随时间而上升,而且,能够提高喷淋头的热量均匀化的性能,能够对喷淋头40进行稳定的温度控制。
该散热翅片191,在最中央部位的高度最高,向着周边侧高度逐渐降低。从而,使得最须要散热的中间部位的散热性能最高。该散热翅片191,可根据所要求的散热性而适当地决定起高度、形状、厚度和数量等。
而且,从使散热性和温度控制性更好的观点出发,如图35所示,优选用罩体192覆盖喷淋头40中的盖体3表面上地设置散热翅片191的部分,从设置在罩体192上的导入口193a导入作为热交换介质的例如干燥空气,再从排出口193b排放出,通过形成干燥空气的气流来促进热交换。由此,能够对喷淋头40的温度进行非常高的精度的控制。此时,通过与第五实施方式同样的对干燥空气流量的控制,而能够以极其良好的精度控制喷淋头40的温度。其中,在这样设置罩体192、在其中形成热交换介质的流动的情况下,加热器91和制冷剂流路92不一定是必需的。
本发明并不局限于上述实施方式,在本发明的思想范围内可以进行各种变形。例如,在上述实施方式中,是以PZT薄膜的成膜处理为例进行的说明,但是并不局限于此,在W膜或者Ti膜等对其它薄膜成膜的情况下也可以适用。本发明也并不局限于成膜装置,对于热处理装置、等离子体处理装置等其它气体处理装置也可以适用。而且,喷淋头的构造也并不局限于上述各实施方式。而且,这里是以将喷淋头的温度控制机构设置在喷淋头的上面为例,但是,也可以设置在喷淋头的内部。而且此外,这里是以半导体芯片作为被处理基板为例进行的说明,但是并不局限于此,对液晶显示装置(LCD)用玻璃基板为代表的平面显示面板(FPD)等其它的基板进行处理也是适用的。
产业上利用的可能性
本发明可以广泛适用于在处理容器内、从相对在装载台上放置的被加热基板设置的喷淋头中供给原料气体,进行所需气体处理的气体处理装置中。

Claims (49)

1.一种气体处理装置,其特征在于,包括:
收容被处理基板的处理容器;
在所述处理容器内配置的、用来放置被处理基板的装载台;
与所述装载台相对设置,将处理气体排放到所述处理容器内的处理气体排出机构;和
排放出所述处理容器内气体的排气机构,其中,
所述处理气体排出机构包括:
导入所述处理气体的气体导入部;
具有用于向所述装载台排放处理气体的多个气体排出孔的气体排出部;和
设置在所述气体导入部和所述气体排出部之间的气体扩散部,而
所述气体扩散部包括:
在所述气体导入部和所述气体排出部之间进行热传递的多个传热柱;和
与所述气体排出孔相连通,构成所述传热柱以外部分的气体扩散空间。
2.如权利要求1中所述的气体处理装置,其特征在于:
所述多个传热柱呈圆柱体的形状。
3.如权利要求1或2中所述的气体处理装置,其特征在于:
所述传热柱的截面积的合计值S1和所述气体扩散部截面积S2之比S1/S2在0.05~0.50之间。
4.如权利要求1中所述的气体处理装置,其特征在于:
所述传热柱的直径为2~12mm。
5.一种气体处理装置,其特征在于,包括:
收容被处理基板的处理容器;
在所述处理容器内配置的、用来放置被处理基板的装载台;
设置在与所述装载台上的被处理基板相对的位置上,将处理气体排放到所述处理容器内的处理气体排出机构;和
排放出所述处理容器内气体的排气机构,其中,
所述处理气体排出机构包括:
分别导入第一处理气体和第二处理气体的第一平板;
与所述第一平板的主面相接触的第二平板;
与所述第二平板相接触,并对应在所述装载台上放置的被处理基板而形成多个第一和第二气体排出孔的第三平板;
设置在所述第一平板和所述第二平板之间的第一气体扩散部;和
设置在所述第二平板和所述第三平板之间的第二气体扩散部,而
所述第一气体扩散部包括:
与所述第一平板和第二平板连接的多个第一柱体;和
与所述第一气体排出孔相连通,构成所述多个第一柱体以外的部分的第一气体扩散空间,而
所述第二气体扩散部包括:
与所述第二平板和所述第三平板连接的多个第二柱体;和
与所述第二气体排出孔相连通,构成所述多个第二柱体以外的部分的第二气体扩散空间,其中,
被导入的所述第一处理气体经过所述第一气体扩散空间而从所述第一气体排出孔排放出,被导入的所述第二处理气体经过所述第二气体扩散空间而从所述第二气体排出孔排放出。
6.如权利要求5中所述的气体处理装置,其特征在于:
所述多个第二柱体,在轴向上形成连通所述第一气体扩散空间和所述第一气体排出孔的气体通道。
7.一种气体处理装置,其特征在于,包括:
收容被处理基板的处理容器;
在所述处理容器内配置的、用来放置被处理基板的装载台;
与所述装载台上的被处理基板相对设置,将第一以及第二处理气体排放到所述处理容器内的处理气体排出机构;和
排放出所述处理容器内气体的排气机构,其中,
所述处理气体排出机构包括:
导入所述第一和第二处理气体的气体导入部;
具有用于向所述装载台分别排放出第一处理气体和第二处理气体的多个第一和第二气体排出孔的气体排出部;和
在所述气体导入部和所述气体排出部之间层积形成的、具有扁平形状的第一和第二气体扩散部,而
所述第一气体扩散部包括:
用于在所述气体排出部和所述气体导入部之间进行热传递的多个第一柱体;和
与所述第一气体排出孔相连通,构成所述多个第一柱体以外的部分的第一扩散空间,而
所述第二气体扩散部包括:
具有使所述第一处理气体流通的多个气体流通孔的第二柱体;和
与所述第二气体排出孔相连通,构成所述多个第二柱体以外的部分的第二气体扩散空间,其中,
被导入的所述第一处理气体经过所述第一气体扩散空间而从所述第一气体排出孔排放出,被导入的所述第二处理气体经过所述第二气体扩散空间而从所述第二气体排出孔排放出。
8.如权利要求5~7中任何一项所述的气体处理装置,其特征在于:
所述多个第一柱体呈圆柱状。
9.如权利要求5~8中任何一项所述的气体处理装置,其特征在于:
所述第一柱体的截面积合计值S1与所述第二气体扩散部截面积S2之比S1/S2为0.05~0.50。
10.如权利要求5~9中任何一项所述的气体处理装置,其特征在于:
所述第一柱体的直径为2~12mm。
11.如权利要求5~10中任何一项所述的气体处理装置,其特征在于:
所述处理容器呈在多边形框架内配置有圆柱状处理空间的形状,所述排气机构包括在所述框架的底部与所述处理空间相连通并围绕着该处理空间形成的第一排气流路,和在所述框架中相对的各个角落处在高度方向上配置、并与所述第一排气流路相连通的第二排气流路。
12.如权利要求1~11中任何一项所述的气体处理装置,其特征在于:
还包括设置在所述处理气体排出机构的上部,用来控制所述处理气体排出机构的温度的温度控制机构。
13.如权利要求12所述的气体处理装置,其特征在于:
所述温度控制机构具有用来加热所述处理气体排出机构的加热器和使冷却所述处理气体排出机构的制冷剂通过的制冷剂流路。
14.如权利要求12所述的气体处理装置,其特征在于:
所述温度控制机构具有用来加热所述处理气体排出机构的加热器和向所述处理气体排出机构上面的预定位置供给冷却气体的冷却气体供给装置。
15.如权利要求12所述的气体处理装置,其特征在于:
所述温度控制机构具有设置在所述处理气体排出机构上面的多个热电元件。
16.如权利要求12~15中任何一项所述的气体处理装置,其特征在于:
所述温度控制机构对所述处理气体排出机构的大致整体进行温度控制。
17.如权利要求12~16中任何一项所述的气体处理装置,其特征在于:
所述温度控制机构还具有将所述处理气体排出机构的热量散发到环境中的散热部件。
18.如权利要求17所述的气体处理装置,其特征在于:
所述散热部件具有与所述气体排出机构的上面相连接的连接部和安装在连接部上的大面积的热扩散部分。
19.如权利要求17或18所述的气体处理装置,其特征在于:
所述温度控制机构具有促进从所述散热部件的散热的风扇。
20.如权利要求17所述的气体处理装置,其特征在于:
所述散热部件与所述处理气体排出机构形成为一体,而且从所述处理气体排出机构的上面突出。
21.如权利要求12所述的气体处理装置,其特征在于:
所述温度控制机构具有与所述气体排出机构之间进行热交换的换热部件,和向所述换热部件中供给热交换介质、并在其中形成热交换介质流的热交换介质供给机构。
22.如权利要求21所述的气体处理装置,其特征在于:
所述换热部件在其内部具有多个翅片。
23.如权利要求21所述的气体处理装置,其特征在于:
所述温度控制机构还具有将所述处理气体排出机构的热量散发到环境中的散热部件。
24.如权利要求23所述的气体处理装置,其特征在于:
所述散热部件具有与所述气体排出机构的上面相连接的连接部、和安装在连接部上的大面积热扩散部,所述热交换部件被设置成与所述热扩散部相接触。
25.如权利要求24所述的气体处理装置,其特征在于:
所述热交换部件在其内部具有多个翅片。
26.如权利要求23所述的气体处理装置,其特征在于:
所述散热部件与所述处理气体排出机构形成为一体,而且具有从所述处理气体排出机构的上面突出设置的翅片,所述热交换部件覆盖在所述翅片上。
27.如权利要求21~26中任何一项所述的气体处理装置,其特征在于:
所述温度控制机构具有根据所述处理气体排出机构的温度控制导入所述热交换部件中的热交换介质的流量,从而控制所述处理气体排出机构的温度的温度控制部。
28.一种气体处理装置,其特征在于,包括:
收容被处理基板的处理容器;
在所述处理容器内配置的、用来放置被处理基板的装载台;
设置在与所述装载台相对的位置处,将处理气体排放到所述处理容器内的处理气体排出机构;
排放出所述处理容器内气体的排气机构;和
所述处理气体排出机构的温度控制机构,其中,
所述处理气体排出机构包括:
导入所述处理气体的气体导入部;
具有用于向所述装载台排放出处理气体的多个气体排出孔的气体排出部;和
设置在所述气体导入部和所述气体排出部之间的气体扩散部,而
所述气体扩散部包括:
在所述气体导入部和所述气体排出部之间进行热传递的传热柱;和
与所述气体排出孔相连通,构成所述传热柱以外的部分的气体扩散空间,其中,
所述温度控制机构具有从所述处理气体导入部的下部经过所述传热柱而散发掉传导热的散热机构。
29.如权利要求28中所述的气体处理装置,其特征在于:
所述散热机构具有将所述处理气体排出机构的热量散发到环境中的散热部件。
30.如权利要求29中所述的气体处理装置,其特征在于:
所述散热部件具有与所述气体排出机构的上面相连接的连接部、和安装在连接部上的大面积的热扩散部。
31.如权利要求30中所述的气体处理装置,其特征在于:
所述散热机构具有促进从所述散热部件的散热的风扇。
32.如权利要求29中所述的气体处理装置,其特征在于:
所述散热部件与所述处理气体排出机构形成为一体,而且具有从所述处理气体排出机构的上面突出设置的翅片。
33.如权利要求28中所述的气体处理装置,其特征在于:
所述散热机构具有与所述气体排出机构之间进行热交换的热交换部件,和向所述热交换部件中供给热交换介质、并在其中形成热交换介质流的热交换介质供给机构。
34.如权利要求33中所述的气体处理装置,其特征在于:
所述热交换部件在其内部具有多个翅片。
35.如权利要求33中所述的气体处理装置,其特征在于:
所述散热机构还具有将所述处理气体排出机构的热量散发到环境中的散热部件。
36.如权利要求35中所述的气体处理装置,其特征在于:
所述散热部件具有与所述气体排出机构的上面相连接的连接部、和安装在连接部上的大面积的热扩散部,所述热交换部件被安装成与所述热扩散部相接触。
37.如权利要求36中所述的气体处理装置,其特征在于:
所述热交换部件在其内部具有多个翅片。
38.如权利要求35中所述的气体处理装置,其特征在于:
所述散热部件与所述处理气体排出机构形成为一体,而且具有从所述处理气体排出机构的上面突出设置的翅片,所述热交换部件覆盖在所述翅片上。
39.如权利要求33~38中任何一项中所述的气体处理装置,其特征在于:
所述散热机构具有根据所述处理气体排出机构的温度控制向所述热交换部件中导入的热交换介质的流量,从而对所述处理气体排出机构的温度进行控制的温度控制部。
40.一种散热方法,其特征在于:
该方法是气体处理装置中的处理气体排出机构的散热方法,其中,所述气体处理装置包括:收容被处理基板的处理容器;在所述处理容器内配置的、用来放置被处理基板的装载台;设置在与所述装载台相对的位置处,将处理气体排放到所述处理容器内的处理气体排出机构;和排放出所述处理容器内气体的排气机构,而且,所述处理气体排出机构包括:导入所述处理气体的气体导入部;具有用于向所述装载台排放处理气体的多个气体导入孔的气体排出部;和设置在所述气体导入部和所述气体排出部之间、使处理气体在其中的处理气体扩散空间扩散、并向所述气体排出孔导入的气体扩散部,其中,
在所述气体扩散部设置传热柱来进行所述气体导入部与所述处理气体排出部之间的热传递,对所述处理气体排出机构进行散热。
41.如权利要求40中所述的散热方法,其特征在于:
所述传热柱呈圆柱形状。
42.如权利要求40或者41中所述的散热方法,其特征在于:
所述传热柱截面积的合计值S1与所述气体扩散部截面积S2之比S1/S2为0.05~0.50。
43.如权利要求40~42中任何一项所述的散热方法,其特征在于:
在所述处理气体排出机构的上部设置有温度控制机构,通过所述传热柱进行热传递,来对所述处理气体排出机构的下部进行温度控制。
44.一种散热方法,其特征在于:
该方法是在气体处理装置中的处理气体排出机构的散热方法,其中,所述气体处理装置包括:收容被处理基板的处理容器;在所述处理容器内配置的、用来放置被处理基板的装载台;设置在与所述装载台上的被处理基板相对的位置处,将所述处理气体排放到所述处理容器内的处理气体排出机构;和排放出所述处理容器内气体的排气机构,而所述处理气体排出机构包括:分别导入第一处理气体和第二处理气体的第一平板;与所述第一平板的主面相接触的第二平板;与所述第二平板相接触、并对应在所述装载台上放置的被处理基板而形成多个第一和第二气体排出孔的第三平板;设置在所述第一平板和所述第二平板之间的第一气体扩散部;和设置在所述第二平板和所述第三平板之间的第二气体扩散部,其中,
在所述第一气体扩散部设置有与所述第一平板和所述第二平板连接的多个第一柱体,在所述第二扩散部分设置有与所述第二平板和第三平板连接的多个第二柱体,
通过所述第一柱体进行所述第一平板和所述第二平板之间的热传递,通过所述第二柱体进行所述第二平板和所述第三平板之间的热传递,对所述处理气体排出机构进行散热。
45.如权利要求44中所述的散热方法,其特征在于:
所述多个第一柱体,在其轴向上形成有连通所述第二气体扩散空间和所述第二气体排出孔的气体通道。
46.一种散热方法,其特征在于:
该方法是在气体处理装置中的处理气体排出机构的散热方法,其中,所述气体处理装置包括:收容被处理基板的处理容器;在所述处理容器内配置的、用来放置被处理基板的装载台;设置在与所述装载台上的被处理基板相对的位置处,将所述第一和第二处理气体排放到所述处理容器内的处理气体排出机构;和排放出所述处理容器内气体的排气机构,而所述处理气体排出机构包括:导入所述第一和第二处理气体的气体导入部;具有向所述装载台分别排放出第一处理气体和第二处理气体的多个第一和第二气体排出孔的气体排出部;和在所述气体导入部和所述气体排出部之间层积形成的、具有扁平形状的第一和第二气体扩散部,其中,
在所述第一气体扩散部设置有多个第一柱体,在所述第二气体扩散部设置有具有使所述第一处理气体流通的气体流通孔的多个第二柱体,
通过所述第一柱体和所述第二柱体进行所述气体排出部和所述气体导入部之间的热传递,对所述气体排出机构进行散热。
47.如权利要求44~46中任何一项所述的散热方法,其特征在于:
所述多个第一柱体呈圆柱形状。
48.如权利要求44~47中任何一项所述的散热方法,其特征在于:
所述第一柱体截面积的合计值S1与所述第二气体扩散部截面积S2之比S1/S2为0.05~0.50。
49.如权利要求44~48中任何一项所述的散热方法,其特征在于:
在所述处理气体排出机构的上部设置有温度控制机构,通过所述第一柱体和所述第二柱体进行热传递,来控制所述处理气体排出机构下部的温度。
CNB2004800219846A 2003-09-03 2004-08-30 气体处理装置和散热方法 Expired - Fee Related CN100495655C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP311903/2003 2003-09-03
JP2003311903 2003-09-03

Publications (2)

Publication Number Publication Date
CN1830072A true CN1830072A (zh) 2006-09-06
CN100495655C CN100495655C (zh) 2009-06-03

Family

ID=34269715

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800219846A Expired - Fee Related CN100495655C (zh) 2003-09-03 2004-08-30 气体处理装置和散热方法

Country Status (7)

Country Link
US (1) US20070022954A1 (zh)
EP (1) EP1667217A1 (zh)
JP (1) JP4536662B2 (zh)
KR (2) KR100901892B1 (zh)
CN (1) CN100495655C (zh)
TW (1) TW200527508A (zh)
WO (1) WO2005024928A1 (zh)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101339895B (zh) * 2008-08-22 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的等离子体处理设备
CN101335192B (zh) * 2007-06-27 2010-07-28 东京毅力科创株式会社 基板处理装置和喷淋头
CN101834120A (zh) * 2009-03-10 2010-09-15 东京毅力科创株式会社 喷淋头和等离子体处理装置
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
CN101533764B (zh) * 2008-03-14 2012-05-23 东京毅力科创株式会社 喷淋头和基板处理装置
CN102870503A (zh) * 2010-06-11 2013-01-09 应用材料公司 具有区域依赖性热效率的温度受控等离子体处理腔室部件
CN101809192B (zh) * 2007-09-26 2013-05-01 伊斯曼柯达公司 用于沉积的输送装置
CN103305809A (zh) * 2013-06-26 2013-09-18 中国科学院苏州纳米技术与纳米仿生研究所 一种温度连续可调的喷淋头
CN104025258A (zh) * 2012-01-10 2014-09-03 株式会社Eugene科技 具有冷却***的喷头及具备该喷头的基板处理装置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
CN104246978A (zh) * 2012-04-10 2014-12-24 株式会社Eugene科技 加热器可提升型基板处理装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN105580127A (zh) * 2013-09-23 2016-05-11 国际电气高丽株式会社 加热构件及具有该加热构件的基板处理装置
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
CN107667418A (zh) * 2015-06-05 2018-02-06 应用材料公司 用于降低基板温度非均匀性的改良式装置
CN109023301A (zh) * 2018-10-24 2018-12-18 乐山新天源太阳能科技有限公司 氧化铝膜制备装置
CN110352479A (zh) * 2017-06-19 2019-10-18 应用材料公司 原位半导体处理腔室温度装置
CN110473761A (zh) * 2018-05-11 2019-11-19 东京毅力科创株式会社 等离子体处理装置
CN111383899A (zh) * 2018-12-27 2020-07-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法

Families Citing this family (447)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4399206B2 (ja) 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
JP5045000B2 (ja) 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
KR101064354B1 (ko) * 2006-11-09 2011-09-14 가부시키가이샤 알박 장벽막 형성 방법
JP4928991B2 (ja) 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
JP5179476B2 (ja) * 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
KR100866912B1 (ko) * 2007-05-31 2008-11-04 주식회사 마이크로텍 화학기상증착장비
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
CN102077320B (zh) * 2008-07-04 2013-01-23 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和介电体窗的温度调节机构
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
FI123769B (fi) * 2009-02-13 2013-10-31 Beneq Oy Kaasukasvatusreaktori
TW201038764A (en) * 2009-03-16 2010-11-01 Alta Devices Inc Reactor lid assembly for vapor deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20120285383A1 (en) * 2010-01-14 2012-11-15 Oerlikon Solar Ag, Trubbach Mounting for fixing a reactor in a vacuum chamber
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5762841B2 (ja) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 半導体製造装置
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP2013012353A (ja) * 2011-06-28 2013-01-17 Hitachi High-Technologies Corp プラズマ処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130164948A1 (en) * 2011-12-22 2013-06-27 Intermolecular, Inc. Methods for improving wafer temperature uniformity
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP2014150191A (ja) * 2013-02-01 2014-08-21 Ulvac Japan Ltd Pzt膜の製造方法及び成膜装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10510511B2 (en) * 2013-10-31 2019-12-17 Semes Co., Ltd. Apparatus for treating substrate
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101490450B1 (ko) * 2014-08-29 2015-02-09 주성엔지니어링(주) 가스분배판 고정용 결합부재
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN106816352B (zh) * 2015-12-01 2019-03-12 北京北方华创微电子装备有限公司 下电极组件及半导体加工设备
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
JP7180984B2 (ja) * 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
DE102018126617A1 (de) * 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20200072640A (ko) * 2018-12-12 2020-06-23 삼성디스플레이 주식회사 증착 장치
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068272B (zh) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN116194616A (zh) * 2020-09-25 2023-05-30 朗姆研究公司 高温工艺用轴向冷却金属喷头
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3210051B2 (ja) * 1992-01-16 2001-09-17 株式会社東芝 気相成長装置
JPH06338458A (ja) * 1993-05-28 1994-12-06 Kokusai Electric Co Ltd プラズマcvd装置
JPH08218171A (ja) * 1995-02-08 1996-08-27 Nippon Sanso Kk シャワーヘッド式cvd装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
JP4320924B2 (ja) * 1999-06-15 2009-08-26 東京エレクトロン株式会社 パーティクル計測装置及び処理装置
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
ATE249532T1 (de) * 2000-02-04 2003-09-15 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
EP1371751B1 (en) * 2001-02-09 2011-08-17 Tokyo Electron Limited Film forming device
KR100439949B1 (ko) * 2001-11-08 2004-07-12 주식회사 아이피에스 박막증착용 반응용기

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101335192B (zh) * 2007-06-27 2010-07-28 东京毅力科创株式会社 基板处理装置和喷淋头
CN101809192B (zh) * 2007-09-26 2013-05-01 伊斯曼柯达公司 用于沉积的输送装置
CN101429651B (zh) * 2007-11-08 2013-04-24 应用材料公司 用于基板处理室的多端口抽气***
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
CN101533764B (zh) * 2008-03-14 2012-05-23 东京毅力科创株式会社 喷淋头和基板处理装置
CN101339895B (zh) * 2008-08-22 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的等离子体处理设备
CN101834120B (zh) * 2009-03-10 2012-05-02 东京毅力科创株式会社 喷淋头和等离子体处理装置
US8282769B2 (en) 2009-03-10 2012-10-09 Tokyo Electron Limited Shower head and plasma processing apparatus having same
CN101834120A (zh) * 2009-03-10 2010-09-15 东京毅力科创株式会社 喷淋头和等离子体处理装置
CN102870503A (zh) * 2010-06-11 2013-01-09 应用材料公司 具有区域依赖性热效率的温度受控等离子体处理腔室部件
CN102870503B (zh) * 2010-06-11 2016-02-24 应用材料公司 具有区域依赖性热效率的温度受控等离子体处理腔室部件
US9144147B2 (en) 2011-01-18 2015-09-22 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN104025258B (zh) * 2012-01-10 2017-03-01 株式会社Eugene科技 具有冷却***的喷头及具备该喷头的基板处理装置
CN104025258A (zh) * 2012-01-10 2014-09-03 株式会社Eugene科技 具有冷却***的喷头及具备该喷头的基板处理装置
CN104246978A (zh) * 2012-04-10 2014-12-24 株式会社Eugene科技 加热器可提升型基板处理装置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103305809B (zh) * 2013-06-26 2016-08-10 中国科学院苏州纳米技术与纳米仿生研究所 一种温度连续可调的喷淋头
CN103305809A (zh) * 2013-06-26 2013-09-18 中国科学院苏州纳米技术与纳米仿生研究所 一种温度连续可调的喷淋头
CN105580127B (zh) * 2013-09-23 2019-05-21 国际电气高丽株式会社 加热构件及具有该加热构件的基板处理装置
CN105580127A (zh) * 2013-09-23 2016-05-11 国际电气高丽株式会社 加热构件及具有该加热构件的基板处理装置
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
CN107667418A (zh) * 2015-06-05 2018-02-06 应用材料公司 用于降低基板温度非均匀性的改良式装置
CN110352479A (zh) * 2017-06-19 2019-10-18 应用材料公司 原位半导体处理腔室温度装置
CN110352479B (zh) * 2017-06-19 2024-05-10 应用材料公司 原位半导体处理腔室温度装置
CN110473761A (zh) * 2018-05-11 2019-11-19 东京毅力科创株式会社 等离子体处理装置
CN110473761B (zh) * 2018-05-11 2024-04-16 东京毅力科创株式会社 等离子体处理装置
CN109023301A (zh) * 2018-10-24 2018-12-18 乐山新天源太阳能科技有限公司 氧化铝膜制备装置
CN109023301B (zh) * 2018-10-24 2023-10-13 乐山新天源太阳能科技有限公司 氧化铝膜制备装置
CN111383899A (zh) * 2018-12-27 2020-07-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN111383899B (zh) * 2018-12-27 2024-07-12 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法

Also Published As

Publication number Publication date
TW200527508A (en) 2005-08-16
JP4536662B2 (ja) 2010-09-01
WO2005024928A1 (ja) 2005-03-17
KR20060064067A (ko) 2006-06-12
US20070022954A1 (en) 2007-02-01
EP1667217A1 (en) 2006-06-07
KR100901892B1 (ko) 2009-06-10
JPWO2005024928A1 (ja) 2007-11-08
KR20080003940A (ko) 2008-01-08
CN100495655C (zh) 2009-06-03

Similar Documents

Publication Publication Date Title
CN1830072A (zh) 气体处理装置和散热方法
CN101322226B (zh) 基板处理装置以及处理气体喷出机构
TWI338319B (en) Active cooling substrate support
CN1277951C (zh) 表面处理装置
CN1246887C (zh) 等离子体处理装置以及半导体制造装置
TWI791100B (zh) Mocvd反應器
TWI489546B (zh) 將氣體徑向傳送至腔室之裝置
TWI404819B (zh) 成膜裝置及成膜方法
CN1584110A (zh) 薄膜制造装置以及制造方法
CN100519833C (zh) 喷头、薄膜制造装置以及制造方法
US20120100292A1 (en) Gas injection unit and a thin-film vapour-deposition device and method using the same
CN101374973B (zh) 基板处理装置以及基板载置台
CN1806317A (zh) 气体处理装置和成膜装置
KR102042612B1 (ko) 반도체 프로세싱에서 엣지 링의 열 관리
CN1759473A (zh) 半导体处理用的基板保持结构和等离子体处理装置
TW201213603A (en) Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
CN102839360A (zh) 批量式处理装置
TW201137159A (en) Metal-organic chemical vapor deposition apparatus
CN102414790A (zh) Hvpe腔室硬件
CN1738922A (zh) 利用等离子体cvd的成膜方法和成膜装置
CN1759476A (zh) 淀积氧化硅于大面积基板上的方法及设备
US10487401B2 (en) Diffuser temperature control
TW201108305A (en) Gas phase growing apparatus for group III nitride semiconductor
TWI490367B (zh) 金屬有機化合物化學氣相沉積方法及其裝置
US20130284097A1 (en) Gas distribution module for insertion in lateral flow chambers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090603

Termination date: 20210830