JPWO2005024928A1 - ガス処理装置および放熱方法 - Google Patents

ガス処理装置および放熱方法 Download PDF

Info

Publication number
JPWO2005024928A1
JPWO2005024928A1 JP2005513630A JP2005513630A JPWO2005024928A1 JP WO2005024928 A1 JPWO2005024928 A1 JP WO2005024928A1 JP 2005513630 A JP2005513630 A JP 2005513630A JP 2005513630 A JP2005513630 A JP 2005513630A JP WO2005024928 A1 JPWO2005024928 A1 JP WO2005024928A1
Authority
JP
Japan
Prior art keywords
gas
processing
gas discharge
heat
discharge mechanism
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005513630A
Other languages
English (en)
Other versions
JP4536662B2 (ja
Inventor
飯塚 八城
八城 飯塚
宏一郎 木村
宏一郎 木村
恭子 池田
恭子 池田
智幸 迫田
智幸 迫田
章 安室
章 安室
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2005024928A1 publication Critical patent/JPWO2005024928A1/ja
Application granted granted Critical
Publication of JP4536662B2 publication Critical patent/JP4536662B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

シャワーベース(41)、ガス拡散板(42)、シャワープレート(43)を積み重ねて構成され、ガス拡散板(42)の両面に形成された第1ガス拡散部(42a)、第2ガス拡散部(42b)、およびシャワープレート(43)に形成され第1ガス拡散空間(42c)に連通する第1ガス吐出口(43a)、第2ガス拡散空間(42d)に連通する第2ガス吐出口(43b)を介して載置台(5)上のウエハWに原料ガスおよび酸化剤ガスを供給するシャワーヘッド(40)において、第1ガス拡散部(42a)内にシャワーベース(41)の下面に密着する複数の伝熱柱(42e)を設けてその間の部分が第1ガス拡散空間(42c)となるようにし、この伝熱柱42eにより載置台5から受ける輻射熱をシャワーヘッド(40)の厚さ方向に伝達する。

Description

本発明は、処理ガスを用いて被処理基板のガス処理を行うガス処理装置およびそのようなガス処理装置における処理ガス吐出機構の放熱方法に関する。
半導体製造工程においては、被処理体である半導体ウエハ(以下、単にウエハと記す)上に種々の物質からなる薄膜の形成が行われ、この薄膜に要求される物性の多様化等に呼応して、薄膜形成に使用される物質や組み合わせの多様化、複雑化が進行している。
たとえば、半導体メモリ素子において、DRAM(Dynamic Random Access Memory)素子のリフレッシュ動作による性能限界を克服するために、強誘電体薄膜を強誘電体キャパシタに使用することによる大容量メモリ素子の開発が進められてきた。このような強誘電体薄膜を使用する強誘電体メモリ素子(Ferroelectric Random Access Memory:FeRAM)は、不揮発性メモリ素子の一種で、原理上リフレッシュ動作を必要とせず、電源が切れた状態でも格納された情報を保持できる利点に加えて、動作速度もDRAMに匹敵するので、次世代記憶素子として注目されている。
このようなFeRAMの強誘電体薄膜には、主にSrBiTa(SBT)や、Pb(Zr、Ti)O(PZT)のような絶縁物質が用いられている。複数の元素からなる複雑な組成のこれら薄膜を微細な厚さで精度良く形成する方法として、ガス化させた有機金属化合物の熱分解を利用して薄膜の形成を行うMOCVD技術が適している。MOCVD技術による成膜については、たとえば特開平8−291385号公報に示されている。
このようなMOCVD技術に限らず、一般的にCVD技術は、載置台に載置されて加熱された半導体ウエハに、対向するシャワーヘッドから原料ガスを供給し、原料ガスの熱分解や還元反応等によって半導体ウエハ上に薄膜形成を行うものであり、通常、ガスの均一な供給を行うため、シャワーヘッドでは、内部に半導体ウエハ径と同程度の大きさの偏平なガス拡散空間を設け、シャワーヘッドの対向表面には、このガス拡散空間に連通する多数のガス吹き出し孔を分散して配置する構成がとられている。
しかしながら、上記のようにシャワーヘッド内に偏平なガス拡散空間を設ける場合には、その空間が背面側への伝達(放熱)を妨げるため、半導体ウエハを加熱する載置台からの輻射熱にて熱せられ、成膜を繰り返すうちにシャワーヘッドの温度が上昇してしまう。また、このように扁平なガス拡散空間が存在する場合には、通常行われている上部すなわち大気側から温度の制御をしようとしても、伝熱が不十分であるため、有効な温度制御を行うことは困難である。
特に、MOCVDでは、原料ガスの熱分解を利用するため、シャワーヘッドの温度が上昇してその温度が当該原料ガスの熱分解温度を超えると、シャワーヘッド内部やシャワーヘッドの手前の配管内等で望ましくない熱分解反応が発生して、原料ガスの濃度低下や、析出物質が異物となって半導体ウエハに付着すること等により、成膜不良の原因となる。また、上述のように成膜温度が経時的に上昇するため、膜質や膜組成のばらつきの原因となる。
本発明の目的は、シャワーヘッド等の処理ガス吐出機構の温度上昇に起因する処理の不良や不均一を低減することができるガス処理装置および放熱方法を提供することにある。
また、本発明の他の目的は、シャワーヘッド等の処理ガス吐出機構へのガス供給経路の短縮および簡素化を実現することができるガス処理装置を提供することにある。
本発明の第1の観点によれば、被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、前記処理ガスが導入されるガス導入部と、前記載置台に向けて処理ガスを吐出するための複数のガス吐出孔を有するガス吐出部と、前記ガス導入部と前記ガス吐出部との間に設けられたガス拡散部とを有し、前記ガス拡散部は、前記ガス導入部と前記ガス吐出部との間の熱伝達を行う複数の伝熱柱と、前記ガス吐出孔に連通し、前記伝熱柱以外の部分を構成するガス拡散空間とを有するガス処理装置が提供される。
本発明の第2の観点によれば、被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台上の被処理基板と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、第1の処理ガスおよび第2の処理ガスがそれぞれ導入される第1プレートと、前記第1プレートの主面に当接する第2プレートと、前記第2プレートに当接され、前記載置台に載置された被処理基板に対応して複数の第1および第2ガス吐出孔が形成された第3プレートと、前記第1プレートと前記第2プレートとの間に設けられた第1ガス拡散部と、前記第2プレートと前記第3プレートとの間に設けられた第2ガス拡散部とを有し、前記第1ガス拡散部は、前記第1プレートと前記第2プレートとに接続された複数の第1柱体と、前記第1ガス吐出孔に連通し、前記複数の第1柱体以外の部分を構成する第1ガス拡散空間とを有し、前記第2ガス拡散部は、前記第2プレートと前記第3プレートとに接続された複数の第2柱体と、前記第2ガス吐出孔に連通し、前記複数の第2柱体以外の部分を構成する第2ガス拡散空間とを有し、導入された前記第1の処理ガスが前記第1ガス拡散空間を介して前記第1ガス吐出孔から吐出され、導入された前記第2の処理ガスが前記第2ガス拡散空間を介して前記第2ガス吐出孔から吐出されるガス処理装置が提供される。
本発明の第3の観点によれば、被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台上の被処理基板と対向する位置に設けられ、前記処理容器内へ第1および第2の処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、前記第1および第2の処理ガスが導入されるガス導入部と、前記載置台に向けて第1の処理ガスおよび第2の処理ガスをそれぞれ吐出するための複数の第1および第2ガス吐出孔を有するガス吐出部と、前記ガス導入部と前記ガス吐出部との間に積層して形成され、偏平形状を有する第1および第2ガス拡散部とを有し、前記第1ガス拡散部は、前記ガス吐出部と前記ガス導入部との間の熱伝達を行うための複数の第1柱体と、前記第1ガス吐出孔に連通し、前記複数の第1柱体以外の部分を構成する第1ガス拡散空間とを有し、前記第2ガス拡散部は、前記第1の処理ガスが通流するガス通流孔を有する複数の第2柱体と、前記第2ガス吐出孔に連通し、前記複数の第2柱体以外の部分を構成する第2ガス拡散空間とを有し、導入された前記第1の処理ガスが前記第1ガス拡散空間を介して前記第1ガス吐出孔から吐出され、導入された前記第2の処理ガスが前記第2ガス拡散空間を介して前記第2ガス吐出孔から吐出されるガス処理装置が提供される。
本発明の第4の観点によれば、被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構と、前記処理ガス吐出機構の温度制御機構とを具備し、前記処理ガス吐出機構は、前記処理ガスが導入されるガス導入部と、前記載置台に向けて処理ガスを吐出するための複数のガス吐出孔を有するガス吐出部と、前記ガス導入部と前記ガス吐出部との間に設けられたガス拡散部とを有し、前記ガス拡散部は、前記ガス導入部と前記ガス吐出部との間の熱伝達を行う伝熱柱と、前記ガス吐出孔に連通し、前記伝熱柱以外の部分を構成するガス拡散空間とを有し、前記温度制御機構は、前記処理ガス導入部の下部から前記伝熱柱を介して伝熱された熱を放熱する放熱機構を有するガス処理装置が提供される。
本発明の第5の観点によれば、被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、前記処理ガスが導入されるガス導入部と、前記載置台に向けて処理ガスを吐出するための複数のガス吐出孔を有するガス吐出部と、前記ガス導入部と前記ガス吐出部との間に設けられ、処理ガスをその中の処理ガス拡散空間に拡散させて前記ガス吐出孔に導くガス拡散部とを有するガス処理装置における処理ガス吐出機構の放熱方法であって、前記ガス拡散部に伝熱柱を設けて前記ガス導入部と前記ガス吐出部との間の熱伝達を行い、前記処理ガス吐出機構の放熱を行う放熱方法が提供される。
本発明の第6の観点によれば、被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台上の被処理基板と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、第1の処理ガスおよび第2の処理ガスがそれぞれ導入される第1プレートと、前記第1プレートの主面に当接する第2プレートと、前記第2プレートに当接され、前記載置台に載置された被処理基板に対応して複数の第1および第2ガス吐出孔が形成された第3プレートと、前記第1プレートと前記第2プレートとの間に設けられた第1ガス拡散部と、前記第2プレートと前記第2プレートと前記第3プレートとの間に設けられた第2ガス拡散部とを有するガス処理装置における処理ガス吐出機構の放熱方法であって、前記第1ガス拡散部に前記第1プレートと前記第2プレートとを接続するように複数の第1柱体を設け、前記第2ガス拡散部に前記第2プレートと前記第3プレートとを接続するように複数の第2柱体を設け、前記第1柱体により前記第1プレートと前記第2プレートとの間の熱伝達を行い、前記第2柱体により前記第2プレートと前記第3プレートとの間の熱伝達を行って、前記処理ガス吐出機構の放熱を行う放熱方法が提供される。
本発明の第7の観点によれば、被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台上の被処理基板と対向する位置に設けられ、前記処理容器内へ第1および第2の処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、前記第1および第2の処理ガスが導入されるガス導入部と、前記載置台に向けて第1の処理ガスおよび第2の処理ガスをそれぞれ吐出するための複数の第1および第2ガス吐出孔を有するガス吐出部と、前記ガス導入部と前記ガス吐出部との間に積層して形成され、偏平形状を有する第1および第2ガス拡散部とを有するガス処理装置における処理ガス吐出機構の放熱方法であって、前記第1ガス拡散部に複数の第1柱体を設け、前記第2ガス拡散部に前記第1の処理ガスが通流するガス通流孔を有する複数の第2柱体を設け、前記第1柱体および前記第2柱体により前記ガス吐出部と前記ガス導入部との間の熱伝達を行って、前記処理ガス吐出機構の放熱を行う放熱方法が提供される。
本発明の第1、第2、第3および第5、第6、第7の観点によれば、被処理基板を加熱する載置台からの輻射熱を受ける処理ガス吐出機構において、従来、扁平な広い空間が形成されていたガス拡散部に、熱伝達のための伝熱柱(柱体)を設けたので、処理ガス吐出機構の厚さ方向における熱伝達を十分に行うことができ、放熱効率を向上させることができる。この結果、載置台と対向する処理ガス吐出機構が受ける当該載置台からの輻射熱が、処理ガス吐出機構の厚さ方向に背面側に効率良く放散され、処理ガス吐出機構それに接続されるガス供給配管系等の温度上昇を確実に抑制することができる。
この結果、ガス処理が、処理ガス吐出機構から載置台上の被処理基板に供給される処理ガスの熱分解反応によって被処理基板に対する成膜処理である場合に、処理ガス吐出機構の温度を、原料ガスの熱分解温度以下に確実に維持することが可能となり、処理ガス吐出機構の過熱によって、原料ガスが被処理基板に至る前に、当該処理ガス吐出機構の内部や接続配管内で熱分解してしまう等の不都合が確実に防止され、たとえば、原料ガスの濃度の低下やばらつき等による薄膜形成速度の低下(所要時間の増大)、膜厚、膜質(組成比)のばらつきの発生、さらには、当該処理ガス吐出機構の内部に付着した熱分解反応の生成物質が異物となって被処理基板に飛着することに起因する成膜欠陥の発生等を確実に抑止することが可能になる。
また、このように伝熱柱(柱体)を設けて、処理ガス吐出機構の厚さ方向における熱伝達を十分に行うことができるので、処理ガス吐出機構の上部に温度制御機構を設けることにより、載置台からの輻射熱を受けて温度が上昇しやすい処理ガス吐出機構の下部の温度制御を有効に行うことができ、上記効果をより有効に発揮することができる。
さらに、ガス拡散部に伝熱柱(柱体)を設けることにより、仕切り壁を設けた場合のようにガス拡散空間が分断されずに連続しているので、ガスがガス拡散空間内で均一に拡散され、均一に下方へ吐出させることができ、かつガス拡散空間にガスを供給するガス流路を、分断領域毎に分岐して接続する等の煩雑なガス配管(流路)の引き回しが不要となり、配管経路の短縮化、簡素化を実現することができる。
また、本発明の第4の観点によれば、処理ガス吐出機構の温度を制御する温度制御機構が、前記処理ガス導入部の下部から前記伝熱柱を介して伝熱された熱を放熱する放熱機構を有するので、処理ガス吐出機構の熱を有効に放散させることができ、処理ガス吐出機構の温度を均一に制御することができるとともに、経時的な温度上昇を抑制して高精度で温度制御を行うことができる。
本発明の第1の実施形態に係る成膜装置を示す断面図。 本発明の第1の実施形態に係る成膜装置の筐体の底部の構造の一例を示す透視平面図。 本発明の第1の実施形態に係る成膜装置の筐体を示す平面図。 本発明の第1の実施形態に係る成膜装置を構成するシャワーヘッドのシャワーベースを示す平面図。 本発明の第1の実施形態に係る成膜装置を構成するシャワーヘッドのシャワーベースを示す底面図。 本発明の第1の実施形態に係る成膜装置を構成するシャワーヘッドのガス拡散板を示す平面図。 本発明の第1の実施形態に係る成膜装置を構成するシャワーヘッドのガス拡散板を示す底面図。 本発明の第1の実施形態に係る成膜装置を構成するシャワーヘッドのシャワープレートを示す平面図。 図4のシャワーベースをIX−IX線で切断して示す断面図。 図6の拡散板をX−X線で切断して示す断面図。 図8のシャワープレートをXI−XI線で切断して示す断面図。 伝熱柱の配置を拡大して示す図。 伝熱柱の他の例を示す図。 伝熱柱のさらに他の例を示す図。 伝熱柱のさらにまた他の例を示す図。 ガス配管の垂直部の高さがガスの拡散均一性に及ぼす影響を確認するためのシミュレーションを説明するための図。 ガス配管の垂直部の高さがガスの拡散均一性に及ぼす影響を確認するためのシミュレーションの結果を示すグラフ。 本発明の第1の実施形態に係る成膜装置におけるガス供給源の構成を示す概念図。 本発明の第1の実施形態に係る成膜装置の効果の一例を示す線図。 本発明の第1の実施形態に係る成膜装置の効果の一例を示す線図。 本発明の第1の実施形態に係る成膜装置におけるシャワーヘッドの温度測定箇所を示す説明図。 本発明の第2の実施形態に係る成膜装置を示す断面図。 本発明の第2の実施形態に係る成膜装置を示す平面図。 本発明の第2の実施形態の効果を説明するための図。 本発明の第3の実施形態に係る成膜装置のシャワーヘッドを示す断面図。 本発明の第3の実施形態に係る成膜装置のシャワーヘッドの変形例を示す断面図。 本発明の第3の実施形態に係る成膜装置のシャワーヘッドの他の変形例を示す断面図。 図25の温度制御部分をゾーン制御する際のゾーン分割の例を示す平面図。 本発明の第4の実施形態に係る成膜装置のシャワーヘッドを示す断面図。 本発明の第5の実施形態に係る成膜装置のシャワーヘッドを示す断面図。 本発明の第5の実施形態に係る成膜装置のシャワーヘッドを示す平面図。 本発明の第5の実施形態に係る成膜装置のシャワーヘッドに用いる温度制御機構の変形例を示す平面図。 本発明の第5の実施形態に係る成膜装置のシャワーヘッドに用いる温度制御機構の変形例を示す断面図。 本発明の第6の実施形態に係る成膜装置のシャワーヘッドを示す断面図。 本発明の第7の実施形態に係る成膜装置のシャワーヘッドを示す断面図。 本発明の第7の実施形態に係る成膜装置のシャワーヘッドに用いる温度制御機構の変形例を示す図。
以下、添付図面を参照して本発明の実施形態について具体的に説明する。
[第1の実施形態]
まず、第1の実施形態について説明する。図1は本発明の第1の実施形態に係る成膜処理装置を示す断面図であり、図2は本発明の第1の実施形態に係る成膜装置の筐体の内部構造を示す平面図、図3はその上部平面図である。また、図4〜11はこの成膜装置を構成するシャワーヘッドの構成部品を示す図である。
なお、図1では、シャワーヘッドの断面は、後述する図6の線X−Xの部分での切断面が示されており、中央部を境に左右が非対称となっている。
この成膜装置は、図1に示すように、例えばアルミニウム等により構成される平断面が略矩形の筐体1を有しており、この筐体1の内部は、有底円筒状に形成された処理容器2となっている。処理容器2の底部にはランプユニット100が接続される開口2aが設けられ、この開口2aの外側より、石英からなる透過窓2dがOリングからなる封止部材2cにより、処理容器2が気密に封止されている。処理容器2の上部にはリッド3が開閉可能に設けられており、このリッド3に支持されるようにガス吐出機構であるシャワーヘッド40が設けられている。このシャワーヘッド40の詳細は後述する。また、図1には図示してはいないが、筐体1の背後にシャワーヘッド40を介して処理容器内に種々のガスを供給する後述するガス供給源60(図18参照)が設けられている。また、ガス供給源60には原料ガスを供給する原料ガス配管51および酸化剤ガスを供給する酸化剤ガス配管52が接続されている。酸化剤ガス配管52は酸化剤ガス分岐配管52aおよび52bに分岐しており、原料ガス配管51ならびに酸化剤ガス分岐配管52aおよび52bがシャワーヘッド40に接続されている。
処理容器2の内部には円筒状のシールドベース8が処理容器2の底部から立設されている。シールドベース8上部の開口には、環状のベースリング7が配置されており、ベースリング7の内周側には環状のアタッチメント6が支持され、アタッチメント6の内周側の段差部に支持されてウエハWを載置する載置台5が設けられている。シールドベース8の外側には、後述するバッフルプレート9が設けられている。
バッフルプレート9には、複数の排気口9aが形成されている。処理容器2の外周底部において、シールドベース8を取り囲む位置には、底部排気流路71が設けられており、バッフルプレート9の排気口9aを介して処理容器2の内部が底部排気流路71に連通することで、処理容器2の排気が均一に行われる構成となっている。筐体1の下方には処理容器2を排気する排気装置101が配置されている。排気装置101による排気の詳細については後述する。
前述のリッド3は処理容器2上部の開口部分に設けられており、このリッド3の載置台5上に載置されたウエハWと対向する位置に、シャワーヘッド40が設けられている。
載置台5、アタッチメント6、ベースリング7およびシールドベース8で囲繞された空間内には、円筒状のリフレクター4が処理容器2の底部から立設されており、このリフレクター4は、図示しないランプユニットから放射される熱線を反射して、載置台5の下面に導くことで、載置台5が効率良く加熱されるように作用する。また、加熱源としては上述のランプに限らず、載置台5に抵抗加熱体を埋設して当該載置台5を加熱するようにしてもよい。
このリフレクター4には例えば3箇所にスリット部が設けられ、このスリット部と対応した位置にウエハWを載置台5から持ち上げるためのリフトピン12がそれぞれ昇降可能に配置されている。リフトピン12は、ピン部分と指示部分で一体に構成され、リフレクター4の外側に設けられた円環状の保持部材13に支持されており、図示しないアクチュエータにて保持部材13を昇降させることで上下動する。このリフトピン12は、ランプユニットから照射される熱線を透過する材料、例えば石英やセラミック(Al,AlN,SiC)で構成されている。
リフトピン12は、ウエハWを受け渡しする際にはリフトピン12が載置台5から所定長さ突出するまで上昇され、リフトピン12上に支持されたウエハWを載置台5上に載置する際には、リフトピン12が載置台5に引き込まれる。
載置台5の真下の処理容器2の底部には、開口2aを取り囲むようにリフレクター4が設けられており、このリフレクター4の内周には、石英等の熱線透過材料よりなるガスシールド17がその全周を支持されることによって取り付けられている。ガスシールド17には、複数の孔17aが形成されている。
また、リフレクター4の内周に支持されたガスシールド17の下側の透過窓2dとの間の空間内には、パージガス供給機構からのパージガス(たとえばN、Arガス等の不活性ガス)が、処理容器2の底部に形成されたパージガス流路19、および、このパージガス流路19と連通する、リフレクター4の内側下部の8箇所に等配されたガス吹き出し口18を介して供給される。
このようにして供給されたパージガスを、ガスシールド17の複数の孔17aを通じて、載置台5の背面側に流入させることにより、後述するシャワーヘッド40からの処理ガスやクリーニングガスが載置台5の裏面側の空間に侵入して透過窓2dに薄膜の堆積やエッチングによる損傷等のダメージを与えることを防止している。
筐体1の側面には、処理容器2に連通するウエハ出入り口15が設けられ、このウエハ出入り口15は、ゲートバルブ16を介して図示しないロードロック室に接続されている。
図2に例示されるように、環状の底部排気流路71は、筐体1の底部の対角位置に、処理容器2を挟んで対称に配置された排気合流部72に連通し、この排気合流部72は、筐体1の角部内に設けられた上昇排気流路73、筐体1の上部に設けられた横行排気管74を介して、筐体1の角部を貫通して配置された下降排気流路75に接続され、筐体1の下方に配置された排気装置101(図1参照)に接続されている。このように、筐体1の角部の空き空間を利用して上昇排気流路73や下降排気流路75を配置することで、排気流路の引き回しが、筐体1のフットプリント内で完結するので、装置の設置面積が増大せず、薄膜形成装置の設置の省スペース化が可能になる。
なお、載置台5には、複数の熱電対80が、たとえば一本は中心近辺に、もう一本はエッジ近辺に挿入され、これらの熱電対80にて載置台5の温度が測定され、この熱電対80の測定結果に基づいて載置台5の温度が制御されるようになっている。
次に、シャワーヘッド40について詳細に説明する。
シャワーヘッド40は、その外縁がリッド3上部と嵌合するように形成された筒状のシャワーベース(第1プレート)41と、このシャワーベース41の下面に密着した円盤状のガス拡散板(第2プレート)42と、このガス拡散板42の下面に取り付けられたシャワープレート(第3プレート)43とを有している。シャワーヘッド40を構成する最上部のシャワーベース41は、シャワーヘッド40全体の熱が外部に放散される構成となっている。シャワーヘッド40は全体的な形状が円柱状をなしているが、四角柱状であってもよい。
シャワーベース41は、ベース固定ねじ41jを介してリッド3に固定されている。このシャワーベース41とリッド3の接合部には、リッドOリング溝3aおよびリッドOリング3bが設けられ、両者が気密に接合されている。
図4はこのシャワーベース41の上部平面図であり、図5はその下部平面図、図9は図4における線IX−IX部分の断面図である。シャワーベース41は、中央に設けられ、原料ガス配管51が接続される第1ガス導入路41aと、酸化剤ガス配管52の酸化剤ガス分岐配管52aおよび52bが接続される複数の第2ガス導入路41bを備えている。第1ガス導入路41aはシャワーベース41を貫通するように垂直に延びている。また、第2ガス導入路41bは、導入部からシャワーベース41の途中までの垂直に延び、そこから水平に延び再び垂直に延びる鈎形を有している。図面では酸化剤ガス分岐配管52aおよび52bは、第1ガス導入路41aを挟んで対称な位置に配置されているが、ガスを均一に供給することができればどのような位置であってもよい。
シャワーベース41の下面(ガス拡散板42に対する接合面)には、外周Oリング溝41cおよび内周Oリング溝41dが設けられ、外周Oリング41fおよび内周Oリング41gがそれぞれ装着されることによって、接合面の気密が維持されている。また、第2ガス導入路41bの開口部にも、ガス通路Oリング溝41eおよびガス通路Oリング41hが設けられている。これにより、原料ガスと酸化剤ガスが混ざることを確実に防止している。
このシャワーベース41の下面には、ガス通路を有するガス拡散板42が配置されている。図6はこのガス拡散板42の上側平面図であり、図7はその下側平面図、図10は図6における線X−Xの断面図である。ガス拡散板42の上面側および下面側には、それぞれ、第1ガス拡散部42aおよび第2ガス拡散部42bが設けられている。
上側の第1ガス拡散部42aは、第1ガス通路42fの開口位置を避けて、複数の円柱状突起の伝熱柱42eを有しており、伝熱柱42e以外の空間部が第1ガス拡散空間42cとなっている。この伝熱柱42eの高さは、第1ガス拡散部42aの深さにほぼ等しくされており、上側に位置するシャワーベース41に密着することで、下側のシャワープレート43からの熱をシャワーベース41に伝達する機能を有する。
下側の第2ガス拡散部42bは、複数の円柱状突起42hを有しており、円柱状突起42h以外の空間部が第2ガス拡散空間42dとなっている。第2ガス拡散空間42dは、当該ガス拡散板42を垂直に貫通して形成された第2ガス通路42gを経由してシャワーベース41の第2ガス導入路41bに連通している。円柱状突起42hの一部には、被処理体の領域と同領域以上好ましくは10%以上の領域まで、中心部に第1ガス通路42fが貫通して形成されている。この円柱状突起42hの高さは、第2ガス拡散部42bの深さとほぼ等しくなっており、ガス拡散板42の下側に密着するシャワープレート43の上面に密着している。なお、円柱状突起42hのうち第1ガス通路42fが形成されたものは、下側に密着するシャワープレート43の後述の第1ガス吐出口43aと第1ガス通路42fとが連通するように配置されている。また、円柱状突起42hの全てに第1ガス通路42fが形成されていてもよい。
図12に拡大して示すように、前記伝熱柱42eの直径d0は、たとえば、2〜20mmであり、好ましくは5〜12mmである。また隣接する伝熱注42eの間隔d1は、たとえば、2mm〜20mmであり、好ましくは2〜10mmである。また、複数の伝熱柱42eの断面積の合計値S1の第1ガス拡散部42aの断面積S2に対する比(面積比R=(S1/S2))が、0.05〜0.50となるように伝熱柱42eが配置されることが好ましい。この面積比Rが0.05より小さいとシャワーベース41に対する熱伝達効率向上効果が小さくなって放熱性が悪くなり、逆に0.50より大きいと第1ガス拡散空間42cにおけるガスの流路抵抗が大きくなってガス流の不均一が生じ、基板に成膜した際に面内の膜厚のばらつき(不均一性)が大きくなるおそれがある。さらに、本実施形態では、図12に示すように、隣接する第1ガス通路42fと伝熱柱42eとの間の距離が一定になるようになっている。しかし、このような形態に限らず、伝熱柱42eは第1ガス通路42fの間にあればどのような配置でもよい。
また、伝熱柱42eの断面形状は、図12に示す円形の他、楕円形等の曲面形状であれば流路抵抗の少ないので望ましいが、図13に示す三角形、図14に示す四角形、図15に示す八角形等の多角形柱であってもよい。
さらに、伝熱柱42eの配列は、格子状または千鳥状に配列されるのが好ましく、第1ガス通路42fは、伝熱柱42eの配列の格子状または千鳥状の中心に形成されるのが好ましい。たとえば、伝熱柱42eが円柱の場合には、直径d0:8mm、間隔d1:2mmの寸法で伝熱柱42eを格子状配置することにより、面積比Rは0.44となる。このような伝熱柱42eの寸法および配置により、伝熱効率およびガス流の均一性をいずれも高く維持することができる。なお、面積比Rは種々のガスに応じて適宜設定してもよい。
また、第1ガス拡散部42aの周辺部近傍(内周Oリング溝41dの外側近傍)の複数箇所には、当該第1ガス拡散部42a内の伝熱柱42eの上端部を上側のシャワーベース41の下面に密着させるための複数の拡散板固定ねじ41kが設けられている。この拡散板固定ねじ41kによる締結力により、第1ガス拡散部42a内の複数の伝熱柱42eがシャワーベース41の下面に確実に密着し伝熱抵抗が減少して伝熱柱42eによる確実な伝熱効果を得ることができる。固定ねじ41kは、第1ガス拡散部42aの伝熱柱42eに取り付けられてもよい。
第1ガス拡散部42a内に設けられた複数の伝熱柱42eは、仕切壁のように空間を仕切らないので、第1ガス拡散空間42cは分断されずに連続的に形成されており、第1ガス拡散空間42cに導入されたガスは、その全体に亘って拡散した状態で下方に吐出させることができる。
また、本発明者の検討結果によれば、ガス拡散空間におけるガスの拡散性は、シャワーヘッドへガスを導入するガス配管の垂直部の長さに依存し、垂直部の長さが十分長ければ、ガス導入部にて慣性や進行方向の変化によって偏ることが防止され、ガス拡散空間におけるガスの均一拡散性を良好なものとすることができることが判明した。垂直部の長さが小さいと、ガス拡散空間へガスが斜めに供給さることとなり、ガスの慣性や流れ方向の変化による圧力変動によりガス導入部の内部においてガスの圧力分布が偏ることとなる。特に、原料ガスの場合には、このガスの圧力分布の偏りにより成膜処理の均一性が損なわれる。そして、この偏りは比重の思いガスを用いる場合ほど顕著になる。
次に、ガスを導入する配管の垂直部の長さとガス導入部から導入されるガスの流速分布のシミュレーション結果について説明する。ここでは、計算モデルとしてガス流れの定常計算を用い、図16に示すように、配管を水平部Pおよび90°屈曲させた垂直部Pを有するものとし、条件として、配管径を11mmφ、ガス・壁面温度を210℃とし、流入ガスを不活性ガスであるArガスおよび有機ガスである酢酸ブチルを予め均一混合したものとし、流入端におけるArガス流量を300mL/min(ガス)、酢酸ブチル流量を1.2mL/min(液体)の固定値とし、配管の流出側の圧力を319.2Pa(2.4Torr)の固定値として、垂直部Pの長さHを46mm、92mm、138mmと変化させて計算した。なお、流出側の圧力は圧力損失の式であるハーゲン・ポアゼイユの式からの推定値である。
その結果を図17に示す。図17は横軸に配管内の径方向の位置をとり縦軸に流速をとってこれらの関係を示すグラフである。この図に示すように、Hが46mmと小さい場合にはガスの流速分布が偏っているが、Hが92mm、138mmと増加するにしたがって、ガスの流速分布が均一になることがわかる。垂直部Pの長さHを138mmの場合に、ガスの流入量を50〜500%に変化させてもガス供給量のばらつきは2%より小さく、ガス供給均一性が実現され、成膜された膜の面内均一性も高いものとなった。
また、上述したように第1ガス拡散空間42cが連続的に形成されていることから、第1ガス拡散空間42cには一つの第1ガス導入路41aおよび原料ガス配管51を介して原料ガスを導入することができ、原料ガス配管51のシャワーヘッド40に対する接続箇所の削減および引き回し経路の簡素(短縮)化を実現できる。この結果、原料ガス配管51の経路の短縮により、ガス供給源60から配管パネル61を介して供給される原料ガスの供給/供給停止の制御精度が向上するとともに、装置全体の設置スペースの削減を実現することができる。
図1に示すように、原料ガス配管51は全体としてアーチ上に構成され、原料ガスが垂直に上昇する垂直上昇部分51a、それに連続する斜め上方に上昇する斜め上昇部分51b、それに連続する下降部分51cを有しており、垂直上昇部分51aと斜め上昇部分51bとの接続部分、斜め上昇部分51bと下降部分51cとの接続部分は、緩やかな(曲率半径の大きい)湾曲形状となっている。これによって、原料ガス配管51の途中で圧力変動を防止することができる。
上述のガス拡散板42の下面には、ガス拡散板42の上面から挿入され、その周方向に配列された複数の固定ねじ42j、42mおよび42nを介してシャワープレート43が取り付けられている。このようにガス拡散板42の上面からこれら固定ねじを挿入するのは、シャワープレート40の表面にねじ山またはねじ溝を形成するとシャワーヘッド40の表面に成膜された膜が剥がれやすくなるためである。以下、シャワープレート43について説明する。図8はこのシャワープレート43の上側の平面図であり、図11は図8において線XI−XIで示される部分の断面図である。
このシャワープレート43には、複数の第1ガス吐出口43aおよび複数の第2ガス吐出口43bが交互に隣り合うように配置形成されている。すなわち、複数の第1ガス吐出口43aの各々は、上側のガス拡散板42の複数の第1ガス通路42fに連通するように配置され、複数の第2ガス吐出口43bは、上側のガス拡散板42の第2ガス拡散部42bにおける第2ガス拡散空間42dに連通するように、つまり複数の円柱状突起42hの間隙に配置されている。
このシャワープレート43では、酸化剤ガス配管52に接続される複数の第2ガス吐出口43bが最外周に配置され、その内側に、第1ガス吐出口43aおよび第2ガス吐出口43bが交互に均等に配列される。この交互に配列された複数の第1ガス吐出口43aおよび第2ガス吐出口43bの配列ピッチdpは、一例として7mm、第1ガス吐出口43aは、たとえば460個、第2ガス吐出口43bは、たとえば509個である。これらの配列ピッチdpおよび個数は、被処理体のサイズ、成膜特性に応じて適宜設定される。
シャワーヘッド40を構成する、シャワープレート43、ガス拡散板42、およびシャワーベース41は、周辺部に配列された積層固定ねじ43dを介して締結されている。
また、積層されたシャワーベース41、ガス拡散板42、シャワープレート43には、熱電対10を装着するための熱電対挿入孔41i、熱電対挿入孔42i、熱電対挿入穴43cが厚さ方向に重なり合う位置に設けられ、シャワープレート43の下面や、シャワーヘッド40の内部の温度を測定することが可能になっている。熱電対10をセンターと外周部に設置して、シャワープレート43の下面の温度をさらに均一に精度良く制御することもできる。これにより基板を均一に加熱することができるので、面内均一な成膜が可能である。
シャワーヘッド40の上面には、外側と内側に分割された環状の複数のヒーター91と、ヒーター91の間に設けられ、冷却水等の冷媒が流通する冷媒流路92とからなる温度制御機構90が配置されている。熱電対10の検出信号は温度コントローラ110に入力され、温度コントローラ110はこの検出信号に基づいて、ヒーター電源出力ユニット93および冷媒源出力ユニット94に制御信号を出力し、温度制御機構90にフィードバックして、シャワーヘッド40の温度を制御することが可能になっている。
次に、図18を参照して、シャワーヘッド40を介して処理容器2内に種々のガスを供給するためのガス供給源60について説明する。
ガス供給源60は、原料ガスを生成するための気化器60hと、この気化器60hに液体原料(有機金属化合物)を供給する複数の原料タンク60a〜原料タンク60c、溶媒タンク60dを備えている。そして、PZTの薄膜を形成する場合には、たとえば、有機溶媒に所定の温度に調整された液体原料として、原料タンク60aには、Pb(thd)が貯留され、原料タンク60bには、Zr(OiPr)(thd)が貯留され、原料タンク60cには、Ti(OiPr)(thd)が貯留されている。
また、溶媒タンク60dには、CHCOO(CHCHが貯留されている。
複数の原料タンク60a〜原料タンク60cは、流量計60f、原料供給制御弁60gを介して気化器60hに接続されている。この気化器60hには、パージガス供給制御弁60j、流量制御部60nおよび混合制御弁60pを介してキャリア(パージ)ガス源60iが接続され、これにより各々の液体原料ガスが気化器60hに導入される。
溶媒タンク60dは、流体流量計60f、原料供給制御弁60gを介して気化器60hに接続されている。そして、圧送用ガス源のHeガスを複数の原料タンク60a〜60c、および溶媒タンク60dに導入して、Heガスの圧力によって各々のタンクから供給される各液体原料および溶媒は、所定の混合比で気化器60hに供給され、気化されて原料ガスとして原料ガス配管51に送出され、バルブブロック61に設けられた弁62aを介してシャワーヘッド40へ導入される。
また、ガス供給源60には、パージガス流路53、19等に、パージガス供給制御弁60j、弁60s、60x、流量制御部60k、60y、弁60t、60zを介して、たとえばAr、He、N等の不活性ガスを供給するキャリア(パージ)ガス源60i、および酸化剤ガス配管52に、酸化剤ガス供給制御弁60r、弁60v、流量制御部60u、バルブブロック61に設けられた弁62bを介して、たとえば、NO、NO、O、O、NO等の酸化剤(ガス)を供給する酸化剤ガス源60qが設けられている。
また、キャリア(パージ)ガス源60iは、原料供給制御弁60gが閉じた状態で、弁60w、流量制御部60nおよび混合制御弁60pを通じてキャリアガスを気化器60h内に供給することにより、必要に応じて、気化器60h内の不必要な原料ガスをAr等からなるキャリアガスにより原料ガス配管51の配管内を含めてパージ可能になっている。同様に、キャリア(パージ)ガス源60iは、混合制御弁60mを介して酸化剤ガス配管52に接続され、必要に応じて、配管内等の酸化剤ガスやキャリアガスをAr等のパージガスでパージ可能な構成となっている。さらに、キャリア(パージ)ガス源60iは、弁60s、流量制御部60k、弁60t、バルブブロック61に設けられた弁62cを介して、原料ガス配管51の弁62aの下流側に接続され、弁62を閉じた状態における原料ガス配管51の下流側をAr等のパージガスでパージ可能な構成となっている。
次に、このように構成される成膜装置の動作について説明する。
まず、処理容器2内は、底部排気流路71、排気合流部72、上昇排気流路73、横行排気管74および下降排気流路75を経由した排気経路にて図示しない真空ポンプによって排気されることにより、たとえば、100〜550Pa程度の真空度にされる。
このとき、キャリア(パージ)ガス源60iからパージガス流路19を経由して複数のガス吹き出し口18からガスシールド17の背面(下面)側にはAr等のパージガスが供給され、このパージガスは、ガスシールド17の孔17aを通過して載置台5の背面側に流入し、シールドベース8の隙間を経由して、底部排気流路71に流れこみ、ガスシールド17の下方に位置する透過窓2dへの薄膜の堆積やエッチング等のダメージを防止するための定常的なパージガス流が形成されている。
この状態の処理容器2において、図示しないロボットハンド機構等により、リフトピン12を載置台5上に突出するように上昇させて、ゲートバルブ16、ウエハ出入り口15を経由してウエハWを搬入し、図示しないロボットハンド機構等により、リフトピン12に載置してゲートバルブ16を閉じる。
次に、リフトピン12を降下させてウエハWを載置台5上に載置させるとともに、下方の図示しないランプユニットを点灯させて熱線を透過窓2dを介して載置台5の下面(背面)側に照射し、載置台5に載置されたウエハWを、たとえば、450℃〜700℃の間で、たとえば、500℃の温度になるように加熱する。
そして、このように加熱されたウエハWに対して、シャワーヘッド40の下面のシャワープレート43の複数の第1ガス吐出口43aおよび第2ガス吐出口43bから、たとえば、Pb(thd)、Zr(OiPr)(thd)、Ti(OiPr)(thd)が所定の比率(たとえばPZTを構成するPb,Zr,Ti,O等の元素が所定の化学量論比となるような比率)で混合された原料ガス、およびNO等の酸化剤(ガス)を、ガス供給源60によって吐出供給し、これらの原料ガスや酸化剤ガスの各々の熱分解反応や相互間の化学反応にて、ウエハWの表面には、PZTからなる薄膜が形成される。
すなわち、ガス供給源60の気化器60hから到来する気化された原料ガスは、キャリアガスとともに原料ガス配管51からガス拡散板42の第1ガス拡散空間42c、第1ガス通路42f、シャワープレート43の第1ガス吐出口43aを経由して、ウエハWの上部空間に吐出供給される。同様に、酸化剤ガス源60qから供給される酸化剤ガスは、酸化剤ガス配管52、酸化剤ガス分岐配管52a、シャワーベース41の第2ガス導入路41b、ガス拡散板42の第2ガス通路42gを経由して第2ガス拡散空間42dに至り、シャワープレート43の第2ガス吐出口43bを経由してウエハWの上部空間に吐出供給される。原料ガスと酸化性ガスは、それぞれシャワーヘッド40内で混合しないように処理容器2内に供給される。そして、この原料ガスおよび酸化剤ガスの供給時間の制御により、ウエハW上に形成される薄膜の膜厚が制御される。
従来のシャワーヘッドでは、載置台から熱輻射を受けた際に、外周側部分では比較的良好な熱伝達が行われるため温度を抑制することができるものの、その第1のガス拡散部42aに相当する部分は略全て空間となっていて空間領域が大きいため、断熱効果により熱伝達が十分に行われず温度が高くなり、シャワーヘッド表面に温度差が形成されるとともに、成膜処理を連続的に行うことにより、シャワーヘッド中央部の温度上昇が著しくなることが問題となっていた。
これに対し、本実施の形態の場合には、載置台5からの輻射熱は、シャワープレート43に伝達するが、その熱はガス拡散板42の第2ガス拡散部42bに設けられた複数の円柱状突起42hを伝達してガス拡散板42に至り、さらに第1ガス拡散部42aに設けられた複数の伝熱柱42eを伝達してシャワーベース41に至る。すなわち、シャワープレート43からの熱の伝達効率が良好となって放熱効果が向上し、大気側の最上部のシャワーベース41の中央部に効率よく伝達され、このシャワーベース41から大気中に放散される。このため、本実施形態の場合には、載置台5を上述のような300℃〜700℃の高温に加熱する場合でも、シャワーヘッド40の表面(下面)の温度を従来に比べて低くすることが可能である。また、伝熱柱が存在しない場合には、シャワーヘッド上面に温度制御機構を設けても、シャワーヘッド40の内部側に有効に伝熱できないため、均一な温度制御が実質的に困難であったが、本実施形態では伝熱柱42eの存在によりシャワーヘッド40の上面に設けられた温度制御機構90によりシャワーヘッド40の均一な温度制御が可能となる。
図19に、伝熱柱を有する本実施形態のシャワーヘッドと従来の空洞状態のガス拡散空間をもつシャワーヘッドの温度分布のシミュレーション結果の一例を示す。ここでは基板として200mmウエハを用いた場合について示し、従来の空洞状態のガス拡散空間をもつシャワーヘッドと、5×5mmで高さ10mmの四角柱の伝熱柱を設けた場合(本実施形態のシミュレーションモデル1)と、12×12mmで高さ10mmの四角柱の伝熱柱を設けた場合(本実施形態のシミュレーションモデル2)とについてシミュレーションを行った。この図に示すように、従来のシャワーヘッドでは、シャワーヘッド全体の温度が高くなり、しかもウエハの平面方向における温度分布も不均一になる。これに対して、本実施形態のシミュレーションモデル1では、従来技術の場合よりもシャワーヘッドの温度は低くなり、温度分布の均一性も向上した。また、本実施形態のシミュレーションモデル2では、さらにシャワーヘッドの温度が低くなるとともに、温度分布の均一性もより良好となった。この結果から、伝熱柱を有する本実施形態のシャワーヘッドを用いることにより、原料ガスの熱分解反応にてウエハW上に形成される薄膜の膜厚や膜質分布のウエハWにおける面内均一性が向上することがわかる。なお、本シミュレーションでは伝熱柱として四角柱のものを用いたが、ガスの流れのコンダクタンスを考慮すると円柱状が好ましい。
また、載置台5を実際に上述のような300℃〜700℃の高温に加熱する場合でも、シャワーヘッド40の表面(下面)の温度は、従来に比べて、例えば約10℃低く抑制することが可能であることが確認された。例えば、載置台5の温度を524℃、653℃に設定し、シャワーヘッド40の温度を160℃に設定した場合、従来の実際のシャワーヘッドでは、載置台からの輻射熱により、その温度がそれぞれ174℃程度および182℃程度まで上昇したのに対し、本実施の形態の場合には、それぞれ164℃程度、172℃程度までの上昇に抑制することが可能であった。
図20は載置台の温度を653℃に設定し、シャワーヘッドの温度を160℃に設定した場合における、本実施の形態のシャワーヘッド40と従来のシャワーヘッドの温度を、図21に示す各測定ポイントごとに比較して示す線図であるが、この図に示すように、本実施の形態では、伝熱柱42eの効果により、シャワーヘッドの下面(シャワープレート43)の温度が従来シャワーヘッドより低いのみならず、中央部と周辺部との温度分布の均一化も達成できることが確認された。具体的には、伝熱柱形成領域(ウエハ配置領域内)の測定ポイント2〜6で比較すると、載置台からの輻射熱により上昇したシャワーヘッド下面の温度が、従来シャワーヘッドで180.1〜191.1℃と高く、しかもΔTが11℃であったのに対し、本実施の形態のシャワーヘッドでは172.2〜175.8℃と従来よりも低下し、ΔTが3.6℃とシャワーヘッド下面の中央部と周辺部との温度分布も5℃以内と従来シャワーヘッドよりも均一に制御されていることが確認された。
ここで、原料ガスを構成するPb(thd)、Zr(OiPr)(thd)、Ti(OiPr)(thd)の分解温度は、それぞれ、230℃、230℃、235℃程度であるが、実際は、シャワーヘッド40の内部の温度が220℃以上の場合、原料ガスのシャワーヘッド40内の流通経路の高温部で不均一な当該原料ガス(成膜原料)の熱分解が起こり、ウエハWに形成される薄膜の膜組成の制御性、均一性に悪影響を与えることが懸念される。また、このシャワーヘッド40内での原料ガスの熱分解によって生成された固形物は、異物となってウエハWに付着し、成膜欠陥の一因となる。特に、Pb(thd)は、最終的な熱分解温度は、220〜240℃であるから、シャワーヘッド40の温度は220℃未満にする。また、部分的な熱分解は150℃から開始されることが知られているからシャワーヘッド40の温度は150℃以上にする。従って、シャワーヘッド40の温度は、好ましくは、160〜180℃、例えば170℃にする。上述の図20から明らかなように、伝熱柱42eを備えた本実施の形態のシャワーヘッド40においては、上述したようにほぼ全域で従来のシャワーヘッドの場合よりも測定温度が低く、上述の望ましい170℃前後に制御されており、伝熱柱42eの放熱効果によるシャワーヘッド40内における原料ガスの熱分解反応の抑制効果が期待できる。
本実施の形態の場合、上述のようにシャワーヘッド40の温度は、170℃程度以下に維持されるため、シャワーヘッド40の内部における原料ガスの流通経路で望ましくない熱分解が発生することが確実に回避され、ウエハWには、目的の組成をもつ、均一な膜厚のPZT等の薄膜が形成される。
[第2の実施形態]
次に、本発明の第2の実施形態について説明する。
上記第1の実施形態においては、伝熱柱42eを設けたシャワーヘッド40を採用することにより、伝熱柱42eを介してシャワーヘッド40の裏面側から放熱可能な構造とし、かつ温度制御機構90によりシャワーヘッド40の温度制御を可能にしているが、シャワーヘッド40の表面に膜形成された場合には、膜形成前には反射していた熱が吸収され、伝熱柱42eおよび温度制御機構90のみでは、経時的な温度上昇を十分に抑制することができない場合が生じ、膜質や膜組成の面間ばらつきの原因となる。
そこで、本実施形態では、このような不都合を解消することができる構成を採用する。図22は本発明の第2の実施形態に係る成膜装置を示す断面図であり、図23はその平面図である。この成膜装置の基本構成は第1の実施形態の成膜装置と同様であるから基本的に図1と同じものには同じ符号を付して説明を省略する。また、Oリング、Oリング溝、ネジ等については、図1と全く同様であるから、符号も省略している。
本実施形態においては、第1の実施形態におけるヒーター91および冷媒流路92の他に、内側のヒーター91のさらに内側部分に設けられた放熱部材121を有するシャワーヘッド40の温度制御機構120を有している。放熱部材121は、アルミニウ、銅、アルミニウム合金、銅合金等の熱伝導性の良好な材料で構成されており、シャワーヘッド40の上面の内側部分(伝熱柱形成領域)に取り付けられ、シャワーヘッド40に接続された接続部122と、接続部122の上端に設けられ外側へ広がるような扇形をなすヒートシンクプレート(熱放散部)123とを有している。なお、ヒーター91への通電および冷媒流路92に通流させる冷媒の温度や流量等は第1の実施形態と同様に制御される。
この放熱部材121においては、シャワーヘッド40の熱が接続部122を介してヒートシンクプレート123に至り、ヒートシンクプレート123の面から放散される。すなわち、放熱部材121は、シャワーヘッド40の中心部の熱を外気に放散させる機能を有している。また、放熱部材121のヒートシンクプレート123は温度制御機構120の冷媒流路92に接触しており、これによりさらに冷却効率を高めている。
このように、放熱部材121を設けることにより、成膜時においてシャワーヘッド40の表面に膜形成されてシャワーヘッド40の反射率が低下し、シャワーヘッド40が載置台5側からの熱を吸収しても、シャワーヘッド40の中央部の熱が伝熱柱42eおよび放熱部材121を介して放散されるので、シャワーヘッド40の熱を有効に放散させることができ、シャワーヘッド40の温度を均一に制御することができるとともに、経時的な温度上昇を抑制して高精度で温度制御を行うことができる。
このようにシャワーヘッド40の内部の熱放散を有効に行うことができるので、成膜の際にシャワーヘッド40の温度が経時的に上昇することを防止し、かつ、シャワーヘッドの均熱性を向上させることができ、シャワーヘッド40の温度制御を安定して行うことができる。なお、放熱部材の形状は限定されることなく、要求される放熱能力に応じて適宜設定すればよい。
次に、実際にこのような温度制御を行った場合の効果について説明する。
図24は、(a)伝熱柱を設けない従来のシャワーヘッド、(b)伝熱柱を設けた第1の実施形態のシャワーヘッド、(c)伝熱柱および放熱部材を設けた第2の実施形態のシャワーヘッドを用いてPZT膜を連続的に成膜処理した際の処理枚数とシャワーヘッドの温度との関係を示す図である。この図から明らかなように、(a)の伝熱柱を設けない従来のシャワーヘッドの場合、成膜処理枚数が増加するにつれてシャワーヘッド温度が急激に上昇しているが、(b)のように伝熱柱を用いることによりシャワーヘッドの温度上昇が大幅に抑制され、(c)のように伝熱柱に加えてさらに放熱部材を用いることにより、シャワーヘッドの温度上昇がほとんど生じず、高精度でシャワーヘッドの温度制御がなされていることが確認された。このように、伝熱柱+放熱部材とした(c)は、シャワーヘッドの温度が経時的に安定しているため、300枚成膜後もPZT膜のPb/(Zr+Ti)比および膜厚の面間ばらつきがそれぞれ±1.9%および±2.0%であり、(b)の場合がそれぞれ±2.7%および±2.1%であったのに比べてプロセスの均一性が良好であった。これに対し、伝熱柱を設けない(a)はシャワーヘッドの温度変化が大きいため、PZT膜のPb/(Zr+Ti)比および膜厚の面間ばらつきがそれぞれ±7.3%および±4.6%と極めて大きかった。
[第3の実施形態]
次に、第3の実施形態について説明する。
図22、23の例と同等のシャワーヘッドの高精度の温度制御を実現するためには、加熱および冷却がシャワーヘッド40の上面の広い範囲で行われるように加熱手段および冷却手段を配置することが好ましい。本実施形態ではそのような観点から、図25に示すように、シャワーヘッド40の上面に環状のヒーター131a,131b,131cと環状の冷媒流路132a,132b,132cとを交互に設けて温度制御機構130を構成し、これによりシャワーヘッド40の上面の略全面を加熱冷却可能にしている。これらヒーター131a,131b,131bへの給電制御および冷媒流路132a,132b,132cを通流する冷媒の温度や流量の制御は、図示しない熱電対の検出信号に基づいて、第1の実施形態におけるコントローラ110による制御と同様のフィードバック制御により行われる。この場合に、ヒーター131a,131b,131cの通電、冷媒流路132a,132b,132cに流す冷媒の温度および/または流量を一括して制御するようにしてもよいが、これらを独立に制御してゾーン制御するようにすることにより、より高精度の制御を行うことができる。
また、図26のように、冷媒流路132a,132b,132cの代わりに、冷却ガスをシャワーヘッド40の上面に供給する冷却ガス供給装置133a,133b,133cを設けた温度制御機構130′を設置してもよい。これにより、シャワーヘッド40上面の適宜の部分に冷却ガスを供給してシャワーヘッド40を冷却するようにすることができる。この場合には、ガスの供給量を制御することにより、シャワーヘッド40の冷却を制御することができる。この場合にも、一括制御およびゾーン制御のいずれを採用することもできる。冷却ガス供給装置133a,133b,133cは、複数の冷却ガス吐出口を円周に沿って配置した構造や、環状の冷却ガス吐出口を有する構造等、種々の構造を有するものを採用することができる。この場合にもヒーター131a,131b,131bへの給電制御および冷却ガス供給装置133a,133b,133cのガス供給量の制御は、図示しない熱電対の検出信号に基づいて、第1の実施形態におけるコントローラ110による制御と同様のフィードバック制御により行うことができ、また、上述のようなゾーン制御も可能である。
さらに、図27に示すように、複数のペルチェ素子等の熱電素子141をシャワーヘッド40の上面の略全面に亘って設けるようにした温度制御機構140を設けることによっても高精度の温度制御を実現することができる。熱電素子141は、電圧を印加することにより発熱し、その熱によりシャワーヘッド40を加熱することができ、また、発熱の際の電圧と逆の電圧を印加することにより吸熱し、シャワーヘッド40を冷却することができる。この際に、熱電素子141が吸熱した熱を逃がすための冷却手段、例えば冷媒流路を設けることが好ましい。複数の熱電素子141の給電制御も、図示しない熱電対の検出信号に基づいて、第1の実施形態におけるコントローラ110による制御と同様のフィードバック制御により行うことができる。また、熱電素子141の給電制御は一括して行ってもよいが、複数のゾーンに分けてそれぞれ給電制御するようにしてもよい。例えば、図28に示すように、シャワーヘッド40の上面を、その中央部に対応する中央ゾーン142、その外側の中間ゾーン143、さらに最外側の外側ゾーン144の同心円状の3つのゾーンに分け、これら3つのゾーンの熱電素子141への給電制御がおのおの独立して行われるようにしてもよい。これにより、より高精度の制御を実施することができる。
なお、第3の実施形態において、上記ヒーターおよび装置構成の都合上、温度制御機構をシャワーヘッド40の上面の略全面に設けることができない場合には、シャワーヘッド40の十分に冷却したい部分に対応する表面位置に上記第2の実施形態における放熱部材121を設けることが有効である。
[第4の実施形態]
次に、第4の実施形態について説明する。図29は本発明の第4の実施形態に係る成膜装置のシャワーヘッド部分を示す断面図である。この成膜装置の基本構成は第1の実施形態の成膜装置と同様であるから基本的に図1と同じものには同じ符号を付して説明を省略する。また、Oリング、Oリング溝、ネジ等については、図1と全く同様であるから、符号も省略している。
本実施形態では、シャワーヘッド40の温度制御機構150として、上記の第2の実施形態における温度制御機構120の構成要素に、さらにヒートシンクブロック151およびモータファン152を加えたものが設けられている。なお、図29において、第2の実施形態の温度制御機構120の構成要素に相当するものについては、第2の実施形態と同じ符号を付している。
ヒートシンクブロック151は放熱部材121のヒートシンクプレート123上に設けられ、モータファン152はヒートシンクブロック151の上に設けられている。そして、シャワーヘッド40の熱が接続部122を介してヒートシンクプレート123に至り、ヒートシンクプレート123の面からヒートシンクブロック151に伝熱され、モータファン152により強制的に放熱される。これにより、第2の実施形態における放熱方式よりもさらに良好な放熱性を得ることができ、シャワーヘッド40の内部の熱放散を一層有効に行うことができるので、成膜の際にシャワーヘッド40の均熱性をさらに向上させ、かつ経時的に温度が上昇することを防止することができ、シャワーヘッド40の温度制御をより安定して行うことができる。なお、モータファン152は、ヒートシンクブロック151の側方に設けてもよい。
[第5の実施形態]
次に、第5の実施形態について説明する。図30は本発明の第5の実施形態に係る成膜装置のシャワーヘッド部分を示す断面図、図31はその平面図である。この成膜装置の基本構成は第1の実施形態の成膜装置と同様であるから基本的に図1と同じものには同じ符号を付して説明を省略する。また、Oリング、Oリング溝、ネジ等については、図1と全く同様であるから、符号も省略している。
本実施形態では、シャワーヘッド40の温度制御機構160として、上記の第2の実施形態における温度制御機構120の構成要素に、さらにヒートシンク部材161、ヒートシンク部材161に熱交換媒体としての乾燥空気を供給する乾燥空気供給機構162、およびシャワーヘッド40の温度に基づいて乾燥空気の温度を制御する温度制御部163を加えたものが設けられている。なお、図30,31において、第2の実施形態の温度制御機構120の構成要素に相当するものについては、第2の実施形態と同じ符号を付している。
ヒートシンク部材161は放熱部材121のヒートシンクプレート123上に設けられており、このヒートシンク部材161内には多数のフィン164が設けられている。ヒートシンク部材161には、乾燥空気を導入する導入部165aおよび乾燥空気を排出する排出部165bが設けられている。そして、乾燥空気供給機構162と導入部165aとは配管166で接続されており、排出部165bには配管167が接続されている。これにより、ヒートシンク部材161内に乾燥空気が流れるようになっている。
配管166には、上流側から順に、手動バルブ168と、レギュレータ169と、温度制御部163の構成要素でもあるマスフローコントローラ170とが設けられている。
温度制御部163は温度コントローラ171を有しており、この温度コントローラ171は、シャワーヘッド40の温度を検出する熱電対10の検出信号を受け取り、この信号に基づいてマスフローコントローラ170に流量制御信号を出力し、乾燥空気供給機構162からヒートシンク部材161に供給する乾燥空気の流量を制御することによりシャワーヘッド40の温度を一定に制御することが可能となっている。この温度コントローラ171は、上記第1の実施形態の温度コントローラ110と同様、ヒーター91のオン・オフおよび冷媒流路92を通流する冷媒の温度もしくは流量の制御も行うようになっている。なお、温度コントローラ171およびマスフローコントローラ170へはAC/DC電源173から給電されるようになっている。また、マスフローコントローラ170にはディスプレイ172が接続されており、流量情報等が表示されるようになっている。
このような構成によれば、シャワーヘッド40の熱が接続部122を介してヒートシンクプレート123に至り、ヒートシンクプレート123の面からヒートシンク部材161に伝熱され、その内部で乾燥空気供給機構162からヒートシンク部材161内に供給された乾燥空気により速やかに熱交換が行われ、放熱される。特に、ヒートシンク部材161内には多数のフィン164が設けられているので、これにより極めて迅速な熱交換が行われる。このため、シャワーヘッド40の熱放散を極めて有効に行うことができ、しかも、供給する乾燥空気の流量を制御することにより放熱性を高精度で制御することができる。このため、成膜の際におけるシャワーヘッド40の均熱性をさらに向上させ、かつ経時的に温度が上昇することを一層有効に防止することができ、シャワーヘッド40の温度制御を第4の実施形態よりも高いレベルで安定して行うことができる。
なお、熱交換媒体としては乾燥空気に限らず他のガスを供給してもよい。また、乾燥空気等の熱交換媒体の流量の制御で十分にシャワーヘッド40の温度制御ができれば、ヒーター91および冷媒流路92は設けなくてもよい。
上記説明では、ヒートシンク部材161をヒートシンクプレート123の上に設けたが、図32A,32Bに示すように、ヒートシンクプレート123を設けずに接続部122の上に直接にヒートシンクプレート123と同様の扇形を有するヒートシンク部材161′を設けることもできる。ヒートシンク部材161′は内部に多数のフィン164′が設けられ、かつ乾燥空気を導入する導入部165a′および乾燥空気を排出する排出部165b′が設けられ、内部に乾燥空気を通流させることにより、ヒートシンク部材161と全く同様に熱交換を行うことができる。なお、ヒートシンク部材161′の形状は扇形に限るものではない。
[第6の実施形態]
次に、第6の実施形態について説明する。図33は本発明の第6の実施形態に係る成膜装置のシャワーヘッド部分を示す断面図である。この成膜装置の基本構成は第1の実施形態の成膜装置と同様であるから基本的に図1と同じものには同じ符号を付して説明を省略する。また、Oリング、Oリング溝、ネジ等については、図1と全く同様であるから、符号も省略している。
本実施形態では、シャワーヘッド40の温度制御機構180として、第1の実施形態におけるヒーター91および冷媒流路92の他に、シャワーヘッド40の上面すなわちリッド3の上面の中央部を覆う密閉されたカバー181を有するものが設けられており、カバー181は熱交換媒体である乾燥空気を導入する導入口182a、乾燥空気を排出する排出口182bを有している。カバー181内には図示しない乾燥空気供給機構から導入口182aを介して乾燥空気が導入され、排出口182bから排出されて乾燥空気の流れが形成される。すなわち、カバー181は熱交換部材として機能する。なお、ヒーター91への通電および冷媒流路92に通流させる冷媒の温度や流量等は第1の実施形態と同様に制御される。
このように、密閉されたカバー181内に乾燥空気の流れを形成することによりシャワーヘッド40のリッド3上面と乾燥空気との間で熱交換が生じ、シャワーヘッド40の熱を有効に放散させることができ、シャワーヘッド40の温度を均一に制御することができるとともに、経時的な温度上昇を抑制して高精度で温度制御を行うことができる。この際に、第5の実施形態と同様に乾燥空気の流量を制御することにより、シャワーヘッド40の温度を極めて精度良く制御することができる。なお、このような乾燥空気による熱交換による十分な温度制御が行われる場合には、ヒーター91および冷媒流路92は必ずしも必要はない。
[第7の実施形態]
次に、第7の実施形態について説明する。図34は本発明の第7の実施形態に係る成膜装置のシャワーヘッド部分を示す断面図である。この成膜装置の基本構成は第1の実施形態の成膜装置と同様であるから基本的に図1と同じものには同じ符号を付して説明を省略する。また、Oリング、Oリング溝、ネジ等については、図1と全く同様であるから、符号も省略している。
本実施形態では、シャワーヘッド40の温度制御機構190として、第1の実施形態におけるヒーター91および冷媒流路92の他に、放熱部材として複数のヒートシンクフィン191を有するものが設けられている。ヒートシンクフィン191は、内側のヒーター91のさらに内側部分にリッド3と一体にかつリッド3の上面から突出するように設けられている。なお、ヒーター91への通電および冷媒流路92に通流させる冷媒の温度や流量等は第1の実施形態と同様に制御される。
このヒートシンクフィン191は、フィン形状であるため放熱面積が大きく、シャワーヘッド40の熱は、このヒートフィン191の面から有効に放散される。このようにヒートシンクフィン191を設けることにより、シャワーヘッド40が載置台5側からの熱を吸収しても、シャワーヘッド40の中央部の熱が伝熱柱42eおよびヒートシンクフィン191を介して放散されるので、シャワーヘッド40の熱を有効に放散させることができ、シャワーヘッド40の温度を均一に制御することができるとともに、経時的な温度上昇を抑制して高精度で温度制御を行うことができる。
このようにシャワーヘッド40の内部の熱放散を有効に行うことができるので、成膜の際にシャワーヘッド40の温度が経時的に上昇することを防止し、かつ、シャワーヘッドの均熱性を向上させることができ、シャワーヘッド40の温度制御を安定して行うことができる。
このヒートシンクフィン191は最も中央側のものの高さが最も高く、周辺側に向かうに従って高さが低くなっている。これにより、最も放熱が必要な中央部において最も放熱性を高くすることができる。このヒートシンクフィン191は要求される放熱性に応じて適宜その高さ、形状、厚さ、数等が設定される。
さらに放熱性および温度制御性を良好にする観点からは、図35に示すように、シャワーヘッド40におけるリッド3表面のヒートシンクフィン191が設けられている部分をカバー192で覆い、カバー192に設けられた導入口193aから熱交換媒体として例えば乾燥空気を導入し、排出口193bから排出するようにして、乾燥空気の流れを形成することにより熱交換を促進することが好ましい。これにより、シャワーヘッド40の温度制御を一層高精度で行うことができる。この際に、第5の実施形態と同様に乾燥空気の流量を制御することにより、シャワーヘッド40の温度を極めて精度良く制御することができる。なお、このようにカバー192を設けて、その中に熱交換媒体の流れを形成する場合には、ヒーター91および冷媒流路92は必ずしも必要はない。
なお、本発明は上記実施の形態に限らず本発明の思想の範囲内で種々変形が可能である。例えば、上記実施の形態では、PZT薄膜の成膜処理を例にとって説明したが、これに限らず、W膜やTi膜等の他の膜を成膜する場合にも適用することができる。また、本発明は成膜装置に限らず、熱処理装置、プラズマ処理装置等の他のガス処理装置に適用可能である。さらに、シャワーヘッドの構造も上記実施の形態に限るものではない。さらに、シャワーヘッドの温度制御機構をシャワーヘッドの上面に設けた例を示したが、シャワーヘッドの内部に設けてもよい。さらにまた、被処理基板として半導体ウエハを例にとって説明したが、これに限るものではなく、液晶表示装置(LCD)用ガラス基板に代表されるフラットディスプレーパネル(FPD)等、他の基板に対する処理にも適用することができる。
本発明は、処理容器内において、載置台に載置されて加熱された基板に対向して設けられたシャワーヘッドから原料ガスを供給して所望のガス処理を行うガス処理装置に広く適用することができる。

Claims (49)

  1. 被処理基板を収容する処理容器と、
    前記処理容器内に配置され、被処理基板が載置される載置台と、
    前記載置台と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、
    前記処理容器内を排気する排気機構と
    を具備し、
    前記処理ガス吐出機構は、
    前記処理ガスが導入されるガス導入部と、
    前記載置台に向けて処理ガスを吐出するための複数のガス吐出孔を有するガス吐出部と、
    前記ガス導入部と前記ガス吐出部との間に設けられたガス拡散部と
    を有し、
    前記ガス拡散部は、
    前記ガス導入部と前記ガス吐出部との間の熱伝達を行う複数の伝熱柱と、
    前記ガス吐出孔に連通し、前記伝熱柱以外の部分を構成するガス拡散空間と
    を有するガス処理装置。
  2. 複数の前記伝熱柱は、円柱形状を呈する請求項1に記載のガス処理装置。
  3. 前記伝熱柱の断面積の合計値S1の前記ガス拡散部の断面積S2に対する比S1/S2は、0.05〜0.50である請求項1または請求項2のガス処理装置。
  4. 前記伝熱柱の径は、2〜12mmである請求項1に記載のガス処理装置。
  5. 被処理基板を収容する処理容器と、
    前記処理容器内に配置され、被処理基板が載置される載置台と、
    前記載置台上の被処理基板と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、
    前記処理容器内を排気する排気機構と
    を具備し、
    前記処理ガス吐出機構は、
    第1の処理ガスおよび第2の処理ガスがそれぞれ導入される第1プレートと、
    前記第1プレートの主面に当接する第2プレートと、
    前記第2プレートに当接され、前記載置台に載置された被処理基板に対応して複数の第1および第2ガス吐出孔が形成された第3プレートと、
    前記第1プレートと前記第2プレートとの間に設けられた第1ガス拡散部と、
    前記第2プレートと前記第3プレートとの間に設けられた第2ガス拡散部と
    を有し、
    前記第1ガス拡散部は、
    前記第1プレートと前記第2プレートとに接続された複数の第1柱体と、
    前記第1ガス吐出孔に連通し、前記複数の第1柱体以外の部分を構成する第1ガス拡散空間とを有し、
    前記第2ガス拡散部は、
    前記第2プレートと前記第3プレートとに接続された複数の第2柱体と、
    前記第2ガス吐出孔に連通し、前記複数の第2柱体以外の部分を構成する第2ガス拡散空間とを有し、
    導入された前記第1の処理ガスが前記第1ガス拡散空間を介して前記第1ガス吐出孔から吐出され、導入された前記第2の処理ガスが前記第2ガス拡散空間を介して前記第2ガス吐出孔から吐出されるガス処理装置。
  6. 複数の前記第2柱体は、前記第1ガス拡散空間と前記第1ガス吐出孔とを連通させるガス通路が軸方向に形成されている請求項5に記載のガス処理装置。
  7. 被処理基板を収容する処理容器と、
    前記処理容器内に配置され、被処理基板が載置される載置台と、
    前記載置台上の被処理基板と対向する位置に設けられ、前記処理容器内へ第1および第2の処理ガスを吐出する処理ガス吐出機構と、
    前記処理容器内を排気する排気機構と
    を具備し、
    前記処理ガス吐出機構は、
    前記第1および第2の処理ガスが導入されるガス導入部と、
    前記載置台に向けて第1の処理ガスおよび第2の処理ガスをそれぞれ吐出するための複数の第1および第2ガス吐出孔を有するガス吐出部と、
    前記ガス導入部と前記ガス吐出部との間に積層して形成され、偏平形状を有する第1および第2ガス拡散部とを有し、
    前記第1ガス拡散部は、
    前記ガス吐出部と前記ガス導入部との間の熱伝達を行うための複数の第1柱体と、
    前記第1ガス吐出孔に連通し、前記複数の第1柱体以外の部分を構成する第1ガス拡散空間とを有し、
    前記第2ガス拡散部は、
    前記第1の処理ガスが通流するガス通流孔を有する複数の第2柱体と、
    前記第2ガス吐出孔に連通し、前記複数の第2柱体以外の部分を構成する第2ガス拡散空間とを有し、
    導入された前記第1の処理ガスが前記第1ガス拡散空間を介して前記第1ガス吐出孔から吐出され、導入された前記第2の処理ガスが前記第2ガス拡散空間を介して前記第2ガス吐出孔から吐出されるガス処理装置。
  8. 複数の前記第1柱体は、円柱形状を呈する請求項5から請求項7のいずれか1項に記載のガス処理装置。
  9. 前記第1柱体の断面積の合計値S1の前記第2ガス拡散部の断面積S2に対する比S1/S2は、0.05〜0.50である請求項5から請求項8のいずれか1項に記載のガス処理装置。
  10. 前記第1柱体の径は、2〜12mmである請求項5から請求項9のいずれか1項に記載のガス処理装置。
  11. 前記処理容器は、多角形の筐体内に円柱状の処理空間を配置した形状を呈し、前記排気機構は、前記筐体の底部に、前記処理空間に連通し当該処理空間を取り囲むように形成された第1排気流路と、前記筐体の相対する複数の角部の各々に高さ方向に配置され、前記第1排気流路に連通する第2排気流路と、を備えた請求項5から請求項10のいずれか1項に記載のガス処理装置。
  12. 前記処理ガス吐出機構の上部に設けられ、前記処理ガス吐出機構の温度を制御する温度制御機構をさらに具備する請求項1から請求項11のいずれか1項に記載のガス処理装置。
  13. 前記温度制御機構は、前記処理ガス吐出機構を加熱するヒーターおよび前記処理ガス吐出機構を冷却する冷媒を通流させる冷媒流路を有する請求項12に記載のガス処理装置。
  14. 前記温度制御機構は、前記処理ガス吐出機構を加熱するヒーターおよび前記処理ガス吐出機構の上面の所定位置に冷却ガスを供給する冷却ガス供給装置を有する請求項12に記載のガス処理装置。
  15. 前記温度制御機構は、前記処理ガス吐出機構の上面に設けられた複数の熱電素子を有する請求項12に記載のガス処理装置。
  16. 前記温度制御機構は、前記処理ガス吐出機構の略全面の温度制御を行う請求項12から請求項15のいずれか1項に記載のガス処理装置。
  17. 前記温度制御機構は、前記処理ガス吐出機構の熱を雰囲気中に放散する放熱部材をさらに有する請求項12から請求項16のいずれか1項に記載のガス処理装置。
  18. 前記放熱部材は、前記ガス吐出機構の上面に接続された接続部と、接続部に取り付けられた大面積の熱拡散部とを有する請求項17に記載のガス処理装置
  19. 前記温度制御機構は、前記放熱部材からの放熱を促進するファンを有する請求項17または請求項18に記載のガス処理装置。
  20. 前記放熱部材は、前記処理ガス吐出機構と一体にかつ前記処理ガス吐出機構の上面から突出するように設けられたフィンを有している請求項17に記載のガス処理装置。
  21. 前記温度制御機構は、前記ガス吐出機構との間で熱交換を行う熱交換部材と、前記熱交換部材に熱交換媒体を供給してその中に熱交換媒体の流れを形成する熱交換媒体供給機構とを有する請求項12に記載のガス処理装置。
  22. 前記熱交換部材は、その内部に多数のフィンを有する請求項21に記載のガス処理装置。
  23. 前記温度制御機構は、前記処理ガス吐出機構の熱を雰囲気中に放散する放熱部材をさらに有する請求項21に記載のガス処理装置。
  24. 前記放熱部材は、前記ガス吐出機構の上面に接続された接続部と、接続部に取り付けられた大面積の熱拡散部とを有し、前記熱交換部材は前記熱拡散部に接触して設けられる請求項23に記載のガス処理装置。
  25. 前記熱交換部材は、その内部に多数のフィンを有する請求項24に記載のガス処理装置。
  26. 前記放熱部材は、前記処理ガス吐出機構と一体にかつ前記処理ガス吐出機構の上面から突出するように設けられたフィンを有し、前記熱交換部材は前記フィンを覆うように設けられている請求項23に記載のガス処理装置。
  27. 前記温度制御機構は、前記処理ガス吐出機構の温度に応じて前記熱交換部材に導入される熱交換媒体の流量を制御して前記処理ガス吐出機構の温度を制御する温度制御部を有している請求項21から請求項26のいずれか1項に記載のガス処理装置。
  28. 被処理基板を収容する処理容器と、
    前記処理容器内に配置され、被処理基板が載置される載置台と、
    前記載置台と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、
    前記処理容器内を排気する排気機構と、
    前記処理ガス吐出機構の温度制御機構と
    を具備し、
    前記処理ガス吐出機構は、
    前記処理ガスが導入されるガス導入部と、
    前記載置台に向けて処理ガスを吐出するための複数のガス吐出孔を有するガス吐出部と、
    前記ガス導入部と前記ガス吐出部との間に設けられたガス拡散部と
    を有し、
    前記ガス拡散部は、
    前記ガス導入部と前記ガス吐出部との間の熱伝達を行う伝熱柱と、
    前記ガス吐出孔に連通し、前記伝熱柱以外の部分を構成するガス拡散空間と
    を有し、
    前記温度制御機構は、前記処理ガス導入部の下部から前記伝熱柱を介して伝熱された熱を放熱する放熱機構を有するガス処理装置。
  29. 前記放熱機構は、前記処理ガス吐出機構の熱を雰囲気中に放散する放熱部材を有する請求項28に記載のガス処理装置。
  30. 前記放熱部材は、前記ガス吐出機構の上面に接続された接続部と、接続部に取り付けられた大面積の熱拡散部とを有する請求項29に記載のガス処理装置
  31. 前記放熱機構は、前記放熱部材からの放熱を促進するファンを有する請求項29または請求項30に記載のガス処理装置。
  32. 前記放熱部材は、前記処理ガス吐出機構と一体にかつ前記処理ガス吐出機構の上面から突出するように設けられたフィンを有している請求項29に記載のガス処理装置。
  33. 前記放熱機構は、前記ガス吐出機構との間で熱交換を行う熱交換部材と、前記熱交換部材に熱交換媒体を供給してその中に熱交換媒体の流れを形成する熱交換媒体供給機構とを有する請求項28に記載のガス処理装置。
  34. 前記熱交換部材は、その内部に多数のフィンを有する請求項33に記載のガス処理装置。
  35. 前記放熱機構は、前記処理ガス吐出機構の熱を雰囲気中に放散する放熱部材をさらに有する請求項33に記載のガス処理装置。
  36. 前記放熱部材は、前記ガス吐出機構の上面に接続された接続部と、接続部に取り付けられた大面積の熱拡散部とを有し、前記熱交換部材は前記熱拡散部に接触して設けられる請求項35に記載のガス処理装置。
  37. 前記熱交換部材は、その内部に多数のフィンを有する請求項36に記載のガス処理装置。
  38. 前記放熱部材は、前記処理ガス吐出機構と一体にかつ前記処理ガス吐出機構の上面から突出するように設けられたフィンを有し、前記熱交換部材は前記フィンを覆うように設けられている請求項35に記載のガス処理装置。
  39. 前記放熱機構は、前記処理ガス吐出機構の温度に応じて前記熱交換部材に導入される熱交換媒体の流量を制御して前記処理ガス吐出機構の温度を制御する温度制御部を有している請求項33から請求項38のいずれか1項に記載のガス処理装置。
  40. 被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、前記処理ガスが導入されるガス導入部と、前記載置台に向けて処理ガスを吐出するための複数のガス吐出孔を有するガス吐出部と、前記ガス導入部と前記ガス吐出部との間に設けられ、処理ガスをその中の処理ガス拡散空間に拡散させて前記ガス吐出孔に導くガス拡散部とを有するガス処理装置における処理ガス吐出機構の放熱方法であって、
    前記ガス拡散部に伝熱柱を設けて前記ガス導入部と前記ガス吐出部との間の熱伝達を行い、前記処理ガス吐出機構の放熱を行う放熱方法。
  41. 前記伝熱柱は、円柱形状を呈する請求項40に記載の放熱方法。
  42. 前記伝熱柱の断面積の合計値S1の前記ガス拡散部の断面積S2に対する比S1/S2は、0.05〜0.50である請求項40または請求項41に記載の放熱方法。
  43. 前記処理ガス吐出機構の上部に温度制御機構を設け、前記伝熱柱を介して熱伝達を行って前記処理ガス吐出機構の下部の温度を制御する請求項40から請求項42のいずれか1項に記載の放熱方法。
  44. 被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台上の被処理基板と対向する位置に設けられ、前記処理容器内へ処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、第1の処理ガスおよび第2の処理ガスがそれぞれ導入される第1プレートと、前記第1プレートの主面に当接する第2プレートと、前記第2プレートに当接され、前記載置台に載置された被処理基板に対応して複数の第1および第2ガス吐出孔が形成された第3プレートと、前記第1プレートと前記第2プレートとの間に設けられた第1ガス拡散部と、前記第2プレートと前記第3プレートとの間に設けられた第2ガス拡散部とを有するガス処理装置における処理ガス吐出機構の放熱方法であって、
    前記第1ガス拡散部に前記第1プレートと前記第2プレートとを接続するように複数の第1柱体を設け、前記第2ガス拡散部に前記第2プレートと前記第3プレートとを接続するように複数の第2柱体を設け、
    前記第1柱体により前記第1プレートと前記第2プレートとの間の熱伝達を行い、前記第2柱体により前記第2プレートと前記第3プレートとの間の熱伝達を行って、前記処理ガス吐出機構の放熱を行う放熱方法。
  45. 複数の前記第1柱体は、前記第2ガス拡散空間と前記第2ガス吐出孔とを連通させるガス通路が軸方向に形成されている請求項44に記載の放熱方法。
  46. 被処理基板を収容する処理容器と、前記処理容器内に配置され、被処理基板が載置される載置台と、前記載置台上の被処理基板と対向する位置に設けられ、前記処理容器内へ第1および第2の処理ガスを吐出する処理ガス吐出機構と、前記処理容器内を排気する排気機構とを具備し、前記処理ガス吐出機構は、前記第1および第2の処理ガスが導入されるガス導入部と、前記載置台に向けて第1の処理ガスおよび第2の処理ガスをそれぞれ吐出するための複数の第1および第2ガス吐出孔を有するガス吐出部と、前記ガス導入部と前記ガス吐出部との間に積層して形成され、偏平形状を有する第1および第2ガス拡散部とを有するガス処理装置における処理ガス吐出機構の放熱方法であって、
    前記第1ガス拡散部に複数の第1柱体を設け、前記第2ガス拡散部に前記第1の処理ガスが通流するガス通流孔を有する複数の第2柱体を設け、
    前記第1柱体および前記第2柱体により前記ガス吐出部と前記ガス導入部との間の熱伝達を行って、前記処理ガス吐出機構の放熱を行う放熱方法。
  47. 複数の前記第1柱体は、円柱形状を呈する特徴とする請求項44から請求項46のいずれか1項に記載の放熱方法。
  48. 前記第1柱体の断面積の合計値S1の前記第2ガス拡散部の断面積S2に対する比S1/S2は、0.05〜0.50である請求項44から請求項47のいずれか1項に記載の放熱方法。
  49. 前記処理ガス吐出機構の上部に温度制御機構を設け、前記第1柱体および前記第1柱体を介して熱伝達を行って前記処理ガス吐出機構の下部の温度を制御する請求項44から請求項48のいずれか1項に記載の放熱方法。
JP2005513630A 2003-09-03 2004-08-30 ガス処理装置および放熱方法 Expired - Fee Related JP4536662B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003311903 2003-09-03
JP2003311903 2003-09-03
PCT/JP2004/012466 WO2005024928A1 (ja) 2003-09-03 2004-08-30 ガス処理装置および放熱方法

Publications (2)

Publication Number Publication Date
JPWO2005024928A1 true JPWO2005024928A1 (ja) 2007-11-08
JP4536662B2 JP4536662B2 (ja) 2010-09-01

Family

ID=34269715

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005513630A Expired - Fee Related JP4536662B2 (ja) 2003-09-03 2004-08-30 ガス処理装置および放熱方法

Country Status (7)

Country Link
US (1) US20070022954A1 (ja)
EP (1) EP1667217A1 (ja)
JP (1) JP4536662B2 (ja)
KR (2) KR100901892B1 (ja)
CN (1) CN100495655C (ja)
TW (1) TW200527508A (ja)
WO (1) WO2005024928A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020524393A (ja) * 2017-06-19 2020-08-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated インサイチュの半導体処理チャンバ温度装置

Families Citing this family (466)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4399206B2 (ja) 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
JP5045000B2 (ja) 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
KR101064354B1 (ko) * 2006-11-09 2011-09-14 가부시키가이샤 알박 장벽막 형성 방법
JP4928991B2 (ja) 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
JP5179476B2 (ja) * 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
KR100866912B1 (ko) * 2007-05-31 2008-11-04 주식회사 마이크로텍 화학기상증착장비
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
CN102077320B (zh) * 2008-07-04 2013-01-23 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和介电体窗的温度调节机构
CN101339895B (zh) * 2008-08-22 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的等离子体处理设备
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
FI123769B (fi) * 2009-02-13 2013-10-31 Beneq Oy Kaasukasvatusreaktori
JP5221421B2 (ja) * 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
TW201038764A (en) * 2009-03-16 2010-11-01 Alta Devices Inc Reactor lid assembly for vapor deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20120285383A1 (en) * 2010-01-14 2012-11-15 Oerlikon Solar Ag, Trubbach Mounting for fixing a reactor in a vacuum chamber
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5762841B2 (ja) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 半導体製造装置
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP2013012353A (ja) * 2011-06-28 2013-01-17 Hitachi High-Technologies Corp プラズマ処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130164948A1 (en) * 2011-12-22 2013-06-27 Intermolecular, Inc. Methods for improving wafer temperature uniformity
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP2014150191A (ja) * 2013-02-01 2014-08-21 Ulvac Japan Ltd Pzt膜の製造方法及び成膜装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103305809B (zh) * 2013-06-26 2016-08-10 中国科学院苏州纳米技术与纳米仿生研究所 一种温度连续可调的喷淋头
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
KR101466816B1 (ko) * 2013-09-23 2014-12-10 국제엘렉트릭코리아 주식회사 히터 부재 및 그것을 갖는 기판 처리 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10510511B2 (en) * 2013-10-31 2019-12-17 Semes Co., Ltd. Apparatus for treating substrate
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101490450B1 (ko) * 2014-08-29 2015-02-09 주성엔지니어링(주) 가스분배판 고정용 결합부재
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
CN107667418B (zh) * 2015-06-05 2022-03-01 应用材料公司 用于降低基板温度非均匀性的改良式装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN106816352B (zh) * 2015-12-01 2019-03-12 北京北方华创微电子装备有限公司 下电极组件及半导体加工设备
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
JP7180984B2 (ja) * 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP7066512B2 (ja) * 2018-05-11 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
CN109023301B (zh) * 2018-10-24 2023-10-13 乐山新天源太阳能科技有限公司 氧化铝膜制备装置
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
DE102018126617A1 (de) * 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20200072640A (ko) * 2018-12-12 2020-06-23 삼성디스플레이 주식회사 증착 장치
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7134863B2 (ja) * 2018-12-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068272B (zh) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN116194616A (zh) * 2020-09-25 2023-05-30 朗姆研究公司 高温工艺用轴向冷却金属喷头
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05190464A (ja) * 1992-01-16 1993-07-30 Toshiba Corp 気相成長装置
JPH08218171A (ja) * 1995-02-08 1996-08-27 Nippon Sanso Kk シャワーヘッド式cvd装置
US6444042B1 (en) * 1999-02-25 2002-09-03 Hyundai Electronics Industries Co., Ltd. Gas injection system for chemical vapor deposition device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06338458A (ja) * 1993-05-28 1994-12-06 Kokusai Electric Co Ltd プラズマcvd装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
JP4320924B2 (ja) * 1999-06-15 2009-08-26 東京エレクトロン株式会社 パーティクル計測装置及び処理装置
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
ATE249532T1 (de) * 2000-02-04 2003-09-15 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
EP1371751B1 (en) * 2001-02-09 2011-08-17 Tokyo Electron Limited Film forming device
KR100439949B1 (ko) * 2001-11-08 2004-07-12 주식회사 아이피에스 박막증착용 반응용기

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05190464A (ja) * 1992-01-16 1993-07-30 Toshiba Corp 気相成長装置
JPH08218171A (ja) * 1995-02-08 1996-08-27 Nippon Sanso Kk シャワーヘッド式cvd装置
US6444042B1 (en) * 1999-02-25 2002-09-03 Hyundai Electronics Industries Co., Ltd. Gas injection system for chemical vapor deposition device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020524393A (ja) * 2017-06-19 2020-08-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated インサイチュの半導体処理チャンバ温度装置

Also Published As

Publication number Publication date
TW200527508A (en) 2005-08-16
CN1830072A (zh) 2006-09-06
JP4536662B2 (ja) 2010-09-01
WO2005024928A1 (ja) 2005-03-17
KR20060064067A (ko) 2006-06-12
US20070022954A1 (en) 2007-02-01
EP1667217A1 (en) 2006-06-07
KR100901892B1 (ko) 2009-06-10
KR20080003940A (ko) 2008-01-08
CN100495655C (zh) 2009-06-03

Similar Documents

Publication Publication Date Title
JP4536662B2 (ja) ガス処理装置および放熱方法
JP4877748B2 (ja) 基板処理装置および処理ガス吐出機構
JP5068471B2 (ja) 基板処理装置
KR101645262B1 (ko) 가스 분산 장치
KR100770461B1 (ko) 가스 처리 장치 및 성막 장치
KR101089977B1 (ko) 성막 장치 및 성막 방법, 가스 공급 장치 및 기억 매체
JP2007053382A (ja) 基板支持体の能動的冷却
JP2004319537A (ja) シャワーヘッド構造及び処理装置
JP4933894B2 (ja) 気化器モジュール
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体
US6261373B1 (en) Method and apparatus for metal oxide chemical vapor deposition on a substrate surface
KR20070109384A (ko) 원자층 증착 공정 장비의 샤워 헤드
JP4119330B2 (ja) シャワーヘッド及び成膜装置
US20140116339A1 (en) Process gas diffuser assembly for vapor deposition system
JP2000183028A (ja) 処理装置及び処理システム
JP2022146925A (ja) 温度制御された反応チャンバー

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100423

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100615

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100616

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130625

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4536662

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees