TWI489546B - 將氣體徑向傳送至腔室之裝置 - Google Patents

將氣體徑向傳送至腔室之裝置 Download PDF

Info

Publication number
TWI489546B
TWI489546B TW100113701A TW100113701A TWI489546B TW I489546 B TWI489546 B TW I489546B TW 100113701 A TW100113701 A TW 100113701A TW 100113701 A TW100113701 A TW 100113701A TW I489546 B TWI489546 B TW I489546B
Authority
TW
Taiwan
Prior art keywords
gas distribution
disposed
flange
gas
opening
Prior art date
Application number
TW100113701A
Other languages
English (en)
Other versions
TW201222659A (en
Inventor
Jared Ahmad Lee
Martin Jeff Salinas
Ankur Agarwal
Ezra Robert Gold
James P Cruse
Aniruddha Pal
Andrew Ngyyen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201222659A publication Critical patent/TW201222659A/zh
Application granted granted Critical
Publication of TWI489546B publication Critical patent/TWI489546B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/6416With heating or cooling of the system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Description

將氣體徑向傳送至腔室之裝置
本發明之實施例大致而言係關於基板處理。
超大型積體(Ultra-large-scale integrated;ULSI)電路可包括形成於諸如矽(Si)基板之半導體基板上之超過一百萬個電子設備(例如,電晶體),且合作以在設備之內執行各種功能。電漿蝕刻通常用於電晶體及其他電子設備之製造中。在用於形成電晶體結構之電漿蝕刻處理期間,通常將一或多層薄膜堆疊(例如,矽層、多晶矽層、二氧化鉿(HfO2 )層、二氧化矽(SiO2 )層、金屬材料層等等)曝露於蝕刻劑,例如諸如溴化氫(HBr)、氯氣(Cl2 )、四氟化碳(CF4 )等等之含鹵素蝕刻劑氣體。此類處理導致殘餘物聚積於基板上之經蝕刻特徵結構之表面上、蝕刻光罩上及其他位置。
為了從經處理的基板移除該等殘餘物,可進行減污處理。習知地,該減污處理包括加熱經處理的基板至所要溫度,同時提供一或多種處理氣體以促進殘餘物自基板表面除氣。經除氣的殘餘物接著可自腔室清除。在用於進行該減污處理之典型腔室中,一或多種處理氣體經由佈置於該腔室之內的一或多個噴灑頭提供。當使用包含高架熱源(例如位於腔室之頂部之輻射熱源)之腔室時,必須以不妨礙該熱傳遞之方式置放及設置該一或多個噴灑頭。然而,在此類設置中,一或多個噴灑頭可能無法對基板表面提供均勻徑向分配的處理氣體,從而導致殘餘物之不均勻除氣,進而無法均勻地自基板完全移除殘餘物。
因此,需要將氣體傳送至處理腔室中之經改良裝置。
本文提供將一氣體傳送至一腔室之裝置及其使用方法。在某些實施例中,用於一處理腔室之一氣體分配系統可包括:一主體,該主體具有一第一表面,該第一表面經設置以將該主體耦接至一處理腔室之一內表面,該主體具有佈置穿過該主體之一開口;一凸緣,該凸緣經佈置與相對於該主體之該第一表面的該開口之一第一端緊鄰,該凸緣向內延伸至該開口中,且經設置以支撐該凸緣上之一視窗;以及複數個氣體分配槽道,該等氣體分配槽道佈置於該主體內,且將佈置於該主體內及該開口周圍之一槽道流體耦接至佈置於該凸緣中之複數個孔,其中該複數個孔徑向佈置於該凸緣周圍。
在某些實施例中,一氣體分配系統可包括:一處理腔室,該處理腔室具有一基板支撐件;一加熱器模組,該加熱器模組包含與該基板支撐件之一支撐表面相對佈置之一或多個輻射加熱元件;以及一氣體分配系統,該氣體分配系統耦接至介於該加熱器模組及該基板支撐件之間的該處理腔室。該氣體分配系統可包括:一主體,該主體具有一第一表面,該第一表面經設置以將該主體耦接至該處理腔室之一內表面,該主體具有佈置穿過該主體之一開口,該開口在該加熱器模組與該基板支撐件之間提供一視線;一凸緣,該凸緣經佈置與相對於該主體之該第一表面的該開口之一第一端緊鄰,該凸緣向內延伸至該開口中,且經設置以支撐該凸緣上之一視窗;以及複數個氣體分配槽道,該等氣體分配槽道佈置於該主體內,且將佈置於該主體內及該開口周圍之一槽道流體耦接至佈置於該凸緣中之複數個孔,其中該複數個孔徑向佈置於該凸緣周圍。
以下描述本發明之其他及進一步實施例。
本發明之實施例提供將氣體傳送至腔室之氣體分配系統。本發明之裝置有利地提供大致均勻的徑向氣體傳送至處理腔室,同時不妨礙當該氣體分配系統佈置於熱源與基板之間時自熱源至基板表面之熱傳遞。
本發明之裝置可有利地用於許多不同之處理系統中。舉例而言,參見第1圖,在某些實施例中,處理系統100通常可包含真空密封處理平臺104、工廠介面102及系統控制器144。可根據本文所提供之教示而適當修改之處理系統的實例包括可購自Applied Materials,Inc.(位於California之Santa Clara)之積體處理系統、系列之處理系統中之一者(例如GTTM )、ADVANTEDGETM 處理系統或其他合適的處理系統。可設想,其他處理系統(包括購自其他製造商之該等處理系統)可經調適成受益於本發明。
平臺104可包括複數個處理腔室(圖示六個)110、111、112、132、128、120及耦接至真空基板移送室136之至少一個負載鎖定室(圖示兩個)122。工廠介面102經由負載鎖定室122耦接至移送室136。舉例而言,在某些實施例中,如第1圖中所圖示,處理腔室110、111、112、132、128、120可成對分組,其中每對中之處理腔室110、111、112、132、128、120中之各者彼此相鄰定位。可以根據本文之教示而修改併入本發明之雙腔室處理系統之一實例,在2010年4月30日由Ming Xu等人提出申請,並且標題為「Twin Chamber Processing System」之美國臨時專利申請案第61/330,156號中有所描述。各雙腔室處理系統可包括一對可彼此隔離之獨立處理容積。舉例而言,各雙腔室處理系統可包括第一處理腔室及第二處理腔室,該等第一處理腔室及第二處理腔室分別具有第一處理容積及第二處理容積。該第一處理容積及第二處理容積可彼此隔離以有助於各相應處理腔室中大致上獨立之基板處理。雙腔室處理系統內處理腔室之經隔離處理容積有利地減少或消除處理問題,該等處理問題可能由於處理容積在處理期間流體耦接之情況下的多基板處理系統所產生。
在此類實施例中,處理腔室可經設置以使得處理資源(亦即,處理氣體供應器、電力供應器或諸如此類)可於該對處理腔室內之處理腔室110、111、112、132、128、120中之各者之間共用。因此,雙腔室處理系統進一步有利地利用,而有助於減少系統佔地面積、硬體費用、設備使用率及成本、維修費等等之共用資源,同時促進較高之基板產量。舉例而言,共用硬體及/或資源可包括處理前置管道及粗抽泵、AC配電及DC電源、冷卻水分配、冷卻器、多路熱控制器、氣體面板、控制器等等中之一或多者。
在某些實施例中,工廠介面102包含至少一個機座108及至少一個工廠介面機器人(圖示兩個)114以幫助移送基板。機座108經設置以接受一或多個(圖示兩個)前開式晶圓傳送盒(front opening unified pod;FOUP)106A-B。在某些實施例中,工廠介面機器人114通常包含佈置於機器人114之一端上的葉片116,機器人114經設置以將基板自工廠介面102經由負載鎖定室122移送至處理平臺104,以用於處理。視情況地,一或多個測量站118可連接至工廠介面102之終端126,以幫助量測來自FOUP 106A-B之基板。
在某些實施例中,負載鎖定室122中之各者(下文更詳細描述)可包含耦接至工廠介面102之第一通口123及耦接至移送室136之第二通口125。負載鎖定室122可耦接至壓力控制系統(在下文中亦有所描述),該系統抽空及通氣負載鎖定室122之氣體,以促進將基板在移送室136之真空環境與工廠介面102之實質上周遭環境(例如,大氣)之間傳遞。
在某些實施例中,移送室136具有佈置於其中的移送機器人130。移送機器人130通常包含耦接至活動臂131之一或多個移送葉片(圖示兩個)134。在某些實施例中,例如在處理腔室110、111、112、132、128、120以兩個為一組佈置(如第1圖所示)之情況下,移送機器人130可包含兩個平行葉片134,該等兩個平行葉片134經設置以使得移送機器人130可在負載鎖定室122與處理腔室110、111、112、132、128、120之間同時移送兩個基板124。
處理腔室110、111、112、132、128、120可為用於基板處理中之任何類型之處理腔室。舉例而言,在某些實施例中,處理腔室110、111、112、132、128、120中之至少一者可為蝕刻腔室、沈積腔室或諸如此類。舉例而言,在處理腔室110、111、112、132、128、120中之至少一者為蝕刻腔室之實施例中,處理腔室110、111、112、132、128、120中之該至少一者可為可購自Applied Materials,Inc之去耦電漿源(Decoupled Plasma Source;DPS)腔室。該DPS蝕刻腔室使用感應源以產生高密度電漿,且包含射頻(radio-frequency;RF)功率源以偏壓基板。替代地或結合地,在某些實施例中,處理腔室110、111、112、132、128、120中之至少一者可為亦可購自Applied Materials,Inc.之HARTTM 、E-、DPS II、PRODUCER E或蝕刻腔室中之一者。亦可利用其他蝕刻腔室,包括可購自其他製造商之該等蝕刻腔室。
舉例而言,在處理腔室110、111、112、132、128、120為蝕刻腔室之實施例中,處理腔室110、111、112、132、128、120可使用含鹵素氣體,以蝕刻佈置於處理腔室中之基板(例如,基板124)。含鹵素氣體之實例包括溴化氫(HBr)、氯氣(Cl2 )、四氟化碳(CF4 )等等。蝕刻基板124之後,含鹵素殘餘物可遺留在基板表面上。該等含鹵素殘餘物可藉由負載鎖定室122中之熱處理製程來移除,例如下文所論述之熱處理製程。
系統控制器144係耦接至處理系統100。系統控制器144利用對系統100之處理腔室110、111、112、132、128、120之直接控制,或藉由控制與處理腔室110、111、112、132、128、120及系統100相關之電腦(或控制器)來控制系統100之操作。在操作中,系統控制器144能夠從各個腔室及系統控制器144收集資料及回饋,以最佳化系統100之效能。
系統控制器144通常包括中央處理單元(central processing unit;CPU)138、記憶體140及支援電路142。CPU 138可為能夠用於工業環境中之任何形式之通用電腦處理機中之一者。支援電路142係耦接至CPU 138,且可包含快取記憶體、時脈電路、輸入/輸出子系統、電源等等。軟體常式(諸如下文參見第5圖所描述之用於移除含鹵素殘餘物之方法500)在由CPU 138執行時將CPU 138轉換為專用電腦(控制器)144。亦可經由位於系統100遠端處之第二控制器(未圖示)儲存及/或執行該等軟體常式。
參見第2圖,在某些實施例中,負載鎖定室122通常可包含腔室主體202、第一基板固持件204、第二基板固持件206、溫度控制基座240及包含一或多個加熱元件271之加熱器模組270。腔室主體202可由諸如鋁之材料之單一主體製造。腔室主體202包括第一側壁208、第二側壁210、側面壁(未圖示)、界定腔室容積218之頂部214及底部216。氣體分配環290係耦接至頂部214,以有助於將氣體自一或多個氣源252徑向傳送至腔室容積218。氣體分配環290能夠以適合的任何方式耦接至頂部214,以在氣體分配環290與腔室主體202之間形成真空密封。舉例而言,在某些實施例中,氣體分配環290可經由焊接耦接至頂部214,或在某些實施例中,可經由複數個緊固件(例如螺釘、螺栓或諸如此類)耦接至頂部214。
視窗250係佈置於氣體分配環290之頂上,且至少部分由加熱器模組270所覆蓋。在某些實施例中,視窗250係至少部分為光學透明的,以有助於將熱自加熱元件271傳遞至腔室容積218。視窗250可包含任何至少部分光學透明之材料,諸如玻璃、結晶材料或諸如此類。在某些實施例中,視窗250包含矽基材料,例如石英(SiO2 )。或者,在某些實施例中,視窗250可包含青玉(sapphire)。
氣體分配環290通常包含具有開口之主體,該開口經設置以允許由加熱器模組270提供之能量通過且進入腔室容積218。複數個氣體分配槽道係佈置於該主體內,且佈置於該開口周圍,以提供進入腔室容積218之氣體之徑向分配。舉例而言,在某些實施例中且如第3圖所示,氣體分配環290可包括具有第一表面308之主體301,該第一表面308經設置以將該主體耦接至處理腔室之內表面(諸如,負載鎖定室122之上表面)。
在某些實施例中,可在主體301之頂上(或在蓋318之頂上,如下文論述)提供複數個隔熱墊324,以將至少部分氣體分配環290與腔室主體202隔熱(或移除加熱器模組所產生之不良過剩熱之其他元件,諸如冷卻環(未圖示))。在某些實施例中,隔熱墊324可包含薄薄一片合適之隔熱材料,諸如聚醯亞胺(例如)。該片材料可具有任何合適之形狀,諸如圓盤形、正方形、矩形或諸如此類。在某些實施例中,各隔熱墊324可為具有約0.005吋之厚度及約0.25吋之直徑之聚醯亞胺圓盤。
主體301包括穿過主體301佈置之開口304。凸緣320可經佈置與相對於主體301之第一表面308的開口304之第一端緊鄰。該凸緣向內延伸至開口304中,且經設置以支撐凸緣上之視窗250。複數個氣體分配槽道係佈置於主體301之內,且將在主體301之內形成之氣室流體耦接至佈置於凸緣320中之複數個孔322。該複數個孔322徑向佈置於開口304周圍。在某些實施例中,該複數個孔322可對稱地佈置於開口304周圍。在某些實施例中,該複數個孔322可大致上均勻地佈置於開口304周圍。
主體301可由單個元件或複數個元件製造。舉例而言,在某些實施例中,且如第3圖中進一步詳細描述,氣體分配環290可包含平板302,該平板302具有上表面308,而該上表面308經由側壁312耦接至下表面310。開口304經佈置穿過平板302。在某些實施例中,氣缸306可延伸穿過平板302中之開口304。氣缸306可延伸超出平板302之下表面310。凸緣320可經佈置與氣缸306之第一端317緊鄰,且向內延伸至開口304中。在某些實施例中,凸緣320經設置以支撐一視窗,例如上述之視窗250。
在某些實施例中,凸緣320包含複數個氣體分配槽道(如下文所述),該等槽道分別耦接至凸緣320中形成的該複數個孔322。在某些實施例中,該等槽道延伸穿過氣缸306,且分別流體耦接至氣缸306內形成之一槽道(如下文所述)。在某些實施例中,氣缸306可包括佈置於氣缸306之頂上之蓋318。該蓋318覆蓋該開口,以界定對該複數個氣體分配槽道提供氣體之氣室(如下文所述)。
氣體分配環290可由適用於所進行之特定製程之環境中之任何材料製造,例如金屬、陶瓷或諸如此類。在某些實施例中,氣體分配環290可由能夠傳導產生自加熱器模組270之熱量的材料製造,以便大致上不妨礙定位於基板固持件204、206上之基板之加熱。舉例而言,在某些實施例中,氣體分配環290可由鋁製造。
在某些實施例中,氣體分配環290可由分離式部件(例如,氣缸306、平板302及蓋318)經由例如焊接或熔接(brazing)彼此耦接來構建。在某些實施例中,該等分離式部件可經由複數個緊固件(例如,螺栓、螺釘或諸如此類)彼此耦接。或者,氣體分配環290之一或多個部件可由單件材料製造。舉例而言,在某些實施例中,氣缸306及平板可由單件材料製造。在此類實施例中,蓋318可為分離式的,且經由焊接或熔接耦接至氣體分配環290。
在某些實施例中,複數個通孔314可形成於平板302中,以有助於將平板302耦接至處理腔室之內表面,例如,上述負載鎖定室122之腔室主體202。在某些實施例中,複數個隔熱墊316可佈置於平板302之頂上,以使氣體分配環290與腔室主體202隔熱。
第4圖圖示根據本發明之某些實施例之氣體分配環290的俯視圖。如第4圖所示,蓋318將主體301中或平板302中界定氣室410之槽道(以虛線顯示)覆蓋。在某些實施例中,蓋318可靜置在該槽道之肩部408上,以使得蓋318至少部分佈置於該槽道之內。氣室410將凸緣320中形成的複數個孔322流體耦接在一起,以使得緊鄰各孔之壓力更為均勻,進而促進更均勻的氣流自各個孔322流出且進入負載鎖定室122中。在某些實施例中,該氣室可具有非均勻的橫截面,使得氣室410之第一部分412中之橫截面積大於第二部分414中之橫截面積。在某些實施例中,可緊鄰第一部分412提供來自氣源252之氣體,以便促進更均勻的氣流自各個孔322流出且進入負載鎖定室122中,此氣流係由於在氣體流經第一部分412之較大橫截面積至第二部分414之較小橫截面積時的壓力變化所造成。在某些實施例中,來自氣源252之氣體經由主體301中形成之孔416供應至氣室。在某些實施例中,孔416形成於氣室410之底表面中。
氣體分配環290可包含適合裝配於所要製程裝置之內的任何尺寸。舉例而言,在某些實施例中,氣體分配環290可包含約17吋至約18吋之總長度402,或在某些實施例中約17.79吋之總長度。在某些實施例中,氣體分配環290可包含約15吋至約16吋之寬度404,或在某些實施例中約15.28吋之寬度。此外,開口304及凸緣320可具有適於支撐一製程視窗之任何尺寸(如上文所述)。舉例而言,在某些實施例中,開口304可具有約12吋至約13吋之直徑406,或在某些實施例中約12.6吋之直徑。在某些實施例中,凸緣320可延伸至開口304中,以將該開口之直徑減小至約11吋至約12吋之直徑404,或在某些實施例中約11.325吋之直徑。平板302亦可包括附加特徵結構,例如,平板302之轉角可包含錐形側面406,以有助於處理腔室內之適當裝配,且減小氣體分配環290之總尺寸。
參見第5圖,主體301(或氣缸306)及凸緣320中形成的氣體分配槽道中之每一者(圖示兩個且標示為508)通常可包含一般橫向定向之第一部分504及一般垂直定向之第二部分506。此外,槽道508中之每一者分別流體耦接至複數個孔324中之一孔。儘管第一部分504及第二部分506被圖示為大致上垂直,但第一部分504及第二部分中之各者可以任何合適之角度相對於彼此及氣體分配環290佈置,以有助於均勻氣流穿過槽道504,進而有助於來自氣體分配環290之持續氣體傳送。
氣缸206及凸緣320中可形成任何數量之槽道508,以有助於來自氣體分配環290之氣體傳送。舉例而言,在某些實施例中,氣缸206及凸緣320中可形成兩個或兩個以上槽道508,或在某些實施例中約24個槽道508,或在某些實施例中約23個槽道508。此外,槽道508可以適於按照所要圖案,自氣體分配環290提供氣體傳送之任何設置分佈於氣缸206及凸緣320。舉例而言,在某些實施例中,槽道508可被均勻間隔開,以促進均勻的徑向氣體分配。舉例而言,在氣缸包含24個槽道508之實施例中,槽道508可以約15度之間隔圍繞氣缸206及凸緣320而佈置。
槽道508可包含適於提供所要氣流之任何尺寸。舉例而言,在某些實施例中,槽道508可具有約0.11吋至約0.19吋之直徑。在某些實施例中,孔324可包含與槽道508相同或不同之尺寸。
在某些實施例中,在凸緣320之上表面中可形成O形環,或墊圈、槽道510,以保證當視窗250佈置於凸緣320之上表面上時,一或多個O形環或墊圈518(圖示一個)在視窗250與凸緣320之間提供真空密封。
在某些實施例中,各槽道508之第二部分506之一端503可耦接至氣室410。在此類實施例中,氣室410可在氣缸306內形成連續徑向槽道,進而自氣源(亦即,上述氣源252)提供同步氣體傳送至槽道504中之每一者。在氣室410存在之實施例中,蓋318可佈置於氣缸306之頂上。在某些實施例中,蓋318可包含經設置以裝配於氣室410之內的嵌件520。蓋318可經由適於形成真空密封之任何耦接方式(例如經由焊接、熔接或諸如此類)耦接至氣缸306。
舉例而言,在操作中,氣體分配環290耦接至處理腔室(例如,上述負載鎖定室122)之內表面,從而在氣體分配環290與處理腔室主體之間建立真空密封。包含至少部分透明材料之製程視窗250係佈置於一或多個O形環518之頂上。處理氣體經由氣源(例如,上述氣源252)供應至氣室410,且自複數個孔324經由槽道508分配。在某些實施例中,該氣體沿大致上均勻之徑向圖案分配,例如,複數個孔324中之每一者以相對於彼此不到約1%之壓力差之壓力分配該氣體。此外,在某些實施例中,該氣室與該複數個孔之間的該氣體之壓力降可小於約600托,或在某些實施例中可不到約500毫托。
參見第6圖,在某些實施例中,氣體分配環290可併入附加特徵結構。舉例而言,在某些實施例中,氣體分配環290可包含凹部602、切除部604或經設置以有助於將氣體分配環290適當裝配於處理腔室(亦即,負載鎖定室122)之內的其他特徵結構。
返回第2圖,腔室容積218之壓力可以控制,使得負載鎖定室122可被抽氣以大致上匹配移送室136之環境,且可通氣以大致上匹配工廠介面102之環境。在某些實施例中,腔室容積218之壓力可控制在有助於進行殘餘物移除處理之預定範圍內,如下文之進一步描述。腔室主體202包括一或多個通氣通道230及一泵通道232。通氣通道230及泵通道232定位於腔室主體202兩端,以在通氣及抽氣期間在腔室容積218內誘發層流,以最小化微粒污染。在某些實施例中,一或多個通氣通道230係耦接至氣體分配環290,以提供由一或多個(圖示兩個)氣源252所提供之氣體之徑向分配,同時泵通道232穿過腔室主體202之底部216佈置。通道230、232通常係耦接至閥門212,以選擇性地允許氣流流入和流出腔室容積218。在某些實施例中,高效空氣篩檢程式236(諸如可購自New Jersey之Riverdale之Camfil Farr,Inc.)可經由通氣接線237耦接至腔室主體202。
通氣通道230可經由閥門241另外耦接至氣源252,以經由該氣體分配環將混合氣體提供至腔室容積218中。氣源252可提供進行特定製程所需之任何氣體。舉例而言,在某些實施例中,氣源252可提供氮氣(N2 )、氫氣(H2 )、烷烴、烯烴、氧氣(O2 )、臭氧(O3 )、水蒸汽(H2 O)等等中之至少一者。
在某些實施例中,遠端電漿源(remote plasma source;RPS)248可經耦接至通氣通道230,以促進從基板表面移除殘餘物。遠端電漿源248向負載鎖定室122提供由氣源252提供之混合氣體形成之電漿。在遠端電漿源(RPS)248存在之實施例中,擴散器(未圖示)可佈置於通氣通道230之出口處,以有助於將所產生之電漿傳送至負載鎖定室122中。
在某些實施例中,泵通道232係耦接至諸如可購自總部設在法國巴黎之Alcatel之點處理泵236(point-of-use pump)。點處理泵236可具有低振動產生,以最小化定位於負載鎖定室122之內的固持件204、206上之基板124之擾動,同時藉由最小化負載鎖定室122與泵236之間的流體路徑,而提高抽氣效率且縮短抽氣時間。
第一負載通口238係佈置於腔室主體202之第一側壁208中,以允許在負載鎖定室122與工廠介面102之間移送基板124。第一流量閥244選擇性地密封第一負載通口238,以使負載鎖定室122與工廠介面102隔離。第二負載通口239係佈置於腔室主體202之第二側壁210中,以允許在負載鎖定室122與移送室136之間移送基板124。大致上類似於第一流量閥244之第二流量閥246選擇性地密封第二負載通口239,以使負載鎖定室122與移送室136之真空環境隔離。
第一基板固持件204同軸耦接至佈置於腔室底部216上方之第二基板固持件206(亦即,第一基板固持件204堆疊於第二基板固持件206頂部)。基板固持件204、206通常安裝至環箍220,環箍220係耦接至穿過腔室主體202之底部216延伸的軸282。軸282係耦接至佈置於負載鎖定室122外部之舉升機構296,舉升機構296控制在腔室主體202之內的基板固持件204及206之高度。風箱284係耦接於環箍220與腔室主體202之底部216之間,且佈置於軸282周圍,以在第二基板固持件206與底部216之間提供撓性密封,因此防止來自或進入腔室主體202之洩漏,且在不折衷負載鎖定室122之內的壓力之情況下,促進升高及降低基板固持件204、206。
舉例而言,在操作中,第一基板固持件204可用以固持來自工廠介面102之未處理的基板,而第二基板固持件206用以固持自移送室136返回之經處理的基板(例如,經蝕刻基板)。在通氣及抽氣期間,在負載鎖定室122之內的氣流由於通氣通道230及泵通道232之位置而大致上為層狀,且經設置以最小化微粒污染。
在某些實施例中,溫度控制基座240可經由支撐件278耦接至腔室主體202之底部216。支撐件278可為空心或包括穿過支撐件278之通道,以允許流體、電訊號、感測器等等得以耦接至基座240。或者,基座240可經由第二軸282及舉升機構296活動地耦接至腔室主體202。在該實施例中,支撐件278可包括風箱284。
溫度控制基座240通常包括平臺280,平臺280通常由例如諸如鋁或不銹鋼之導熱材料製造,但可替代地由諸如陶瓷之其他材料組成。平臺280通常具有熱傳遞元件286。熱傳遞元件286可為佈置於平臺280中或佈置於與平臺280之下表面288接觸之流體通道。或者,熱傳遞元件286可為迴圈水套、諸如帕耳帖(Peltier)設備之熱電設備、或可用以控制平臺280之溫度的其他結構。
在某些實施例中,熱傳遞元件286包含與平臺280之下表面288接觸佈置之管道291。管道291係耦接至使流體經由該管道迴圈之流體源294。可視情況對該流體(例如,來自流體源294之設備水)進行熱調節。管道291可與平臺280之下表面288相抵以大致上環形或螺旋形圖案佈置。通常,管道291被熔接至下表面288或與下表面288相抵夾緊,或使用導電黏接劑黏接。視情況地,諸如銅板之導電平板(未圖示)可以替代地佈置於管道291與平臺280之間,以提高橫跨平臺280之寬度之熱傳遞之均勻性。
與基板固持件204、206耦接之基板固持件204、206之環箍220可被降低至第一位置,其中在該第一位置,平臺280之上表面292與經由第二基板固持件206支撐之基板緊密接近或接觸。在該第一位置中,平臺280可用於調節佈置於平臺280上(或與平臺280緊鄰)之基板的溫度。舉例而言,可在負載鎖定室122之抽氣期間,藉由將基板支撐在平臺280之上表面292上,而於負載鎖定室122中冷卻自處理返回之基板。熱能經由平臺280自基板傳遞至熱傳遞元件286,進而冷卻基板。在冷卻基板之後,基板固持件204、206可向腔室主體202之頂部214升高,以允許機器人130、114進入固定於第二基板支撐件206中之基板。視情況地,固持件204、206可降低至一位置,在該位置處,上表面292與經由第一基板固持件204支撐之基板接觸或緊密接近。在此位置中,平臺280可用於對基板進行熱調節及加熱。
在某些實施例中,在操作中,負載鎖定室122有助於在工廠介面102之周圍大氣與移送室136之真空大氣之間移送基板。當負載鎖定室122之內的大氣經調節以與基板將被移送至移送室136或工廠介面102中之移送室136或工廠介面102之大氣相匹配時,負載鎖定室122暫時地容納基板。舉例而言,當負載鎖定室122被通氣為大致大氣壓力,以與工廠介面102之大氣匹配時,第一流量閥244開啟。工廠介面機器人120將未處理基板自FOUP 106A-B中之一者移送至第一基板固持件204。基板隨後被移送至處理腔室110、111、112、132、128、120以進行蝕刻處理。完成蝕刻處理之後,負載鎖定室122中之泵通道232隨後開啟,且負載鎖定室122被抽氣至大致上等於移送室136壓力之壓力。一旦負載鎖定室122與移送室136之內的壓力大致上相等,則第二流量閥246開啟。在負載鎖定室122中,經處理的基板由移送機器人130移送至第二基板固持件206上之位置。一旦移除了移送機器人130之葉片,則第二流量閥246閉合。
在某些實施例中,例如進行蝕刻處理之實施例中,可在負載鎖定室122中進行殘餘物移除處理。在此類實施例中,在殘餘物移除處理期間,第二基板固持件206可向加熱器模組270升高經處理的基板以增加加熱效率,進而將殘餘物轉化成可自負載鎖定室122抽出之非揮發性化合物。在移除處理期間,可供應一或多種處理氣體至負載鎖定室122中以促進殘餘物移除。在經處理的基板表面上之殘餘物已部分或全部自基板表面除氣之後,通氣通道230在負載鎖定室122中開啟,以允許負載鎖定室122中之壓力升高而大致上與工廠介面102中之壓力匹配,進而有助於將經處理的基板移送至FOUP 106A-B。當通氣時,基座240被升高以接觸靜置在第二基板固持件206上之經處理的基板。因此,經處理的基板藉由將熱量經由基座240傳遞至迴圈於管道291中之流體來冷卻。一旦壓力匹配,則第一流量閥244開啟,以允許工廠介面機器人114進入負載鎖定室122,以自第二基板固持件206移除經處理的基板且返回至FOUP 106A-B中之一者。因此,由於基板冷卻處理及負載鎖定室通氣處理同時進行,故總處理時間及迴圈時間減少,且生產力及產量增加。因為當負載鎖定室122中之流量閥244保持開啟狀態時由工廠介面機器人114自第二基板固持件206移除經處理的基板,所以來自FOUP 106A-B之新的未處理基板可被移送至第一基板固持件204上之負載鎖定室122中。
完成移送基板之後,第一流量閥244及通氣通道230閉合。泵通道232隨後開啟,且負載鎖定室122被抽氣至大致上等於移送室136壓力之壓力。一旦負載鎖定室122與移送室136之壓力大致上相等,則第二流量閥246開啟,且移送機器人130接著取回新的未處理基板而定位於第一基板固持件204中,以用於在處理腔室110、112、132、128、120中之一或多者中進行處理,該等處理腔室限制移送室136反復且連續地進行如上所述之蝕刻處理及含鹵素殘餘物移除處理。完成移送基板之後,第二流量閥246閉合以自如上所述之移送室136密封負載鎖定室122。
因此,本發明提供將氣體徑向傳送至處理腔室之裝置。本發明之裝置有利地將大致上對稱及/或均勻之氣體徑向傳送至處理腔室,同時不妨礙自熱源至基板表面之熱傳遞。
雖然前述內容係針對本發明之實施例,但在不脫離本發明之實施例之基本範疇之情況下,可設計本發明之其他及進一步實施例。
100‧‧‧處理系統
102‧‧‧工廠介面
104‧‧‧真空密封處理平臺
106A‧‧‧前開式晶圓傳送盒
106B‧‧‧前開式晶圓傳送盒
108‧‧‧機座
110‧‧‧處理腔室
111‧‧‧處理腔室
112‧‧‧處理腔室
114‧‧‧工廠介面機器人
116‧‧‧葉片
118‧‧‧測量站
120‧‧‧處理腔室
122‧‧‧負載鎖定室
123‧‧‧第一通口
124‧‧‧基板
125‧‧‧第二通口
126‧‧‧終端
128‧‧‧處理腔室
130‧‧‧移送機器人
131‧‧‧活動臂
132‧‧‧處理腔室
136‧‧‧真空基板移送室
138‧‧‧中央處理機(CPU)
140‧‧‧記憶體
142‧‧‧支援電路
144‧‧‧系統控制器
202‧‧‧腔室主體
204‧‧‧第一基板固持件
206‧‧‧第二基板固持件
208‧‧‧第一側壁
210‧‧‧第二側壁
212‧‧‧閥門
214‧‧‧頂部
216‧‧‧底部
218‧‧‧腔室容積
220‧‧‧環箍
230‧‧‧通氣通道
232‧‧‧泵通道
236‧‧‧點處理泵/高效空氣篩檢程式
237‧‧‧通氣接線
238‧‧‧第一負載通口
239‧‧‧第二負載通口
240‧‧‧溫度控制基座
241‧‧‧閥門
244‧‧‧第一流量閥
246‧‧‧第二流量閥
248‧‧‧遠端電漿源
250‧‧‧視窗
252‧‧‧氣源
270‧‧‧加熱器模組
271‧‧‧加熱元件
278‧‧‧支撐件
280‧‧‧平臺
282‧‧‧軸
284‧‧‧風箱
286‧‧‧熱傳遞元件
288‧‧‧下表面
290‧‧‧氣體分配環
291‧‧‧管道
292‧‧‧上表面
294‧‧‧流體源
296‧‧‧舉升機構
301‧‧‧主體
302‧‧‧平板
304‧‧‧開口
306‧‧‧氣缸
310...下表面
308...第一表面/上表面
314...孔
312...側壁
317...第一端
316...隔熱墊
320...凸緣
318...蓋
324...隔熱墊/孔
322...孔
404...寬度
402...總長度
408...肩部
406...直徑
412...第一部分
410...氣室
416...孔
414...第二部分
504...第一部分/槽道
503...端
508...槽道
506...第二部分
518...O形環/墊圈
510...槽道
602...凹部
520...嵌件
604...切除部
上文簡要概述及上文更詳細論述之本發明之實施例,可參閱隨附圖式中圖示之本發明之說明性實施例來理解。然而,應注意隨附圖式僅圖示本發明之典型實施例,且因此不應考慮為限制本發明之實施例的範疇,因為本發明可容許同等有效之其他實施例。
第1圖根據本發明之某些實施例圖示適合與具有氣體分配系統之處理腔室共同使用之示例性處理系統的示意圖。
第2圖根據本發明之某些實施例圖示具有氣體分配系統之負載鎖定室的剖視圖。
第3圖圖示根據本發明之某些實施例之氣體分配環的透視圖。
第4圖圖示根據本發明之某些實施例之氣體分配環的俯視圖。
第5圖圖示根據本發明之某些實施例之第4圖之氣體分配環沿線段5-5的橫截面視圖。
第6圖圖示根據本發明之某些實施例之氣體分配環的仰視圖。
為有助於理解,在可能的情況下,相同元件符號已用於表示該等附圖所共用之相同元件。該等附圖未按比例繪製,且可為了清晰性而簡化。可設想,一個實施例之元件及特徵結構可有利地併入其他實施例而無需進一步詳述。
290...氣體分配環
301...主體
302...平板
304...開口
306...氣缸
308...第一表面/上表面
310...下表面
312...側壁
314...孔
316...隔熱墊
317...第一端
318...蓋
320...凸緣
322...孔
324...隔熱墊/孔

Claims (20)

  1. 一種用於一處理腔室之氣體分配系統,包含:一主體,該主體具有一第一表面,該第一表面經設置以將該主體耦接至一處理腔室之一內表面,該主體具有佈置穿過該主體之一開口;一凸緣,該凸緣經佈置與相對於該主體之該第一表面的該開口之一第一端緊鄰,該凸緣向內延伸至該開口中,且經設置以支撐該凸緣上之一視窗;以及複數個氣體分配槽道,該等氣體分配槽道佈置於該主體內,且將佈置於該主體內及該開口周圍之一槽道流體耦接至佈置於該凸緣中之複數個孔,其中該複數個孔徑向佈置於該凸緣周圍。
  2. 如請求項1所述之氣體分配系統,其中該主體包含:一平板,該平板具有第一表面,該第一表面經設置以將該平板耦接至該處理腔室之該內表面,該平板進一步具有穿過該平板佈置之開口;以及一氣缸,該氣缸在與該第一表面相對之該平板之一側面上自該平板延伸,且具有延伸穿過該氣缸之開口,其中該凸緣經佈置與相對於該平板的該氣缸之一第一端緊鄰。
  3. 如請求項1所述之氣體分配系統,其中該主體進一步包含: 一蓋,該蓋佈置於該槽道之一上部中,以形成一氣室。
  4. 如請求項3所述之氣體分配系統,其中該氣室具有緊鄰一進氣口之一第一橫截面積,該進氣口接收來自一氣體分配源之一氣體,以及佈置於該開口之一相對側面上之一第二橫截面積,其中該第一橫截面積大於該第二橫截面積。
  5. 如請求項1所述之氣體分配系統,其中該複數個氣體分配槽道包含約0.11吋至約0.19吋之一直徑。
  6. 如請求項1所述之氣體分配系統,進一步包含:一視窗,該視窗佈置於該開口之內及該凸緣之頂上,其中該凸緣經設置以與該視窗形成一真空密封。
  7. 如請求項6所述之氣體分配系統,其中該視窗包含石英或青玉(sapphire)中之至少一者。
  8. 如請求項1所述之氣體分配系統,其中當該主體之該頂表面耦接至該處理腔室之該內表面時,該主體之該頂表面經設置以與該處理腔室形成一真空密封。
  9. 如請求項1所述之氣體分配系統,其中該主體包含鋁或不銹鋼中之至少一者。
  10. 如請求項1所述之氣體分配系統,其中該複數個孔對稱佈置於該凸緣周圍,以提供該氣體之一徑向分配。
  11. 如請求項1所述之氣體分配系統,其中該複數個氣體分配槽道包含約兩個或兩個以上槽道。
  12. 一種氣體分配裝置,包含:一處理腔室,該處理腔室具有一基板支撐件;一加熱器模組,該加熱器模組包含經佈置與該基板支撐件之一支撐表面相對之一或多個輻射加熱元件;以及一氣體分配系統,該氣體分配系統耦接至介於該加熱器模組與該基板支撐件之間的該處理腔室,該氣體分配系統包含:一主體,該主體具有一第一表面,該第一表面經設置以將該主體耦接至該處理腔室之一內表面,該主體具有佈置穿過該主體之一開口,該開口在該加熱器模組與該基板支撐件之間提供一視線;一凸緣,該凸緣經佈置與相對於該主體之該第一表面的該開口之一第一端緊鄰佈置,該凸緣向內延伸至該開口中,且經設置以支撐該凸緣上之一視窗;以及複數個氣體分配槽道,該等氣體分配槽道佈置於該主體內,且將佈置於該主體內及該開口周圍之一槽道流體耦接至佈置於該凸緣中之複數個孔,其中該複數 個孔徑向佈置於該凸緣周圍。
  13. 如請求項12所述之氣體分配裝置,其中該主體包含:一平板,該平板具有第一表面,該第一表面經設置以將該平板耦接至該處理腔室之該內表面,該平板進一步具有佈置穿過該平板之該開口;以及一氣缸,該氣缸從該平板向該處理腔室之一內容積延伸,且具有延伸穿過該氣缸之該開口,其中該凸緣經佈置與相對於該平板的該氣缸之一第一端緊鄰。
  14. 如請求項12所述之氣體分配裝置,其中該主體包含:一蓋,該蓋佈置於該槽道之一上部中,以形成一氣室。
  15. 如請求項14所述之氣體分配裝置,其中該氣室具有緊鄰一進氣口之一第一橫截面積,該進氣口接收來自一氣體分配源之一氣體,以及佈置於該開口之一相對側面上之一第二橫截面積,其中該第一橫截面積大於該第二橫截面積。
  16. 如請求項12所述之氣體分配裝置,進一步包含:一視窗,該視窗佈置於該開口之內及該凸緣之頂上,其中該凸緣經設置以與該視窗形成一真空密封。
  17. 如請求項12所述之氣體分配裝置,其中當該主體之頂 表面耦接至該處理腔室之該內表面時,該主體之頂表面經設置以與該處理腔室形成一真空密封。
  18. 如請求項12所述之氣體分配裝置,其中該主體包含鋁或不銹鋼中之至少一者。
  19. 如請求項12所述之氣體分配裝置,其中該複數個氣體分配槽道對稱佈置於該凸緣周圍,以提供該氣體之一徑向分配。
  20. 如請求項12所述之氣體分配裝置,其中該處理腔室為一負載鎖定室。
TW100113701A 2010-04-30 2011-04-20 將氣體徑向傳送至腔室之裝置 TWI489546B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33004110P 2010-04-30 2010-04-30
US12/907,947 US8562742B2 (en) 2010-04-30 2010-10-19 Apparatus for radial delivery of gas to a chamber and methods of use thereof

Publications (2)

Publication Number Publication Date
TW201222659A TW201222659A (en) 2012-06-01
TWI489546B true TWI489546B (zh) 2015-06-21

Family

ID=44857318

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100113701A TWI489546B (zh) 2010-04-30 2011-04-20 將氣體徑向傳送至腔室之裝置

Country Status (6)

Country Link
US (1) US8562742B2 (zh)
JP (1) JP5752238B2 (zh)
KR (1) KR101456894B1 (zh)
CN (1) CN102870200B (zh)
TW (1) TWI489546B (zh)
WO (1) WO2011137010A2 (zh)

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN102495515B (zh) * 2011-12-12 2014-01-15 中国科学院长春光学精密机械与物理研究所 一种适用于空间相机热控制的隔热垫
CN102564139B (zh) * 2012-03-16 2015-02-18 中国恩菲工程技术有限公司 用于冶金炉的加料口装置
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
US9662688B2 (en) 2012-07-09 2017-05-30 Kla-Tencor Corporation Apparatus and method for cross-flow purge for optical components in a chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101800719B1 (ko) * 2012-11-30 2017-11-23 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 가스 유동 장치, 시스템들 및 방법들
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014143846A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
CN104377155B (zh) * 2013-08-14 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘以及等离子体加工设备
JP2015060934A (ja) * 2013-09-18 2015-03-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9530623B2 (en) * 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
US20150147889A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Tilted Plate For Batch Processing And Methods Of Use
US20150155187A1 (en) * 2013-12-04 2015-06-04 Lam Research Corporation Annular baffle for pumping from above a plane of the semiconductor wafer support
CN105097600B (zh) * 2014-04-15 2018-07-06 北京北方华创微电子装备有限公司 一种真空锁定腔室
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN107437521A (zh) * 2016-05-26 2017-12-05 北京北方华创微电子装备有限公司 一种刻蚀机的晶圆传送方法和装置
JP6352993B2 (ja) 2016-08-10 2018-07-04 株式会社東芝 流路構造及び処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6495875B2 (ja) 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
WO2018144020A1 (en) * 2017-02-03 2018-08-09 Hewlett-Packard Development Company, L.P. Thermal control with vapor and isolation chambers
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108538697B (zh) * 2018-05-16 2020-02-21 安徽晟源环保新型材料有限公司 一种等离子刻蚀机
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11779871B2 (en) * 2018-12-21 2023-10-10 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Exhaust module for wafer baking apparatus and wafer processing system having the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11901198B2 (en) * 2019-07-12 2024-02-13 Axcelis Technologies, Inc. Toxic outgas control post process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US20020007791A1 (en) * 2000-06-01 2002-01-24 Takahiro Horiguchi Single-substrate-processing apparatus for semiconductor process
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW200509246A (en) * 2003-05-22 2005-03-01 Axcelis Tech Inc Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
TW200715403A (en) * 2005-09-12 2007-04-16 Matsushita Electric Ind Co Ltd Plasma processing apparatus
TW201009979A (en) * 2008-06-25 2010-03-01 Tokyo Electron Ltd Vacuum processing apparatus

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US20020078893A1 (en) 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
JP2003124206A (ja) * 2001-10-18 2003-04-25 Tokyo Electron Ltd 熱処理装置
KR100739890B1 (ko) * 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7759249B2 (en) 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
CN100527361C (zh) * 2006-12-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 气体分布装置
JP5249547B2 (ja) * 2007-09-28 2013-07-31 東京エレクトロン株式会社 プラズマ処理装置及びそのガス排気方法
WO2010042410A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US20020007791A1 (en) * 2000-06-01 2002-01-24 Takahiro Horiguchi Single-substrate-processing apparatus for semiconductor process
TW200509246A (en) * 2003-05-22 2005-03-01 Axcelis Tech Inc Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
TW200715403A (en) * 2005-09-12 2007-04-16 Matsushita Electric Ind Co Ltd Plasma processing apparatus
TW201009979A (en) * 2008-06-25 2010-03-01 Tokyo Electron Ltd Vacuum processing apparatus

Also Published As

Publication number Publication date
WO2011137010A2 (en) 2011-11-03
TW201222659A (en) 2012-06-01
CN102870200B (zh) 2016-04-13
JP2013526060A (ja) 2013-06-20
JP5752238B2 (ja) 2015-07-22
KR20130023193A (ko) 2013-03-07
US8562742B2 (en) 2013-10-22
WO2011137010A3 (en) 2012-03-08
KR101456894B1 (ko) 2014-10-31
CN102870200A (zh) 2013-01-09
US20110265887A1 (en) 2011-11-03

Similar Documents

Publication Publication Date Title
TWI489546B (zh) 將氣體徑向傳送至腔室之裝置
CN103403852B (zh) 双负载闸配置的消除及剥离处理腔室
TWI407521B (zh) 用以從蝕刻基板有效地移除鹵素殘餘物之設備
US9947559B2 (en) Thermal management of edge ring in semiconductor processing
US20110269314A1 (en) Process chambers having shared resources and methods of use thereof
US20110304078A1 (en) Methods for removing byproducts from load lock chambers
US8287688B2 (en) Substrate support for high throughput chemical treatment system
US8303716B2 (en) High throughput processing system for chemical treatment and thermal treatment and method of operating
US10312116B2 (en) Methods and apparatus for rapidly cooling a substrate
KR20130031236A (ko) 트윈 챔버 프로세싱 시스템
US8303715B2 (en) High throughput thermal treatment system and method of operating
US8323410B2 (en) High throughput chemical treatment system and method of operating
JP6796692B2 (ja) 基板処理装置
WO2010014384A1 (en) High throughput processing system for chemical treatment and thermal treatment and method of operating
JP7229061B2 (ja) 基板のエッチング装置及びエッチング方法
US9587789B2 (en) Methods and apparatus for providing a gas mixture to a pair of process chambers
US9696097B2 (en) Multi-substrate thermal management apparatus
US8115140B2 (en) Heater assembly for high throughput chemical treatment system
TW201535563A (zh) 基板處理裝置、噴淋板及基板處理方法
WO2019039337A1 (ja) インナーウォール及び基板処理装置
TWI847817B (zh) 減少背側基板接觸的基板傳送機制

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees