CN1780936A - 利用其之一被预处理的两处理气体来沉积半导体层的方法和设备 - Google Patents

利用其之一被预处理的两处理气体来沉积半导体层的方法和设备 Download PDF

Info

Publication number
CN1780936A
CN1780936A CNA2004800115387A CN200480011538A CN1780936A CN 1780936 A CN1780936 A CN 1780936A CN A2004800115387 A CNA2004800115387 A CN A2004800115387A CN 200480011538 A CN200480011538 A CN 200480011538A CN 1780936 A CN1780936 A CN 1780936A
Authority
CN
China
Prior art keywords
gas
treatment chamber
block substrate
reactant gases
equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800115387A
Other languages
English (en)
Other versions
CN100582298C (zh
Inventor
格德·斯特劳赫
约翰尼斯·卡普勒
马库斯·莱因霍尔德
伯恩德·舒尔特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron SE
Original Assignee
Aixtron SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron SE filed Critical Aixtron SE
Publication of CN1780936A publication Critical patent/CN1780936A/zh
Application granted granted Critical
Publication of CN100582298C publication Critical patent/CN100582298C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Abstract

本发明涉及一种方法和设备,其用于在设置在反应器(1)的处理室(2)中而且由衬底座(4)承载的至少一个衬底(5)上沉积至少一层、具体而言半导体层。所述层包含至少两种材料组分,所述两种材料组分处于固定的化学计量比且分别以第一反应气体和第二反应气体的形式被引入反应器(1)中,且一部分分解产物形成所述层,藉此具有低热活化能的所述第一反应气体的供给决定了所述层的生长速度,且具有高热活化能的所述第二反应气体被过量供给,更具体而言,通过独立的能量供给来被预处理。所述第一反应气体通过多个设置分布在气体入口构件(3)的表面上的开口(6)在衬底座(4)的方向流动,所述表面与所述衬底座(4)相对设置。根据本发明,第二处理气体在其进入处理室(2)之前被预处理且在衬底座(4)的边缘(19)在衬底座(4)的紧上方进入处理室(2),且平行于衬底座表面流动。

Description

利用其之一被预处理的两处理气体来沉积半导体层的方法和设备
技术领域
本发明涉及一种方法,用于在反应器的处理室中由衬底座承载的至少一个衬底上沉积至少一层、具体而言半导体层,所述层包含至少两种材料组分,所述两种材料组分处于控制的(固定或变化的)化学计量比且分别以第一反应气体和第二反应气体的形式被引入反应器,在反应器中所述反应气体通过能量供给从而被化学分解,且某些分解产物形成了所述层,具有低热活化能的第一反应气体的供给决定了所述层的生长速度,且具有高低热活化能的第二反应气体被过量供给,更具体而言,通过额外的能量供给从而被预处理,第一反应气体通过多个设置分布在气体入口构件的表面上的开口在衬底座的方向流动,所述气体入口构件与衬底座相对设置。
另外,本发明涉及一种设备,具体而言用于执行所述方法,所述设备具有:设置于所述反应器中的处理室,所述处理室具有用于至少一个衬底的衬底座;用于将衬底座加热到处理温度的加热设备;气体入口构件,所述气体入口构件与衬底座相对设置,用于将第一反应气体引入处理室,气体入口构件具有多个用于排出第一反应气体的开口,所述开口设置分布在与衬底座相对设置的气体入口构件的表面上;用于预处理待引入处理室的第二处理气体的设备。
背景技术
CVD***,具体而言MOCVD***被用于生产发光二极管,具体而言绿、蓝和白光发光二极管。为了沉积白发光二极管,将化合物氮化物沉积在半导体表面上。在迄今使用的生产方法中,将第一和第二处理气体,例如以TMG或NH3形式传入处理室,在处理室中所述处理气体分解或彼此反应,且反应或分解产物被沉积在衬底的表面上以形成层或多层。因为材料上的费用,具体而言对于氮氢化物显著高于对于例如TMG的烷基金属的材料的费用,所以例如迄今使用的方法是昂贵的。必须将NH3、PH3或AsH3引入处理室,其浓度比烷基金属高一个量级。虽然氢化物与烷基物相比相对便宜,但是考虑到高消耗,消耗成本大致相同。高消耗是与烷基金属的活化能相比氢化物高的热活化能的结果。
为了促进反应气体的分解,US 4,539,068提出了在气体入口构件和衬底座之间激发等离子体。
US 3,757,733还提出了一种用于该目的的在处理室中的等离子体。
US 6,289,842B1处理MOCVD***中的半导体层的沉积,其中通过喷头将处理气体引入处理室。
反应气体的等离子体预处理还可以从JP 08-167596获知。
WO 01/46498描述了与氢化物分开地引入烷基物。
基于以上略述的情况,由此利用公知的工艺所生产的发光二极管因为成本的原因没有广泛使用,本发明是基于提供允许显著提高流明/成本比例的方法的目的。
发明内容
根据本发明,通过仅将与第一处理气体分开引入处理室的第二处理气体在其进入处理室之前预处理,从而相对于生产成本改善了所获得的光输出。分解产品在衬底座的边缘、在其紧上方进入处理室,且在扩散边界层内平行于衬底座表面扩散。根据本发明提供用于执行该工艺的设备的不同之处在于预处理设备设置在衬底座的边缘用于预处理目的。衬底座优选地为环状的形状,且该环可以围绕其中心旋转。然后将预处理设备设置于该环的中心(在环内边缘)。但是,还提供了线性形式或漏斗形状的处理室。在该情况中,衬底座优选地为矩形或梯形的形状。然后将预处理设备设置于衬托器(susceptor)上游。将优选为三甲基镓的第一处理气体(烷基金属)通过多个开口引入处理室。在该情况中,开口位于与衬底座直接相对的壁中。气体流入的方向相对于衬底座的表面横向地延伸。气体流出的方向相对于气体流入的方向横向地延伸且平行于衬底表面,即,平行于壁。该壁形成喷头形式的气体入口构件。通过其例如氢或氮气的承载气体流入处理室另外的开口设置于处理室的顶部、基于气体流出的方向的气体入口构件的上游和/或下游,该方向平行于衬底座的表面取向。该承载气体的流动与通过气体入口构件中的开口流动的承载气体的流动匹配,以这样的方式来在衬底座上方形成尽可能扁平的扩散/流动边界层。在该情况中,流动/扩散边界层在处理室的下半部分中尽可能地远。将预处理的第二处理气体以该扩散/流动边界层内的基团的形式注入处理室。为了产生该基团,预处理设备优选地具有等离子体产生器或热丝设备或催化剂装置或以上的组合。这用于加热第二处理气体到使得其高程度分解的温度。
用于第一处理气体的气体入口构件中的开口如此接近从而从开口出现的气体喷流并不作为单独的气体喷流碰撞衬底座,但是挤压相对于这些气体喷流的方向横向进入的第二反应气体平铺在衬底座上。这允许为第二反应气体配置的材料的量被显著地减少。然而具有低热活化能的第一处理气体的浓度分布曲线基本是平的,且在衬底座的整个长度上恒定,基团的浓度分布曲线在主气体流动方向减小。但是,在该背景下保证了基团的浓度总是大于衬底紧上方的第一处理气体的浓度。考虑到该设置,第二处理气体的分解产物总是过量地出现。生长速率由第一处理气体的供给决定。被分解的第二处理气体的过量供给意味着沉积的层具有少量的EPD缺陷。缺陷的浓度优选地低于1011cm-2。考虑到该缺少引入缺陷的趋势,可以实现高于现有技术的生长速率,且具体而言高于5μm/h。根据本发明,第二反应气体可以为氢化物。具体而言,胂、磷化氢、氨或UDMH是适合的。这些气体可以通过热和/或催化方法在预处理设备中几乎被完全分解为基团。因此,引入预处理设备的第二反应气体的物质流仅需要稍稍大于引入气体入口构件的第一处理气体的物质流。例如TMG的第一处理气体的物质流通常为几sccm,例如3sccm。引入预处理设备的氢化物气体的物质流仅大致为该水平的三倍。另外,也可以允许引入气体入口构件的承载气体的明显较大的物质流。为了该目的所使用的氮或氢的物质流可以达到大致30slm。考虑到预处理设备内的第二处理气体的基本完全分解,在衬底表面的仅上方的气相中的第二处理气体的分解产物的供给仍然大于分解的或未分解的第一处理气体的供给,其除了TMG外还可以为TMI或其它烷基金属。处理温度可以在宽的范围内变化。它们可以在400℃和1600℃之间。考虑到相对低的物质流和热容,由热预处理的第二反应气体所造成的对反应器内温度分布曲线的负面影响可以忽略。重要的是预处理的氢化物的扩散相对于从CCS喷头出现的烷基气体流被横向地引导。从喷头出现的承载气体与烷基气体一起将预处理的氢化物的流动液压到晶体生长表面上。大量由此得到而通过气体入口构件供给的承载气流物质导致在气体入口构件的位置处的氢化物的如此高稀释物,以至于在气体入口构件上的寄生沉积的形成的反应平衡远小于1。这样的结果为在清洁处理室之间的间隔可以比现有技术中所需的间隔更长。考虑到根据本发明的方案,与现有技术相比氢化物的物质流被减小了100倍。同时,这减小了沉积的层中的缺陷密度,从而由此方法生产的发射UV的发光二极管(GaN)可以在更高的电流下操作,即,具有更高的光输出。
附图说明
基于附图在以下说明根据本发明的设备的示范性实施例,在附图中:
图1显示了具有漏斗形状的处理室的漏斗反应器的简要表示;
图2显示了根据图1的反应器在衬底座上的平面图;
图3显示了可替换的环反应器的径向截面;
图4显示了可替换的环反应器的横向截面;
图5简要绘示了在主气体流动方向上衬底表面紧上方的基团的浓度;
图6显示了反应器的可替换的形式,以与图2中相同的视图示出;
图7显示了根据图3的反应器的可替换形式的反应器;
图8显示了反应器的另一可替换形式;且
图9显示了高度简要表示的另一可替换形成。
具体实施方式
图1示出的反应器1具有壳体(未显示)。在反应器1的壳体内是加热装置13,其可以用于将衬底座1加热到处理温度。其上沉积层的衬底位于衬底座4上。还可以在衬底座4上具有多个衬底5。
处理室2位于衬底座4上方。处理室2在顶部由气体入口构件3划界。该气体入口构件3形成气体逸出表面18,其平行于衬底座4的表面20延伸。气体进入开口6以公知的方式位于气体逸出表面18中。这些气体进入开口6以这样一种方式分布在气体逸出表面18上,使得从气体进入开口6出现和在气体流入方向进入处理室的气体喷流在衬底座4的方向上、位于处理室的高度的中心以下的区域中形成均匀的气流场。但是,相对于气体流入方向11横向取向的流出气体16在流动/扩散边界层12以上建立。
另一些气体进入开口7位于气体进入开口6的上游。还有另一些气体进入开口8位于气体进入开口6的下游。虽然不仅以N2或H2形式的承载气体而且以TMG(三甲基镓)或TMI(三甲基铟)形式的第一处理气体可以通过气体入口构件3的开口6,但是仅承载气体氮气或氢气通过与这些气体进入开口6相邻的气体进入开口7、8进入处理室,来调节衬底座4的上方的边缘区域中且平行于表面20延伸的流动/扩散边界层12。
在图6所示的示范性实施例中,衬底座4当从上面观察时具有矩形表面。在该情况中,处理室在气体流出的方向16上加宽。处理室在气体流出的方向16上具有在整个衬底座上保持恒定的横截面。
图3所示的反应器也具有上述的性质。虽然图1和2所示的反应器的衬底座为梯形的形状,但是图3所示的反应器的衬底座4为环状。多个衬底5定位在该环状衬底座4上。衬底座4可以被旋转驱动。设置于衬底座4上的衬底可以以公知的方式相似地被旋转驱动。衬底座4的加热以公知的方式来实现,或者通过RF加热或通过热辐射。
重要的是仅烷基物与承载气体一起通过被设置为喷头形式的气体流入构件3流入处理室2。可以为NH3、PH3或AsH3的氢化物经由氢化物供给管线15流入预处理设备9。在图1和2所示的示范性实施例中,当在流动的主方向16观察时,预处理设备9位于衬底座的边缘19的上游。在图3所示的示范性实施例中,仅其一半在图中示出的预处理设备9在环状衬底座4内位于圆形内部空间的中心。在该情况中,氢化物的供给15可以从下方来实现。
在图7所示的示范性实施例中,衬底座4也类似地设置为环的形式。
在该情况中,由预处理设备9产生的基团的注入也从衬底座的边缘19来实现。但是,与图3所示的示范性实施例的情况不同,注入从外边缘产生,从而形成相对于衬底表面的压缩扩散。这补偿了耗尽分布。
预处理设备9仅以示意的图例象征地示出。预处理设备9可以为等离子体产生器。但是,预处理设备9优选地为用于热分解氢化物的设备。这可以为“热丝”(hot wire)设备。通过具有被加热到高温的丝的该设备将氢化物分解为基团。氢化物成为基团的分解优选地为基本完全分解。
在示范性实施例中,由预处理设备9中的氨产生的氮基团N+通过逸出通道10传入处理室2中。逸出通道10形成了注入开口且向外敞开至处理室中,且更具体而言至处理室中在衬底座4的表面20的紧上方的扩散边界层中。该情况中的逸出通道10在衬底座的边缘19向外敞开。因此,形成平行于衬底座表面20延伸且相对于气体喷射流体11的流动方向(流入方向)垂直引导的N+流。气体喷流11将氮基团的扩散流挤压至衬底5的表面上。
在图3所示的示范性实施例中,用于容纳预处理设备9的设备为基本圆柱的形式,其为有盖体的空心体的圆柱。在图1和2所示的示范性实施例中,逸出通道10被设置为漏斗的形状。
图4所示的可选择反应器1具有比图1到3所示的示范性实施例的处理室明显要高的处理室2。为了对此进行补偿,类似地为环状的衬底座4在较高的旋转速率下被驱动。这导致流动/扩散边界层12在衬底表面5的上方被“拉平”。因此,容纳预处理设备9的设备在这里不需要盖体。但是,在该情况中,根据工艺参数,有盖体也可能是有利的。从逸出通道10出现并向上引导的基团通过在逸出通道10的紧上方的流动转向为相对于气体喷射流体11的横向方向且平行于衬底座的表面20。
图5显示了从逸出通道10出现的氮基团相对于衬底表面上方的气相中的镓浓度的浓度分布曲线。氮基团过量出现,氮基团的浓度17在主气流16的方向减小。但是,在整个长度(图1和2)或半径(图3和4)上,N+/Ga比保持>1。
在通常的处理温度下,将在2和10sccm之间的三甲基镓的物质流导入处理室2中,通常处理温度可以为400、500、600、700、800、900、1000、1100、1200、1300、1400、1500、1600℃和它们之间或以上的任何温度。通过管线15引入预处理设备9的NH3的物质流仅在低水平,具体而言仅稍稍大于TMG物质流,具体而言仅为2或3倍。相反,通过开口6、7、8引入的承载气体(H2、N2)的物质流比两种反应气体之一的物质流大1000倍。
本发明还另一利用多于两种反应气体来实现。具体而言,除了三甲基镓之外,还可以提供引入处理室2中的三甲基铟或TMAL或DcpMg。还可以引入其它烷基物。另外,还可以取代NH3、PH3和/或AsH3或与其一起将诸如UDMH的任何气体氢化物引入处理室中。这些氢化物优选地也以上述的方式预处理。
可以以浓缩的形式或与承载气体一起将氢化物引入预处理设备9。为了最小化预处理气体的稀释,优选地用很少的承载气体来实现氢化物的引入。在该情况中预处理设备中的温度可以比处理室中的处理温度高或低。
在本发明未示出的变体中,提供有自身可以被旋转驱动的衬底座。在该情况中它们可以安置在旋转驱动的气垫上。优选地将以平面方式设置的衬底架安置在设置于衬底座4中的单独的衬底载体上来被旋转驱动。
在图8所示的示范性实施例中,将两种不同的烷基物引入处理室中。在该情况中,将两种烷基物的每一种导入气体入口构件3的分开的室21、22中。两个室21、22的每个设置有分开的向外敞开至处理室内的气体进入开口6’、6”。这防止了单独的烷基金属之间的过早反应。这种类型的室的细节请参考US 5,871,586。
在图9所示的进一步的示范性实施例中,在特别的预处理设备23中预处理(诸)烷基物。在该情况中,也在预处理设备9中预处理氢化物。在该情况中,氢化物的供给15从上方实现。预处理设备9大致位于处理室的高度。但是,在该情况中,基团的注入也通过直接设置于衬底座4的边缘的逸出通道10来实现。将基团的注入实现为直接注入扩散边界层。
该用于烷基物的另一预处理设备23位于就在处理室的顶部的区域中喷头的区域中。预处理的处理气体与承载气体一起通过气体进入开口6以上述的方式进入处理室。预处理设备23可以为冷却设备。冷却的实现可以通过冷却液或某些其它方式,例如通过气流或通过散热。在该情况中,热可以通过可调节的气体间隙来耗散。
公开了本发明(固有)的所有特征。相关/所附的优先权文件的公开内容(在前申请的复本)由此全部引入在本申请的公开中,部分地考虑在本申请的权利要求中结合这些文件的特征。

Claims (26)

1、一种方法,用于在反应器(1)的处理室(2)中由衬底座(4)承载的至少一个衬底(5)上沉积至少一层、具体而言半导体层,所述层包含至少两种材料组分,所述两种材料组分处于固定的化学计量比且分别以第一反应气体和第二反应气体的形式被引入反应器(1)中,在所述反应器(1)中所述反应气体由于能量供给从而被分解,且某些所述分解产物形成所述层,具有低热活化能的所述第一反应气体的供给决定了所述层的生长速度,且具有高热活化能的所述第二反应气体被过量供给,更具体而言,通过单独的能量供给来被预处理,所述第一反应气体通过多个设置分布在气体入口构件(3)的表面上的开口(6)在衬底座(4)的方向流动,所述气体入口构件(3)与所述衬底座(4)相对设置,其特征在于,所述第二处理气体在其进入处理室(2)之前被预处理且在衬底座(4)的边缘(19)在衬底座(4)的紧上方进入处理室(2),且平行于衬底座表面(20)流动。
2、根据权利要求1所述的方法,其特征在于,所述第二反应气体为氢化物,具体而言为NH3、PH3或AsH3
3、根据前述的权利要求的一个或多个的方法,其特征在于,所述第二反应气体被加热和/或催化预处理。
4、根据前述的权利要求的一个或多个的方法,其特征在于,所述第二反应气体通过等离子体的方法被预处理。
5、根据前述的权利要求的一个或多个的方法,其特征在于,作为所述预处理的产物形成的所述第二反应气体的基团进入所述处理室(2)的物质流仅稍稍大于进入所述处理室(2)中的所述第一反应气体的物质流。
6、根据前述的权利要求的一个或多个的方法,其特征在于,作为所述预处理的产物形成的所述基团对直接在所述衬底上的第一处理气体的比例在所述处理室(2)的整个长度或所述处理室(2)整个半径上>1。
7、根据前述的权利要求的一个或多个的方法,其特征在于,如此高的所述氢化物的分解产物的供给使得可以以高的层质量来实现大于5μm/h的沉积的层的生长速率。
8、根据前述的权利要求的一个或多个的方法,其特征在于,可以实现了少于1011cm-2、少于109cm-2、少于108cm-2的蚀刻坑密度。
9、根据前述的权利要求的一个或多个的方法,其特征在于,处理温度在400和1600℃之间,优选地在500和1200℃之间。
10、根据前述的权利要求的一个或多个的方法,其特征在于,所述预处理的第二处理气体扩散入所述处理室中与流动/扩散边界层(12)内。
11、根据前述的权利要求的一个或多个的方法,其特征在于,所述流动/扩散边界层(12)位于所述处理室(2)的高度的中心以下。
12、根据前述的权利要求的一个或多个的方法,其特征在于,所述流动/扩散边界层(12)由通过开口(7、8)流动的承载气体来调节,所述开口(7、8)在流动的主方向(11)观察时在设置于所述气体构件(3)中的开口(6)的上游和/或下游。
13、根据前述的权利要求的一个或多个的方法,其特征在于,所述第一反应气体为TMG、TMI、TEG、TMAL、DcpMg、DEZn或其它烷基金属。
14、根据前述的权利要求的一个或多个的方法,其特征在于,与所述第一反应气体一起通过气体入口构件(3)被引入处理室(2)的所述承载气体的流动被设置为这样一种方式,使得所述预处理的第二反应气体的流动在所述衬底表面(20)上被压平。
15、一种具体而言用于执行根据前述的权利要求的一个或多个的方法的设备,所述设备具有:设置于所述反应器(1)中的处理室(2),所述处理室(2)具有用于至少一个衬底(5)的衬底座(4);用于将衬底座(4)加热到处理温度的加热设备(13);气体入口构件(3),所述气体入口构件(3)与衬底座(4)相对设置,用于将第一反应气体引入所述处理室,所述气体入口构件(3)具有多个用于排出所述第一反应气体的开口(6),所述开口(6)设置分布在与衬底座(4)相对设置的气体入口构件(3)的表面上;和设备(9),用于预处理待引入所述处理室(2)中的第二处理气体的设备,其特征在于,所述预处理设备(9)以这样一种方式被设置于所述衬底座的边缘(19),使得所述第二反应气体平行于所述衬底座表面(20)在所述衬底座(4)的紧上方,且相对于所述第一处理气体流动的方向(11)横向地流动。
16、根据权利要求15所述的设备,其特征在于,所述衬底座设置为环的形状,且所述预处理设备(9)位于所述环内的空间中。
17、根据权利要求15和16所述的设备,其特征在于,所述衬底座(4)为梯形的形状,且所述预处理设备(9)位于所述梯形的窄边的上游。
18、根据权利要求15到17所述的设备,其特征在于,所述处理室在所述衬底座(4)的上方的横截面面积在所述气体流出的方向(16)上恒定。
19、根据权利要求15到18所述的设备,其特征在于,所述气体入口构件为喷头的形式,且具体而言设置为闭合封盖的喷头,具体而言具有在10mm和75mm之间的处理室高度。
20、根据权利要求15到19所述的设备,其特征在于,开口(7、8)在所述气体流动的主方向(11)的上游和下游相邻于所述气体入口构件(3)中的开口(6),通过所述上游和下游开口(7、8),在横向于所述气体流动的主方向的方向(16)上将承载气体引入所述处理室(2),用于调节扩散/流动边界层的目的。
21、根据权利要求15到20所述的设备,其特征在于,所述预处理设备(9)包括等离子体产生器。
22、根据权利要求15到21所述的设备,其特征在于,所述预处理设备(9)具有加热器,具体而言热丝设备。
23、根据权利要求15到22所述的设备,其特征在于,所述处理室高度为>75mm,且被旋转驱动的所述衬底座(4)的旋转速度为>100rpm,至1000rpm。
24、根据权利要求15到23所述的设备,其特征在于,所述基团的注入在环状衬底座(4)的外边缘发生。
25、根据权利要求15到24所述的设备,其特征在于,所述烷基金属通过分开的气体进入开口(6’、6”)经由包括两个室(21、22)的气体入口***(3)来供给。
26、根据权利要求15到25所述的设备,其特征在于预处理设备(23),其与用于所述烷基金属的气体入口构件(3)相关。
CN200480011538A 2003-04-30 2004-03-22 利用其之一被预处理的两处理气体来沉积半导体层的方法和设备 Expired - Fee Related CN100582298C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10320597.7 2003-04-30
DE10320597A DE10320597A1 (de) 2003-04-30 2003-04-30 Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist

Publications (2)

Publication Number Publication Date
CN1780936A true CN1780936A (zh) 2006-05-31
CN100582298C CN100582298C (zh) 2010-01-20

Family

ID=33394323

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200480011538A Expired - Fee Related CN100582298C (zh) 2003-04-30 2004-03-22 利用其之一被预处理的两处理气体来沉积半导体层的方法和设备

Country Status (8)

Country Link
US (2) US7709398B2 (zh)
EP (1) EP1618227B1 (zh)
JP (1) JP4700602B2 (zh)
KR (1) KR20060003881A (zh)
CN (1) CN100582298C (zh)
DE (1) DE10320597A1 (zh)
TW (1) TWI336733B (zh)
WO (1) WO2004097066A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102056679A (zh) * 2008-06-03 2011-05-11 艾克斯特朗股份公司 聚对苯二亚甲基或聚取代的对苯二亚甲基薄层的沉积方法和装置
CN102881548A (zh) * 2011-07-13 2013-01-16 三星显示有限公司 气相沉积装置和方法以及制造有机发光显示装置的方法
CN103098174A (zh) * 2010-10-20 2013-05-08 应用材料公司 双重递送腔室设计
CN107829075A (zh) * 2012-10-26 2018-03-23 应用材料公司 沉积无氟/碳保形钨的方法
CN110408910A (zh) * 2019-08-16 2019-11-05 中国科学院上海微***与信息技术研究所 高通量气相沉积设备及气相沉积方法

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
KR101185298B1 (ko) 2003-08-20 2012-09-21 비코 인스트루먼츠 인코포레이티드 수직 유동 회전 디스크 반응기용 알킬 압출 유동
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
DE102005056324A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit auswechselbarer Prozesskammerdecke
KR101443665B1 (ko) * 2006-10-06 2014-10-02 비코 인스트루먼츠 인코포레이티드 수직 흐름 회전 디스크 리액터를 위한 밀도 매칭 알킬 압출 흐름
WO2008088743A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
CN101802254B (zh) 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
DE102008026000B4 (de) * 2008-05-29 2012-03-22 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Beschichtung flächiger Substrate
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
JP5383332B2 (ja) * 2008-08-06 2014-01-08 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
KR101309359B1 (ko) * 2009-08-27 2013-09-17 가부시키가이샤 알박 진공 처리 장치 및 진공 처리 방법
DE102009043960A1 (de) 2009-09-08 2011-03-10 Aixtron Ag CVD-Reaktor
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
TW201122149A (en) * 2009-12-31 2011-07-01 Univ Nat Chiao Tung Reactor, chemical vapor deposition reactor, and metal organic chemical vapor deposition reactor
JP5490584B2 (ja) * 2010-03-18 2014-05-14 スタンレー電気株式会社 気相成長装置
US20110237051A1 (en) 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
US20120149210A1 (en) * 2010-07-30 2012-06-14 Colvin Ronald L Systems, apparatuses, and methods for chemically processing substrates using the coanda effect
KR101165326B1 (ko) * 2010-10-06 2012-07-18 주식회사 유진테크 대칭형 유입구 및 유출구를 통해 반응가스를 공급하는 기판 처리 장치
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
DE102011054566A1 (de) * 2011-10-18 2013-04-18 Aixtron Se Vorrichtung und Verfahren zum Abscheiden mehrkomponentiger Schichten, insbesondere metallorganischer Halbleiterschichten
SE536605C2 (sv) * 2012-01-30 2014-03-25 Odling av kiselkarbidkristall i en CVD-reaktor vid användning av klorineringskemi
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
WO2014103727A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 SiC膜成膜装置およびSiC膜の製造方法
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
DE102016118345A1 (de) 2016-08-01 2018-02-01 Aixtron Se Konditionierverfahren für einen CVD-Reaktor
TWI612176B (zh) * 2016-11-01 2018-01-21 漢民科技股份有限公司 應用於沉積系統的氣體分配裝置
CN111058012B (zh) * 2018-10-17 2023-03-21 北京北方华创微电子装备有限公司 进气装置及半导体加工设备
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
JP2021114541A (ja) * 2020-01-20 2021-08-05 大陽日酸株式会社 気相成長装置
CN114351118A (zh) * 2020-10-13 2022-04-15 东部超导科技(苏州)有限公司 Mocvd反应***及rebco高温超导带材的制法
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method
TWI790028B (zh) * 2021-12-09 2023-01-11 財團法人工業技術研究院 沉積設備及沉積方法

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3408982A (en) * 1966-08-25 1968-11-05 Emil R. Capita Vapor plating apparatus including rotatable substrate support
US3608519A (en) * 1968-12-31 1971-09-28 Texas Instruments Inc Deposition reactor
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
BE760041A (fr) * 1970-01-02 1971-05-17 Ibm Procede et appareil de transfert de masse gazeuse
US3633537A (en) * 1970-07-06 1972-01-11 Gen Motors Corp Vapor deposition apparatus with planetary susceptor
US3757733A (en) * 1971-10-27 1973-09-11 Texas Instruments Inc Radial flow reactor
US3783822A (en) * 1972-05-10 1974-01-08 J Wollam Apparatus for use in deposition of films from a vapor phase
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
GB2089840B (en) * 1980-12-20 1983-12-14 Cambridge Instr Ltd Chemical vapour deposition apparatus incorporating radiant heat source for substrate
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
JPS59207631A (ja) * 1983-05-11 1984-11-24 Semiconductor Res Found 光化学を用いたドライプロセス装置
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
JPS61215288A (ja) * 1985-03-19 1986-09-25 Masayoshi Umeno 半導体製造方法
JPH0750701B2 (ja) * 1985-04-01 1995-05-31 日電アネルバ株式会社 放電反応装置
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US4800105A (en) * 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US4823735A (en) * 1987-05-12 1989-04-25 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
JPS6484717A (en) * 1987-09-28 1989-03-30 Furukawa Electric Co Ltd Semiconductor thin film vapor growth apparatus
US4813053A (en) * 1987-09-30 1989-03-14 Spectra-Physics, Inc. Method and apparatus for preionizing a self-sustained gas discharge device
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JPH0812847B2 (ja) * 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
EP0537854B1 (en) * 1991-10-18 1997-09-10 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device whereby a layer of material is deposited on the surface of a semiconductor wafer from a process gas
JP3045854B2 (ja) * 1991-12-02 2000-05-29 大同ほくさん株式会社 半導体製造装置およびその使用方法
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
JP2987663B2 (ja) * 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
JPH0677136A (ja) * 1992-08-27 1994-03-18 Hitachi Cable Ltd 化合物半導体薄膜結晶の気相成長方法及び気相成長装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07111244A (ja) * 1993-10-13 1995-04-25 Mitsubishi Electric Corp 気相結晶成長装置
US5558721A (en) * 1993-11-15 1996-09-24 The Furukawa Electric Co., Ltd. Vapor phase growth system and a gas-drive motor
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3305509B2 (ja) * 1994-08-29 2002-07-22 日本電信電話株式会社 半導体発光素子およびその作製方法
IT1271233B (it) * 1994-09-30 1997-05-27 Lpe Reattore epitassiale munito di suscettore discoidale piano ed avente flusso di gas parallelo ai substrati
JP3353514B2 (ja) 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5920797A (en) * 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
JPH10326750A (ja) * 1997-03-24 1998-12-08 Mitsubishi Electric Corp 高品質GaN系層の選択成長方法、高品質GaN系層成長基板および高品質GaN系層成長基板上に作製した半導体デバイス
US6217662B1 (en) * 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
JPH11200052A (ja) * 1998-01-13 1999-07-27 Nissin Electric Co Ltd 化学的気相成長装置
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
JP4069966B2 (ja) * 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
JP2000031060A (ja) * 1998-07-10 2000-01-28 Hitachi Cable Ltd Iii−v族化合物半導体気相エピタキシャル成長方法及び成長装置
JP2000124195A (ja) * 1998-10-14 2000-04-28 Tokyo Electron Ltd 表面処理方法及びその装置
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6368404B1 (en) * 1999-04-23 2002-04-09 Emcore Corporation Induction heated chemical vapor deposition reactor
JP4790914B2 (ja) * 1999-05-13 2011-10-12 ヴィーコ・プロセス・イクウィップメント・インコーポレーテッド 基板上に材料をエピタキシャル成長させるための方法と装置
JP2001023959A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP3514186B2 (ja) * 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
WO2001046498A2 (en) 1999-12-22 2001-06-28 Aixtron Ag Chemical vapor deposition reactor and process chamber for said reactor
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
TWI334888B (zh) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
JP2002110564A (ja) * 2000-10-02 2002-04-12 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
DE10057134A1 (de) * 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
JP4583591B2 (ja) * 2000-12-15 2010-11-17 東京エレクトロン株式会社 処理方法及び処理装置
KR20020088091A (ko) * 2001-05-17 2002-11-27 (주)한백 화합물 반도체 제조용 수평 반응로
JP2002373863A (ja) * 2001-06-15 2002-12-26 Hitachi Ltd 化合物半導体のエピタキシャル成長方法及び成長装置
KR20020095842A (ko) * 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
TW559905B (en) * 2001-08-10 2003-11-01 Toshiba Corp Vertical chemical vapor deposition system cross-reference to related applications
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
JP2003119564A (ja) * 2001-10-12 2003-04-23 Tokyo Electron Ltd 成膜方法及びプラズマcvd装置
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
WO2003104525A1 (ja) * 2002-06-10 2003-12-18 東京エレクトロン株式会社 処理装置及び処理方法
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7049606B2 (en) * 2003-10-30 2006-05-23 Applied Materials, Inc. Electron beam treatment apparatus
JP4396847B2 (ja) * 2004-12-22 2010-01-13 Smc株式会社 除電装置付きエア浮上装置及び該浮上装置における除電方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102056679A (zh) * 2008-06-03 2011-05-11 艾克斯特朗股份公司 聚对苯二亚甲基或聚取代的对苯二亚甲基薄层的沉积方法和装置
CN102056679B (zh) * 2008-06-03 2015-01-14 艾克斯特朗股份公司 聚对苯二亚甲基或聚取代的对苯二亚甲基薄层的沉积方法和装置
CN103098174A (zh) * 2010-10-20 2013-05-08 应用材料公司 双重递送腔室设计
CN102881548A (zh) * 2011-07-13 2013-01-16 三星显示有限公司 气相沉积装置和方法以及制造有机发光显示装置的方法
CN102881548B (zh) * 2011-07-13 2016-12-07 三星显示有限公司 气相沉积装置和方法以及制造有机发光显示装置的方法
CN107829075A (zh) * 2012-10-26 2018-03-23 应用材料公司 沉积无氟/碳保形钨的方法
CN110408910A (zh) * 2019-08-16 2019-11-05 中国科学院上海微***与信息技术研究所 高通量气相沉积设备及气相沉积方法
CN110408910B (zh) * 2019-08-16 2020-08-28 中国科学院上海微***与信息技术研究所 高通量气相沉积设备及气相沉积方法

Also Published As

Publication number Publication date
DE10320597A1 (de) 2004-12-02
EP1618227A1 (de) 2006-01-25
US20060121193A1 (en) 2006-06-08
CN100582298C (zh) 2010-01-20
TWI336733B (en) 2011-02-01
US7709398B2 (en) 2010-05-04
JP4700602B2 (ja) 2011-06-15
EP1618227B1 (de) 2011-07-13
JP2006524911A (ja) 2006-11-02
KR20060003881A (ko) 2006-01-11
TW200427859A (en) 2004-12-16
WO2004097066A1 (de) 2004-11-11
US20100012034A1 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
CN100582298C (zh) 利用其之一被预处理的两处理气体来沉积半导体层的方法和设备
KR101691558B1 (ko) 플라즈마 증착
EP0502209B1 (en) Method and apparatus for growing compound semiconductor crystals
US9273395B2 (en) Gas treatment systems
KR101534560B1 (ko) 실린더형 가스 유입 요소를 구비하는 mocvd 반응기
KR102152786B1 (ko) 필름 형성 장치 및 방법
US6875273B2 (en) Method and system for manufacturing III-V Group compound semiconductor and III-V Group compound semiconductor
CN101495675B (zh) 具有多个进口的化学气相沉积反应器
US20120272892A1 (en) Metal-Organic Vapor Phase Epitaxy System and Process
JP4840832B2 (ja) 気相成長装置、気相成長方法、および半導体素子の製造方法
TWI503867B (zh) Cvd製程及cvd反應器
CN103098175A (zh) 具有气体注射分配装置的喷头组件
US20040013801A1 (en) Method for depositing in particular crystalline layers, and device for carrying out the method
JP2011168492A (ja) 基板上に材料をエピタキシャル成長させるための方法と装置
JP2011222592A (ja) 気相成長装置及び気相成長方法
US20150000596A1 (en) Mocvd gas diffusion system with gas inlet baffles
CN112695302B (zh) 一种mocvd反应器
CN102031498B (zh) 用于iii-v族薄膜生长反应室的基片支撑座、其反应室及工艺处理方法
TWI740090B (zh) 用於電子元件的基於石墨烯之接觸層
US20100307418A1 (en) Vapor phase epitaxy apparatus of group iii nitride semiconductor
CN102234792B (zh) 悬喷式mocvd反应器
TW201317385A (zh) 氣相成長裝置
SE544378C2 (sv) Anordning och förfarande för att åstadkomma homogen tillväxt och dopning hos halvledarwafer med diameter större än 100 mm
KR20030071098A (ko) 질화갈륨 기판의 제조 장치
KR20090101157A (ko) 수직 흐름 회전 디스크 리액터를 위한 밀도 매칭 알킬 압출 흐름

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100120

Termination date: 20130322