CN1737991A - 高产量等离子体处理室 - Google Patents
高产量等离子体处理室 Download PDFInfo
- Publication number
- CN1737991A CN1737991A CNA2005100565309A CN200510056530A CN1737991A CN 1737991 A CN1737991 A CN 1737991A CN A2005100565309 A CNA2005100565309 A CN A2005100565309A CN 200510056530 A CN200510056530 A CN 200510056530A CN 1737991 A CN1737991 A CN 1737991A
- Authority
- CN
- China
- Prior art keywords
- pedestal
- process chamber
- substrate support
- heater
- cap assemblies
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000012545 processing Methods 0.000 title claims abstract description 101
- 238000000034 method Methods 0.000 claims abstract description 131
- 239000000758 substrate Substances 0.000 claims abstract description 106
- 238000010438 heat treatment Methods 0.000 claims abstract description 94
- 239000000919 ceramic Substances 0.000 claims abstract description 42
- 229910052782 aluminium Inorganic materials 0.000 claims abstract description 19
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims abstract description 19
- 238000010926 purge Methods 0.000 claims abstract description 15
- 239000002245 particle Substances 0.000 claims abstract description 12
- 229910052594 sapphire Inorganic materials 0.000 claims abstract description 12
- 239000010980 sapphire Substances 0.000 claims abstract description 12
- 230000002829 reductive effect Effects 0.000 claims abstract description 7
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 170
- 230000008569 process Effects 0.000 claims description 114
- 239000007789 gas Substances 0.000 claims description 38
- 238000000429 assembly Methods 0.000 claims description 25
- 230000000712 assembly Effects 0.000 claims description 25
- 239000000463 material Substances 0.000 claims description 22
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical group [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 21
- 238000009832 plasma treatment Methods 0.000 claims description 11
- 238000009413 insulation Methods 0.000 claims description 10
- 238000001816 cooling Methods 0.000 claims description 9
- 238000010276 construction Methods 0.000 claims description 8
- 229910010293 ceramic material Inorganic materials 0.000 claims description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 4
- 238000003825 pressing Methods 0.000 claims description 3
- 229910052786 argon Inorganic materials 0.000 claims description 2
- 230000005611 electricity Effects 0.000 claims description 2
- 239000001307 helium Substances 0.000 claims description 2
- 229910052734 helium Inorganic materials 0.000 claims description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 2
- 239000011261 inert gas Substances 0.000 claims description 2
- 230000000630 rising effect Effects 0.000 claims description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims 2
- 239000004020 conductor Substances 0.000 claims 2
- 230000005540 biological transmission Effects 0.000 claims 1
- 229910052757 nitrogen Inorganic materials 0.000 claims 1
- 238000011065 in-situ storage Methods 0.000 abstract description 2
- 238000012423 maintenance Methods 0.000 abstract description 2
- 230000000284 resting effect Effects 0.000 abstract 2
- 210000002381 plasma Anatomy 0.000 description 66
- 239000012212 insulator Substances 0.000 description 24
- 230000002708 enhancing effect Effects 0.000 description 10
- 238000007789 sealing Methods 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 9
- 239000006227 byproduct Substances 0.000 description 8
- 230000008602 contraction Effects 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- 239000000047 product Substances 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 239000004411 aluminium Substances 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 230000003321 amplification Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 238000012544 monitoring process Methods 0.000 description 3
- 238000003199 nucleic acid amplification method Methods 0.000 description 3
- 238000007665 sagging Methods 0.000 description 3
- 230000035882 stress Effects 0.000 description 3
- 238000013475 authorization Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000006835 compression Effects 0.000 description 2
- 238000007906 compression Methods 0.000 description 2
- 238000006073 displacement reaction Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000003754 machining Methods 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000004033 plastic Substances 0.000 description 2
- 229920003023 plastic Polymers 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000032683 aging Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000005219 brazing Methods 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 235000019628 coolness Nutrition 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 230000007717 exclusion Effects 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- -1 polytetrafluoroethylene Polymers 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- 230000002035 prolonged effect Effects 0.000 description 1
- 239000003566 sealing material Substances 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 230000007306 turnover Effects 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/02—Details
- H01J2237/022—Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
本发明的实施例涉及用于等离子体处理室的装置和方法,其相对于现有技术需要较少的维护和停机时间且改善了可靠性。在一个实施例中,所述装置包括放在陶瓷轴上的衬底支撑,允许在大气压力下电连接到衬底支撑的内轴,放在陶瓷支座结构上而非固定到陶瓷支座结构上的铝制衬底支撑,模压进衬底支撑的蓝宝石静止点,以及在衬底支撑中以阿基米德螺线排列的加热元件,可减少衬底支撑的翘曲并增加其寿命。所述方法包括减少从处理室表面产生的颗粒,从而增加在处理室的各次就地清洁之间的时间。借助处理室部件的温度控制并利用吹扫气体,减少了颗粒的产生。
Description
技术领域
本发明的实施例一般涉及处理室,更具体地说涉及一种半导体器件或平板显示器的处理室。
背景技术
在半导体和平板器件制造行业中,由于减少器件成本的竞争压力,提高器件的成品率并减少处理室停机时间——即不能利用处理室进行处理的时间——的需求,变得更加重要。但是,改善半导体器件成品率的日益严格的衬底工艺要求往往导致更多的停机时间。这部分要归因于处理室在操作时的工艺参量的可接受范围狭窄。为了监控处理室的不同方面的性能,要由给定的处理室周期性地处理多个不同的测试衬底或“处理监控指标(process monitor)”,以确定处理室是按规定操作的,即处理是“在控制之下的”。衬底处理室的典型的工艺监控指标包括淀积膜的厚度的均匀性、淀积膜的边缘排除、检测到的大于指定尺寸的缺陷数等。如果处理监控指标显示处理室有问题,例如,每个衬底的颗粒计数增加超过了最大容许水平,则认为衬底处理室“失控”。只要任何处理室的处理监控指标被确定为失控,则该处理室必须脱离流水线并解决问题,一给定处理监控指标的容许范围越小,则越经常出现这一情况。同样对处理室停机时间产生影响的是关键处理室元件的寿命缩短。这是由于在处理室的苛刻环境中延长使用之后,元件完全失效或简单地不能起到其所应起的作用。反复暴露在高温和反应性强的处理用化学制剂的作用下,便可能由于变形或腐蚀而改变元件的临界尺寸,或引发其灾难性的损坏。即使某些处理室元件在形状上微小的翘曲或其它变化也会对衬底上的淀积膜的均匀性产生严重的影响。
在半导体处理室中,一个关键的处理监控指标是所处理的衬底上的允许缺陷——常常是颗粒——的数目。在衬底上检测到的高颗粒计数导致额外的用于确定原因并加以校正的处理室停机时间。在半导体器件制造处理室中,一个常见的颗粒源是不需要的处理副产品的生长,这些不需要的处理副产品淀积在等离子体处理室元件上,或以化学方式损害(即腐蚀或侵蚀)等离子体处理室元件。淀积的副产品或者被腐蚀或有凹痕的处理室表面随时间而倾向于释放颗粒,导致在处理室中受到处理的衬底上的颗粒缺陷。若在半导体制造处理期间利用高压等离子体处理或高等离子体功率,则情形尤其如此;处理气体和/或所产生的等离子体更易于泄漏出处理室的处理区并形成沉淀。而且,这些淀积在其淀积表面经历大的温度波动时,非常可能剥落或产生颗粒。
为了防止由具有侵害性的处理用化学制剂,和/或在化学气相淀积(CVD)、等离子体汽相淀积(PVD)和等离子体蚀刻处理室中产生的等离子体对半导体处理室元件的损害,所有的暴露元件都是用不会在处理期间或者清洁步骤中受到损害或腐蚀的材料制成的,或者是用这种材料涂敷的。陶瓷材料如氧化铝(非晶Al2O3)被用来防止化学制剂和等离子体环境所造成的损害。在用上述材料制造处理室的各个元件(如处理室壁、真空管等)是不切实际或不可能的情况下,常常将活动的或可替换的护罩结合到衬底处理室的设计中,以便保护这些元件。但是在处理室内部增加元件存在增加处理室成本和内部表面积的缺点。在处理室中较大的表面积延长了在处理之前对处理室抽真空的时间,增加了处理室的停机时间。而且,尽管护罩确实保护了处理室的内部构件,使其不受反应性处理气体和淀积的影响,但护罩并不能防止处理产物累积到其自身上。因此,在处理室中,处理副产品的淀积仍然是一个颗粒污染源。
只要由于与处理副产品的损害或淀积相关的问题,对应于颗粒计数的处理室的处理监控指标超过期望值,通常便进行就地(in-situ)处理室清洁。就地清洁处理的持续时间直接与所要除去的淀积材料的厚度和表面积有关。但是,因为就地处理室清洁防碍了装置进行处理,并因此而被认为增加了停机时间,所以尽可能少进行就地处理室清洁。因此,常常使就地处理室清洁处理的频率和持续时间最小化。
处理室停机时间的另一个来源是由于磨损或由于元件的意外损坏而引起的处理室元件的替换。易受故障困扰的元件是等离子体处理室的加热组件以及这一组件的众多构成部件。加热组件除了是一种较昂贵的元件之外,其替换也是费时的,因此其可靠性方面的任何改善都将会对处理室的停机时间产生积极影响。这种组件一般由加热器基座、发热元件、基座温度传感器和RF偏置电源、及被固定到基座底部的支撑轴构成,其中发热元件布置在加热器基座中的空腔内,基座温度传感器和RF偏置电源同样也布置在加热器基座内。在加热组件的各元件中,易于在使用中发生故障或变形的元件是加热器基座、在加热器基座内的加热元件、穿入加热器基座内的电导孔(feed-through)以及在加热器基座的表面上的衬底接收表面。
基座的主要用途是支撑衬底。加热器被设置用来加热基座,并由此而加热衬底。为了得到高器件成品率,关键是要在处理室中进行处理的时候均匀地加热衬底。铝制加热器基座提供了高度的加热均匀性和等离子体均匀性,以及较高的加热元件可靠性,但是易于变形,而这最终使均匀性降低;在处理温度下,铝的强度不足以保持完好的刚性,并且随着时间流逝,基座会下垂并且翘曲。而且,基座内的加热元件的不均匀布置产生较热和较冷的区域,从而使基座翘曲。陶瓷加热器基座在处理温度下是刚性的,但是存在成本较高,并且与铝制加热器相比,所提供的加热均匀性和等离子体均匀性较差的问题。如果受到不正确的约束,加热组件中的某些元件的热膨胀也可能促使基座翘曲。例如,当处于处理温度下的时候,固定到加热器基座底部的长支撑轴可能对基座施加向上的力。而且,加热器基座本身在处理衬底期间也将在径向上膨胀和收缩。
加热器基座内部的加热元件也可能随着时间的流逝而损坏。图5示意性地表示一个典型的加热器基座201内部的发热元件202和203的典型排列的平面图。发热元件202在导孔202a处进入基座201并在导孔202b处引出。发热元件203在导孔203a处进入基座201并在导孔203b处引出。发热元件202和203是经过设计的,为的是使基座201的加热均匀性达到最佳。然而,因为基座是对应于每个晶片周期性地进行加热的,所以只要在处理室中进行处理,就会导致元件202和203的显著的热膨胀和热收缩。这种发热元件在导孔处的机械疲劳是基座加热器的一种常见的故障机理。另外,在图5中还展示了导致加热器基座翘曲的减少加热的区域。区域206是一个“冷点”,并且围绕导孔202a、202b、203a和203b的区域207是另一个“冷点”。区域207是“冷点”,其原因在于电加热元件在其穿入加热器基座的位置产生的热量较少。对于机械强度,加热元件的电线在上述位置的直径大于内部的发热元件其余部分的直径。电线较粗使得电阻减少,导致发热元件的该部分所产生的热量较少。
等离子体处理室的加热器基座通常具有许多从下面进入其内部的电连接,包括发热元件的电源以及温度传感器和RF偏置的布线。因为基座通常位于处理室的内部,所以加热器基座的整个底面一般为真空。这要求在所需要的电连接进入基座的位置处是真空密封的。这种密封必须在高温下稳定、不导电、耐热,并且适合真空。当电连接的真空密封离加热器非常近时,找到能可靠地满足上述密封要求的材料是有问题的。
为了更好的加热均匀性,衬底一般不直接放置在加热器基座的表面上。因为无论衬底还是基座表面都不能制造成绝对的平,所以衬底将仅在几个离散点接触到基座的表面,因此而受到不均匀的加热。故此改为在基座的表面上固定或机械加工出多个静止点(rest points)或其它部件,导致在等离子体处理期间,衬底被从基座的表面上稍微升起。而当在加热器基座上处理了大量衬底之后,加热器基座表面上的这些静止点或部件便会磨损。可以使用可替换的——并且因此也是可拆卸的静止点,但这对基座的设计来说明显增加了复杂性。当上述的静止点或部件使用了螺纹紧固件时,便引入了在等离子体处理室内产生死体积(dead volumes)的可能性。如果静止点的材料具有不同于基座本身材料的热膨胀系数,则拧入基座表面的可拆卸的静止点还可能在加热器基座的表面引起热应力,产生额外的翘曲源。
因此,为了减少处理室的停机时间,并改善可靠性和降低处理室元件和消耗品的成本,需要改善的半导体加工处理室装置,以及能够减少或防止对处理元件的损害的方法。
发明内容
本发明一般的说包括用于等离子体处理室的装置和方法,与现有技术相比,需要较少的维护和处理室停机时间,并且改善了处理的可靠性。
本发明包括通过减少在处理室的内部表面上积聚的处理产物或损害的比率,使得在等离子体处理室的各次就地清洁之间的允许时间最大化。本发明的装置包括在处理室与衬底支撑之间减小的缝隙,以最小化进入处理室的下部并随后沉淀在处理室表面上的处理产物。本发明的装置还包括用于加热和冷却两方面的喷头的温度控制***,以使温度波动最小化,以及用于处理室主体的加热装置,以改善在下部处理室中的不希望有的处理产物的淀积。所述装置还包括在处理室的盖支座与绝缘体之间的衬垫,以便更好地使该绝缘体热绝缘,并且减小绝缘体内部的温度梯度。本发明的方法包括将喷头和处理室壁的温度控制到稳定的最佳温度。本发明的方法还包括用吹扫气体使下部的处理室增压,从而防止处理产物进入。
本发明还包括用于等离子体处理的改善的加热组件。上述改善的加热组件包括混合型铝/陶瓷加热器基座。上述加热组件还包括双壁支撑轴。上述加热组件还包括用于基座内部的发热元件的单个穿入电导孔。发热元件在加热器内部是按照阿基米德螺线来设置的。用弹簧张力对固定到加热器基座的中心的内支撑轴施加一个向下的力。这个力抵消了在基座的中心的向上的力,上述在基座的中心的向上的力是由基座的顶部上的真空与底部上的大气压所产生的。本发明的加热组件还包括蓝宝石球,这些蓝宝石球被模压(swaged)到加热器基座的支持表面上作为静止点。
附图说明
以上以简略方式概述的本发明的上述特征可以参考实施例来详细地领会,其中一些特征在附图中作了说明。然而应当注意,这些附图仅示出了本发明的若干典型实施例,因此不认为附图限制了本发明的范围,因为本发明可以允许其它的等效实施例。
图1示出了与本发明的一个实施例结合的单晶片等离子体处理室的透视图,为了清楚起见除去了上面的部件。
图2示出了图1所示的等离子体处理室的垂直剖视图,此图是沿图1中的线2-2取得的。
图3示出了图1所示的等离子体处理室的局部放大剖视图,此图是沿图1中的线2-2取得的。
图4示出了图1所示的等离子体处理室的示意剖视图。
图5示出了在加热器基座内部的发热元件的一种现有技术结构的示意性平面图。
图6示出了用于图1所示的等离子体处理室的一个加热组件的示意性垂直剖视图,此图大体上是沿图1中的线2-2取得的。
图7示意性地示出了加热器基座的一个实施例的放大剖视图,其中在加热器基座上放置有一衬底。
图8示出了加热器基座的一个实施例的放大剖面透视图,其中详细展示了提升杆(lift pin)通孔和加热器基座的对准部件。
图9示出了加热器基座的一个实施例的平面图。
图10示意性地示出了一个陶瓷支座与多个径向定向对准槽的其中一个对准槽的实施例的透视图。
图11示意性地示出了提升指形部件的一个实施例的垂直透视图。
图12A示意性地示出了双灯丝管状加热元件。
图12B示意性地示出了现有技术的单灯丝管状加热元件。
图13示出了阿基米德螺线的一个例子。
图14示意性地示出了用于图1所示的等离子体处理室的一个加热组件的局部垂直剖视图,此图大体上是沿图1中的线2-2取得的。
具体实施方式
本发明的实施例一般涉及用于改善的半导体等离子体处理室的装置和方法。
图1示出了单衬底等离子体处理室5,该等离子体处理室结合了本发明的一个实施例。为清楚起见未显示这种处理室的典型的顶部组件。顶部组件包括RF源、气体分配装置、气体箱和远程等离子源。
等离子体处理室5的处理室主体30固定到一个底盘上(图中未示),该底盘包括晶片传送***(图中未示)和***支撑构件(图中未示)。底盘和***支撑构件被设计用于在真空下从衬底处理***的一个区域传送衬底,将衬底输送到等离子体处理室5,并且当在等离子体处理室5中的工序完成时,取出衬底。为在真空下将衬底从底盘传送到等离子体处理室5,设置了狭缝阀开口31(参见图2)。狭缝阀门(图中未示)适于通过相对于密封表面32形成密封,使得等离子体处理室5与底盘密封隔离。在一个实施例中,等离子体处理室5被集成到适于进行单衬底处理的衬底处理装置中。在另一个实施例中,等离子体处理室5是一对处理室的其中之一,并且这对处理室被集成到适于同时进行双衬底处理的衬底处理装置中。
等离子体处理室5可以被集成到ProducersReactor中,ProducersReactor可在市场上从美国加利福尼亚州的Santa Clara的应用材料有限公司购到。在2000年7月5日提出,于2002年12月17日获得授权,名称为“Apparatus for Distributing Gases in a Chemical Vapor DepositionSystem”,转让给同一受让人的美国专利第6,495,233号中详细介绍了等离子体处理室5,在此将该专利引入作为参考。在2002年12月20日提出,名称为“Blocker Plate Bypass Design to Improve Clean Rate at theEdge of the Chamber”,转让给同一受让人的美国专利申请第10/327,209号(案卷号APPM 7816)中,更详细地介绍了处理室5的顶部组件,包括气体分配部件、气体箱和远程等离子源,在此将该专利申请引入作为参考。虽然本发明的实施例是参考Producer Reactor来介绍的,但是也可以采用其它CVD反应器或等离子体处理室,例如DXZ处理室来实施本发明的各种实施例,上述DXZ处理室也可在市场上美国加利福尼亚州的Santa Clara的应用材料有限公司购到。在2002年4月2日获得授权的受让人相同的美国专利第6,364,954 B2号中公开了DXZ处理室,在此也将该专利引入作为参考。
图2示出了本发明的等离子体处理室5的透视和局部剖视图。等离子体处理室5包括顶部组件(图中未示)、盖组件6、盖支座22(示于图3中)和下部处理室组件8。顶部组件包括安装在盖组件6顶部上的一个气体分配部件、一个或多个气体箱和一个远程等离子源。如图3所示,盖组件6固定到盖支座22上,盖支座22则安装在下部处理室组件8的顶部上。下部处理室组件8包括处理室主体30、处理室主体加热器27、加热组件13和提升组件40。如图2所示,加热组件13通过在处理室主体30的底板中的开口39穿入处理室主体30。开口39是用波纹管(为清楚起见未示出)与大气压密封隔离开的。该波纹管以真空密封的方式固定到处理室主体30的底部和外支撑轴15的表面321上(参见图6),允许加热组件13相对于等离子体处理室5垂直运动。如图2所示,提升组件40包括一个提升环41和至少三个提升杆42,并且位于处理室主体30的内部和加热器基座12的下面。加热组件13包括加热器基座12、边缘环16、陶瓷支座14、内轴304(也称为提升管)、内部加热元件(图中未示)、热电偶340(示于图14中)和外支撑轴15。铝制加热器基座12和陶瓷支座14的使用综合了标准的铝制加热器(低成本以及高度的温度均匀性和等离子体均匀性)与陶瓷加热器的高刚性的优点。重新参考图6,外支撑轴15通过开口39穿入处理室主体30。陶瓷支座14放置在外支撑轴15上,加热器基座12放置在陶瓷支座14上,边缘环16则放置在加热器基座12上。热电偶340(在图14中示出)附着到加热器基座12上,并且可以在衬底处理期间用来监控加热器基座12的温度。重新参考图6,提升管304固定到加热器基座12的底部,并被置于外支撑轴15之内。在图6中还更祥细地示出了加热组件13。外支撑轴15和提升管304形成加热器基座12和陶瓷支座14的双壁支撑轴,这种双壁支撑轴允许在内轴中有空气的情况下,电导孔进入加热器基座中,同时保持支撑轴内的其余空间处于真空下。这种电导孔与现有技术相比,较少出现故障。
在一个实施例中,提升杆42的底部被固定到提升环41上。在另一个实施例中,提升杆42没有被固定到提升环41上,而代之以从加热器基座12垂下。在这一实施例中,提升杆42也不固定到加热器基座12上,而是放置在具有直径319a(参见图8)的提升杆通孔323(参见图8和9)之内。提升杆42被楔形的提升杆端部325(参见图11)支撑在通孔323中。提升杆端部325的直径大于通孔直径319a,并且提升杆轴326(参见图11)的直径小于通孔直径319a。当加热组件13被向下放以便传送衬底到机械臂托板时,提升杆42的底端327垂到加热器基座12和陶瓷支座14的下面并接触到提升环41。在提升杆42接触到提升环41之前,提升杆端部325不凸出到衬底接收表面12a的平面之上。本实施例使得在加热器基座12中的提升杆通孔323的直径能够尽可能的小。由于在处理期间加热器基座12的热膨胀,如果提升杆42固定到提升环41上,则在通孔323与提升杆42之间可能发生大范围的运动。这要求通孔323的直径大得足以适应提升杆42与其相应的通孔323之间的相对运动。在一个实施例中,以配重部件328附着于每个提升杆42的底端,以便当加热器基座12移到下部处理室72中的一定位置且衬底被放置在提升杆42上时,将提升杆42的重心移动到处于加热器基座12下面的位置。
如图3所示,盖组件6包括喷头10、发热元件28、绝缘体1 8、渗漏环(leak-by ring)20、隔热层24、盖支座22和顶部组件(图中未示)。在一个实施例中,发热元件28为电阻加热元件,该元件安装到喷头10上,具有从大约100W到大约1000W的额定功率,优选功率约为400W。盖支座22以真空密封的方式安装到处理室主体30的顶部,并支撑其余的盖组件6的各元件。隔热层24安装在盖支座22与绝缘体18之间,并在这两个元件之间形成真空密封。当在处理室5中激发等离子体时,绝缘体18使盖组件6与顶部组件彼此电绝缘。绝缘体18是用诸如某种坚固的适合真空的电绝缘材料制成的,例如像氧化铝这样的陶瓷。在一个实施例中,隔热层24使得绝缘体18到盖支座22的热传导减至最小,从而使绝缘体18内部的热梯度达到最小。在陶瓷材料部件中若存在高热梯度便可能导致破裂,当陶瓷部件承受负荷时尤其如此。通过隔热层24所提供的额外热绝缘,便使得绝缘体18内部的热梯度达到最小,减少了绝缘体18破裂的可能性。隔热层24是由诸如某种适合真空的塑性材料(例如PTFE、聚四氟乙烯等)制成的。
如图3所示,绝缘体18、盖支座22、渗漏环20和处理室主体30构成了真空室60,真空室60连接到处于等离子体处理室5外部的真空泵(未示出)。真空室60通过绝缘体18中的多个真空口19连接到真空区74(示于图4中)。当加热组件13处在处理位置(如图1、3和图4所示)时,真空区74一般包括处理区70(在图3和图4中示出)和下部处理室72(在图2和3中示出)。真空口19围绕处理区70的周边排列,以便从处理区70均匀地除去处理气体。下部处理室72一般定义为:当加热组件13向上处在处理位置(如图2和3所示)并且位于处理室主体30之内时,在加热组件13下面的区域。
通过利用安装在底盘中的机械臂(未示出)将衬底传送进等离子体处理室5中。将衬底传送到等离子体处理室5中的过程一般需要以下步骤:将加热组件13移到狭缝阀31下面的下部处理室72底部的位置,机械臂通过狭缝阀31将放在机械臂托板(未示出)上的衬底传送到处理室5中,使用提升组件40使衬底升起离开机械臂托板,机械臂再从等离子体处理室5缩回,加热组件13将衬底升起离开提升杆42并移到靠近喷头10的处理位置(位于处理区70中),完成对衬底的处理室处理步骤,加热组件13下降到底部位置(将衬底放置于提升杆42上),机械臂伸入处理室5,提升组件40向下移动而将衬底放在机械臂托板上,然后机械臂从等离子体处理室5缩回。在一个实施例中,在如上所述的衬底处理期间,提升杆42不是固定到提升环41上的,而是代之以放置在提升杆通孔323中。在这一实施例中,加热组件13将衬底抬升离开提升杆42,并且当其向上移动到靠近喷头10的处理位置的时候,还使提升杆42升起离开提升环41。当完成对衬底的处理室处理步骤且加热组件13下降到底部位置时,提升杆42接触提升环41并停止与加热器基座12一起向下移动。因为加热器基座12继续向下移动到底部位置,所以衬底随后即被放在提升杆42上,而提升杆42是放置在提升环41上的。
图4示出了等离子体处理室5在衬底处理期间的示意剖视图。当在处理室5中处理衬底时,处理气体流入处理区70,并且在衬底的表面上发生材料的淀积,直到形成所需要的膜为止。可选择的是,可以通过在处理室内形成处理气体的等离子体和/或通过加热衬底来强化淀积过程。衬底一般是由加热器基座12加热到所需处理温度的。在一个实施例中,加热器基座12工作于大约400℃到大约480℃处理温度下。每个一段时间,便在处理室5上执行就地清洁,以便从暴露在处理区70中的所有表面上,包括面板10、绝缘体18、加热器基座12和边缘环16以及在下部处理室72中的表面上,除去淀积的处理副产品材料。各次就地清洁之间的间隔时间长度是根据淀积材料的类型、淀积了多少材料以及衬底对颗粒污染的敏感度而确定的。在2002年12月20日提出,名称为“Blocker Plate Bypass Design to Improve Clean Rate at theEdge ofthe Chamber”,转让给同一受让人的美国专利申请第10/327,209号(案卷号APPM 7816)中,全面介绍了用于执行等离子体增强化学气相淀积(PE-CVD)和用于执行等离子体处理室的就地清洁的方法和装置,在此将该专利申请引入作为参考。图4示出了处理气体或清扫气体的流动路径“B”,这条路径从外部气体源(未示出)到被顶部组件(未示出)和喷头10所包围的喷头区域,穿过喷头10进入处理区70,再通过真空口19进入真空室60,且随后离开等离子体处理室而到达远程真空泵(未示出)。
在一个实施例中,加热器基座12包括热量产生装置或者可以加热被放置在或安装在衬底接收表面12a上的衬底的装置(参见图6)。加热器基座12可以由诸如金属或陶瓷材料这样的材料制成,其内部嵌入或包含上述热量产生装置。
在一个实施例中,加热器基座12采用电阻式加热元件(未示出)来加热在处理室5中所处理的衬底。在这一实施例中,只在加热器基座12中布置了单个电加热元件。该电加热元件为一种双灯丝管状加热器,即,发热元件由封装在单个外壳中、彼此电绝缘而在一端电连接、构成单个的双灯丝发热元件的两个平行灯丝组成。因此,管状加热器的电连接都处于发热元件的一端。这示意性地展示于图12A中。电加热元件402的大直径电线401穿过电导孔(未示出)进入加热器基座12。灯丝403和404都处于护套408a中,但彼此电绝缘。灯丝403在一端电连接到大直径电线401,并在发热元件402的末端405电连接到灯丝404。灯丝404连接到大直径电线406,大直径电线406则穿过电线401所使用的同一导孔而穿出加热器基座12。发热元件402布置在加热器基座12中,用单个机械连接点即对应于电线401和406的电导孔,连接到加热器基座12。端部405在加热器基座12中保持不受约束。因为发热元件402只有一端受到机械约束,所以在发热元件402的加热和冷却期间,与现有技术相比,发热元件402在电线401和406处所受到的扭力大幅减小。端部405随发热元件402的膨胀和收缩自由地移动。因此,与这种用途中的典型发热元件如图5所示的发热元件202和203相比,发热元件402的故障少得多。因为发热元件202和203在每一端都是固定的,所以它们不能随热膨胀和收缩而自由地移动,因此,它们每次周期性地通电和断电时都受到显著的扭转。与发热元件402相反,传统的电加热元件407(如图12B所示)在护套411中仅包含单灯丝409,且因此而必须在发热元件407的每端都有电连接。大直径电线408穿过电导孔(未示出)进入加热器基座12。发热元件407被布置在加热器基座12中,其方式类似于典型的现有技术的加热器基座201(参见图5)中的发热元件202和203。重新参考图12B,发热元件407中的灯丝409在发热元件407的一端电连接到大直径电线408,并在发热元件407相对一端电连接到大直径电线410。电线410穿过第二电导孔而引出到加热器基座12之外。发热元件407需要有两个电导孔进入加热器基座12,一个导孔用于电线408,而另一个用于电线410。
在加热器基座12的一个实施例中,内部加热元件为一种双灯丝元件(未示出),并且以阿基米德螺线的形式布置在加热器基座12中。阿基米德螺线的布置方案被用来保证在处理衬底时,热量均匀分布在整个加热器基座12上。阿基米德螺线是由方程r=aθ来表示的,其中a为用来定义螺旋线的“紧密度”的常数。在图13中示出了阿基米德螺线的一个例子。内部加热元件的所有电连接均通过位于加热器基座12的中心的单一一个电导孔(未示出)进入和引出加热器基座12。在图13中,阿基米德螺线501的中心对应于图12中的电线401和电线406,而且图13中的螺旋线502的末端对应于发热元件402的端部405。对于加热器基座12的内部加热元件来说,阿基米德螺线布置方案通过将电源的数量从两个或四个减少到仅为一个,并通过为发热元件提供一种更为均匀的排列方式,而消除了冷点。由于热量更为均匀地分布于加热器基座12中,便减小了加热器基座12在处理期间翘曲的可能性,并且衬底的受热更加均匀。在一个实施例中,加热器基座12中的用于提升杆42的通孔不是落在同一个螺栓分布圆(bolt circle)上,也就是说,它们从加热器基座12的中心点径向位移不同的距离。在提升杆42a(参见图2)是与狭缝阀开口31相对设置的多个提升杆42的其中之一的实施例中,提升杆42a及其对应的通孔与其它提升杆42相比,位于离加热器基座12的中心点更远的位置。提升杆通孔的这种不对称的排列避免了与未经修改的阿基米德螺线结构的加热器基座12的内部加热元件的排列的冲突,保证了衬底的均匀加热。另外,提升杆42a被放置得离狭缝阀开口31更远,便使得机械臂托板能够更大,从而可以改善传送衬底进出处理室5的可靠性。更大的机械臂托板海可以适应具有更大表面面积的光学传感器,从而能够更可靠地检测是否在机械臂托板上存在衬底。
为了适应当操作时在高温下发生的加热器基座12明显的热膨胀,加热器基座12既不固定到外支撑轴15上也不受外支撑轴15的约束,而是放置或者说“浮置”在外支撑轴15上。这样就防止了当加热器基座12固定到外支撑轴15时,特别是当外支撑轴15是由比加热器基座12的热膨胀系数更低的材料如氧化铝制成的时候,将会发生的翘曲。在一个实施例中,布置在外支撑轴15的顶端的环形部件309与位于加热器基座12的底部的基座对准部件310配合,以便相对于外支撑轴15和处理室5准确地确定加热器基座12的中心(参见图6和图14)。基座对准部件310以倾斜面或曲面310a来接触外支撑轴15,从而能够适应加热器基座12的热膨胀(参见图14)。因此,加热器基座12能够准确地在处理室5中确定其中心,而无需被固定到在处理温度下会导致翘曲的其它处理室元件上。在一个实施例中,外支撑轴15可以利用某种对准部件如径向凸出件,与加热器基座12上的对应的对准部件如径向槽相配合,以相对于处理室5限定加热器基座12的转动位置。在另一个实施例中,外支撑轴15可改为利用某种对准部件如径向凸出件,与陶瓷支座14上的对应的对准部件如径向槽相配合,以相对于处理室5来转动安装陶瓷支座14。因此,加热器基座12在转动方向上的对准是相对于处理室5而被准确地限定的,不会在处理温度下使加热器基座12翘曲。
在一个实施例中,加热器基座12不固定到陶瓷支座14上,而是由图8所示的对准部件319相对于陶瓷支座14旋转定位,其中对准部件319可以凸出到加热器基座12的底面322的下方。对准部件319与设置在陶瓷支座14中的对应的对准槽320相配合。对准槽320适合相对于陶瓷支座14准确地限定加热器基座12的转动位置,但允许对准部件319在径向上不受约束地移动。因为加热器基座12的热膨胀大于陶瓷支座14,所以在衬底处理期间,会发生对准部件319相对于对准槽320的径向移动。因为对准槽320是具有长度320b的径向取向的槽,其中长度320b显著大于对准部件319的外径319b(参见图8和图10),所以对准部件319的这种径向移动不受对准槽320的约束。但是槽的宽度320a是与对准部件319的外径319b紧密配合的尺寸。图10示出了在陶瓷支座14中,槽的宽度320a和槽的长度320b以及槽320的径向取向之间的关系。因此,加热器基座12与陶瓷支座14的旋转关系是受到准确限定的,不会因热膨胀和收缩而引起加热器基座12翘曲。在一个实施例中,对准部件319是嵌入或压进加热器基座12中的陶瓷插脚,并且凸出到加热器基座12的底面322之下,以便与陶瓷支座14中的对准槽320配合(参见图8)。在另一实施例中,对准部件319起到供加热器基座12和陶瓷支座旋转定位、且供作每个提升杆42的通孔的双重作用。在这个实施例中,对准部件319还是空心圆筒,其中心孔具有必需的直径319a以容纳提升杆42,并且位于加热器基座12中以根据需要容纳每个提升杆42(参见图8和图9)。
参考图7,衬底接收表面12a的尺寸相对于要在处理室5中受到处理的衬底的外尺寸更大,从而允许加热器基座12的热膨胀和收缩。在一个实施例中,通过将多个小蓝宝石球318模压到衬底接收表面12a的表面中,改变了衬底接收表面12a(参见图7)。蓝宝石球318是均匀分布在衬底接收表面12a上的,具有相等直径,并供作接触点使用,衬底316在处理期间便被放置于处理室5中的这些接触点上。模压进表面12a中的蓝宝石球318的数量最少为三个,但优选是多至九个,参见图9,该图展示了一个在衬底接收表面12a上排列蓝宝石球318的实施例。由蓝宝石球318形成的接触点防止衬底316直接接触到衬底接收表面12a,从而可均匀加热,并保持衬底317的顶面与加热器基座12的周边外表面311共线,以均匀地处理衬底(参见图7)。用于这一用途的蓝宝石球的直径是由其被模压进入接收表面12a的深度、加热基座12的接收表面12a与周边外表面311这两个平行表面之间的距离330、及衬底317的厚度确定的。为了防止产生“虚泄漏”——即在真空处理室中会大幅增加真空泵停机时间的截留体积(trappedvolumes),蓝宝石球318是以不使其后面出现死体积的方式被模压进衬底接收表面12a的。
陶瓷支座14由适合等离子体处理气体、且在处理温度下保持刚性的材料例如像氧化铝这样的陶瓷来制造。陶瓷支座14是一种环形结构部件,用来支撑加热器基座12,以防止当加热器基座12处于处理温度下时因应力松弛而导致下垂和/或翘曲。通过消除加热器基座12的下垂,陶瓷支座14允许使用为加热器基座12设计的全铝基座,这种全铝基座具有更高的温度均匀性、更高的等离子体均匀性、更高的内部电连接可靠性和比其它基座更低的成本。在一个实施例中,当加热器基座12工作时,与外支撑轴15配合并放在外支撑轴15上的陶瓷支座14的内侧径向表面313(参见图6)的结构允许热膨胀。例如,陶瓷支座14的内侧径向表面313既不固定到外支撑轴15上也不受外支撑轴15的约束,而是放置或“浮置”在外支撑轴15上。另外,陶瓷支座14具有与对准部件319对准的径向对准槽320,这些径向对准槽以精确的方式使加热器基座12和陶瓷支座14在旋转方向上得到定位,并允许加热器基座12相对于陶瓷支座14不受约束地热膨胀和收缩(参见图8)。
外支撑轴15是用于加热器基座12和陶瓷支座14的支撑结构。附着于外支撑轴15上的提升组件(未示出)用来升起和降下加热组件13,使之到达处理位置(示于图2、图3和图4中)和狭缝阀开口31下面的传送位置(未示出)。用一段波纹管(未示出)在外支撑轴15的外侧表面与处理室主体30之间形成密封。外支撑轴15是空心的,这个空心通到等离子体处理室5的内部。在一个实施例中,用以制成外支撑轴15的材料使得从加热器基座12到处理室主体30、或其它处理室部件的热传导最小化,上述材料例如是在处理室5的温度下仍具有较高机械强度的陶瓷材料,如氧化铝。将这样的材料用于制造外支撑轴15,大大减小了由外支撑轴15的热膨胀和收缩引起的应力以及由这些应力引起的加热器基座12的相应翘曲。提升管304布置在外支撑轴15的内部并且平行于外支撑轴15。提升管304以真空密封的方式,例如铜焊或焊接,来固定到加热器基座12的底部。在一个实施例中,提升管304固定到加热器基座12的位置312处于对准部件310内部的加热器基座12的中心,如图6所示。介于加热器基座15与提升管304之间的区域307通到等离子体处理室5的内部,因此在处理室5工作的时候该区域为真空。在提升管304内部的区域308则总是通到大气压力,允许所有进入加热器基座12的底部的电导孔在大气中形成连接。由于所有对加热器基座12的电连接均在大气中,故无需使用适合高温和真空的密封。这样就延长了加热组件13的寿命,改善了加热组件13及其内部电连接的可靠性,并且简化了加热组件13和加热器基座12的安装和组装。对加热器基座12的电连接可包括电加热元件的电源、热电偶连接线和RF偏置导线。在一个实施例中,加热器基座12、布置在加热器基座12内部的发热元件(未示出)、附着于加热器基座12上的热电偶340(示于图14)、布置在提升管304内部的热电偶套管341(示于图14)、及提升管304是焊接在一起的,在安装到处理室5中之前作为一个单独的电组件。
在区域308中,加热器基座12的底部暴露于大气压力下,因此当处理室5处于真空状态时,有一个向上的力作用在加热器基座12的中心(参见图6)。当在处理温度下操作时,这一向上的力可以使加热器基座12翘曲。为了抵消这种向上的力,将一大小相等而方向向下的弹簧力施加到提升管304上。因此,当加热器基座12的一个区域处于处理温度时,可以暴露于大气压力下而没有翘曲的风险。在一个实施例中,是用传统的弹簧来对提升管304施加向下的力的。而在另一实施例中,则是通过利用卡箍306,将处于压缩状态的真空波纹管305固定到提升管304上,从而产生作用于提升管304的向下的弹簧力。波纹管305(示于图6)与附着到处理室主体30的底部和外支撑轴15的表面321(参见图6)的波纹管(图中未示)不同,后一种波纹管允许加热组件13相对于等离子体处理室5垂直运动。而使真空波纹管305压缩所需的力则向下推卡箍306,卡箍306又向下推提升管304。通过在装配期间调整真空波纹管305的压缩位移量,便可以增大或减小上述施加到提升管304上的向下的力。在一个实施例中,真空波纹管305是以真空密封的方式,例如借助于密封圈(未示出)和密封圈槽(未示出),而附着到外支撑轴15上的,如图6所示。在该实施例中,真空波纹管305还以类似的真空密封方式附着到卡箍306上。并且在这个实施例中,在卡箍306中引入真空密封材料(未示出)如适合真空的聚合物或塑料,并且密封真空区307,使之与大气压力隔开。因此,真空区307沿被真空波纹管305包围的提升管304的外表面向下延伸,到达卡箍306的密封表面。
在一个实施例中,在加热器基座12上放置边缘环16(参见图2和图3),并用适合等离子体处理气体且具有较小热膨胀系数的材料例如像氧化铝这样的陶瓷材料制造边缘环16。在边缘环16与绝缘体1 8之间的缝隙“A”被有意设计得足够的小,因此当加热组件12处于处理位置时(如图2和图3所示),泄漏到下部处理室72内的处理气体和等离子体是最少的(参见图4)。重要的是,因为边缘环16的外径限定了缝隙“A”的尺寸(参见图4),所以边缘环16的材料产生最小的热膨胀。
通过使用注入到下部处理室72的吹扫气体,可以在下部处理室72与处理区70之间产生压力差,由此进一步防止了处理气体泄漏到下部处理室中。边缘环16与绝缘体18之间的缝隙“A”在大约0.010与大约0.060英寸之间,优选在大约0.020与大约0.040英寸之间。吹扫气体可以从下部处理室中的吹扫通道,如上通道36和下通道34注入。在一个实施例中,吹扫气体为惰性气体,如氦气或氩气。在另一实施例中,在衬底处理期间,吹扫气体的流量足以保持下部处理室72的压力,使之处在高于处理区70中压力值的压力下。通过防止等离子体和处理气体泄漏到下部处理室72中,需要用来防止下部处理室组件受到损害的护罩的数量将大为减少,因此就减少了当等离子体处理室5中处理过许多衬底之后的消耗成本和就地清洁时间。在等离子体处理室5的真空区74中护罩较少还使得处理室的抽真空时间减少。通过防止等离子体和处理气体泄漏到下部处理室72中,可使***部件如狭缝阀(未示出)的损害最小化,由此减少***的维护停机时间。通过利用缝隙“A”和吹扫气体,仅需要较少的处理气体来进行所需要的处理,因为处理区漏泄出来的处理气体的数量减少了,所以减少了昂贵的并且往往是危险的化学药品的消耗。在一个实施例中,用线条“C”来示意性地显示出吹扫气体的流动路径:从下部处理室72穿过缝隙“A”,再穿过真空口19进入真空室,然后向外进入真空泵。在另一个实施例中,吹扫气体的流动路径“D”可以从上通道36穿过真空口19进入真空室,然后向外进入真空泵。
在本发明的一个实施例中,用来加热喷头10和绝缘体18的发热元件28可以被用来减少处理室5中颗粒的产生。当不在处理室5中处理衬底时,通过发热元件28的工作可以防止喷头10和绝缘体18冷却。喷头10和绝缘体18的冷却属于这样一种类型的温度波动:在处理室5中促使淀积的处理副产品剥落,从而对正在接受处理的衬底造成颗粒污染。当在处理室5中没有衬底接受处理的时候,若使这些部件保持在相对较高的温度下——最好大约为200℃,则喷头10和绝缘体18的温度波动最小。这是因为在衬底处理期间,使用较高等离子体功率进行的处理易于将喷头10和绝缘体18加热到至少200℃。使用发热元件28将这些元件保持在高于200℃的温度是可能的,但是密封圈在温度高于204℃时发生老化。发热元件228需要用来使喷头10和绝缘体18达到200℃的功率是根据特定应用而不同的,举例来说,300mm硅烷氧化物处理便要求以500W功率操作发热元件228。在一个实施例中,以温度传感器如热电偶29附着于喷头10上,以便控制加热元件28。
在本发明的一个实施例中,当在处理室5中处理衬底、且等离子体的能量将喷头10和绝缘体18加热到超过200℃时,可以通过冷却喷头10和绝缘体18来减小这些部件的温度变化。在一个实施例中,采用外部空气冷却,并且用附着于喷头10上的温度传感器如热电偶29来进行控制。当测得的喷头10的温度高于一给定值温度,理论上大约200℃时,处理室5外部的风扇便接通而直接冷却盖组件6的暴露表面上的空气。在另一实施例中,则采用不同的冷却方法例如水冷。
在本发明的一个实施例中,处理室主体30的内表面由安装或嵌入到处理室主体30的各个壁中的一个或多个处理室主体加热器27(参见图1和2)保持在高温下。在一个实施例中,处理室的各壁总是保持在等于或高于160℃的温度下,而无论是否正在处理室5中处理衬底。这样就显著阻碍了由在下部处理室72的内壁上淀积的处理副产品所产生的颗粒。
虽然上文致力于说明本发明的实施例,但是不脱离其基本范围,尚可构想出本发明其它的和进一步的实施例,并且本发明的范围是由所附的权利要求书来确定的。
Claims (33)
1.一种具有顶部壁、底部壁和侧壁的等离子体处理室,包括:
一处理区,其形成于所述顶部壁、所述侧壁和一衬底支撑部件之间,该衬底支撑部件在所述底部壁上方而与之间隔开;
至少一个真空口,其设置在一侧壁中,并与所述处理区连通;
一缝隙,其形成于所述衬底支撑部件与所述侧壁之间;以及
一吹扫气体源,其被设置用于提供穿过所述缝隙而进入所述处理区的吹扫气体。
2.根据权利要求1的装置,其中形成于所述衬底支撑部件与所述侧壁之间的所述缝隙在0.010与0.060英寸之间。
3.根据权利要求1的装置,其中形成于所述衬底支撑与所述侧壁之间的所述缝隙在0.020与0.040英寸之间。
4.根据权利要求1的装置,进一步包括一等离子体处理加热组件,其中该加热组件包括:一支撑轴,一布置在该支撑轴上的陶瓷加热器支撑结构,以及一布置在该陶瓷加热器支撑结构上的铝制加热器基座。
5.一种具有顶部壁、底部壁和侧壁的等离子体处理室,包括:
一处理区,其形成于所述底部壁、所述侧壁和一衬底支撑部件之间,该衬底支撑部件在所述底部壁上方而与之间隔开;
一等离子体处理加热组件,其中该加热组件包括一支撑轴、一布置在该支撑轴上的陶瓷加热器支撑结构、及一布置在该陶瓷加热器支撑结构上的铝制加热器基座。
6.一种等离子体处理室,包括:
一处理室主体,其包括处理室壁、处理室底板和盖支座;
一盖组件,其位于所述盖支座上;
一处理区,其形成于所述盖组件与一衬底支撑部件之间;
一下部处理室区域,该下部处理室区域是在所述衬底支撑部件处于处理位置的情况下,由所述等离子体处理室的底板和处理室壁以及所述衬底支撑部件的底部形成的;
一冷却***,其适于在所述处理室进行等离子体处理时,防止所述盖组件的温度上升超过最佳给定值;
一加热装置,其适于在所述等离子体处理室不进行等离子体处理时,防止所述盖组件的温度降低到低于最佳给定值;
一另外加热***,其适于加热所述下部处理室区域的壁;以及
一隔热层,其布置在所述盖组件与所述盖支座之间。
7.根据权利要求6的装置,其中所述冷却***是基于风扇的,并且所述风扇是由布置在所述盖组件上的热电偶控制的。
8.根据权利要求6的装置,其中所述加热***包括嵌入所述盖组件的周边的一个或多个电阻加热器,并且所述加热器是由布置在所述盖组件上的热电偶控制的。
9.根据权利要求6的装置,其中所述另外加热***包括嵌入所述处理室的下部处理室区域的壁中的一个或多个电阻加热器。
10.根据权利要求6的装置,其中所述隔热层是由适合真空的聚合物材料制成的。
11.一种等离子体处理加热组件,包括:
一支撑轴;
一布置在所述支撑轴上的陶瓷加热器支撑结构;以及
一布置在所述陶瓷加热器支撑结构上的铝制加热器基座。
12.根据权利要求11的装置,其中所述铝制加热器基座不是固定到所述陶瓷加热器支撑结构上的。
13.根据权利要求12的装置,其中所述的支撑轴和加热器基座具有互相配合的有槽部件,所述有槽部件适于相对于所述支撑轴而旋转定位所述基座。
14.根据权利要求11的装置,其中所述支撑轴是陶瓷材料。
15.根据权利要求14的装置,其中所述陶瓷是氧化铝。
16.一种等离子体处理加热器基座,包括:
一铝制基座,其适于包含电加热元件;以及
一电加热元件,其布置在所述铝制基座中,其中对所述加热元件的电连接是穿过单个穿孔而进入和引出所述基座的。
17.根据权利要求16的装置,其中所述加热元件在所述铝制基座中是按照阿基米德螺线来排列的。
18.一种等离子体处理加热组件,包括:
一铝制基座,其适于包含电加热元件,该基座形成等离子体处理区的一侧;
一电加热元件,其位于所述基座中;
一温度传感器,其位于所述基座中;
一双壁支撑轴,该轴的内壁是以真空密封的方式固定到所述基座的侧面的,不暴露于所述处理区;
一空间,其介于所述轴的外壁和内壁之间,该空间通到所述等离子体处理区;
一另外空间,其布置在所述轴的内壁中,该另外空间通大气压力;以及
电导孔,其用于所述的加热元件和温度传感器,所述导孔布置在所述基座的侧面,不暴露于所述处理区,并且还布置在处于大气压力下的所述另外空间中。
19.根据权利要求18的装置,其中对所述加热元件的电连接是穿过单个穿孔而进入和引出所述基座的。
20.根据权利要求19的装置,其中所述加热元件在所述铝制基座中是按照阿基米德螺线来排列的。
21.根据权利要求18的装置,进一步包括一弹簧张力装置,其对所述双壁支撑轴的内壁施加一力,该力与所述铝制基座的一侧上的真空及另一侧上的大气压力所产生的力大小相等而方向相反。
22.根据权利要求21的装置,其中所述弹簧张力装置也是波纹管,该波纹管用于使所述支撑轴的外壁之内的真空与大气压力隔离。
23.一种等离子体处理衬底支撑部件,包括:
一基座,其在等离子体处理期间支撑衬底;
多个蓝宝石球,其具有相等的直径,且模压进所述基座的表面;而且
在所述球与所述基座的表面之间没有任何死体积。
24.根据前述任一个权利要求的装置,其中所述基座还包括:
多个蓝宝石球,其具有相等的直径,且模压进所述基座的表面;而且
在所述球与所述基座的表面之间没有任何死体积。
25.一种防止在等离子体处理室中的处理区内的处理气体流入该处理室的非处理区的方法,包括:
将吹扫气体引入所述处理室的非处理区,而使得该吹扫气体的流速足以令所述非处理区相对于所述处理区加压。
26.根据权利要求25的方法,其中所述吹扫气体是惰性气体,如氩、氦或氮。
27.一种防止衬底支撑加热元件的故障的方法,包括:
在衬底支撑部件中利用双灯丝管状加热器;
穿过单个孔将所述加热元件的导体馈入所述衬底支撑部件;以及
仅在所述加热元件的一端约束该加热元件。
28.一种保持衬底加热均匀的方法,包括:
在衬底支撑中利用双灯丝管状加热器;
穿过位于所述衬底支撑部件的中心的单个孔,将所述加热元件的导体馈入所述衬底支撑部件;以及
按照阿基米德螺线的形式来排列所述衬底支撑中的加热元件。
29.一种防止等离子体处理室中的表面产生颗粒的方法,包括:
当测得的所述处理室的盖组件的温度超过大约200℃时,冷却该盖组件;
当测得的所述处理室的盖组件的温度低于大约195℃时,加热该盖组件;以及
用隔热层使得所述盖组件的对外热传递达到最小。
30.根据权利要求29的方法,其中冷却所述盖组件包括用风扇来进行风冷,所述风扇是由布置在所述盖组件上的温度传感器控制的。
31.根据权利要求27的方法,其中加热所述盖组件包括用电加热元件来加热,所述电加热元件嵌入在所述盖组件中,并且是由布置在所述盖组件上的温度传感器控制的。
32.根据权利要求27的方法,其中所述加热元件的功率在大约100W与大约1000W之间。
33.一种防止等离子体处理室的非处理区中的表面产生颗粒的方法,包括:
持续地保持所述处理室的所有壁处在大于大约160℃的温度下。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US54457404P | 2004-02-13 | 2004-02-13 | |
US60/544,574 | 2004-02-13 | ||
US11/057,041 | 2005-02-11 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN1737991A true CN1737991A (zh) | 2006-02-22 |
Family
ID=36080743
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA2005100565309A Pending CN1737991A (zh) | 2004-02-13 | 2005-02-16 | 高产量等离子体处理室 |
Country Status (4)
Country | Link |
---|---|
US (2) | US20050229849A1 (zh) |
KR (1) | KR20060041924A (zh) |
CN (1) | CN1737991A (zh) |
TW (1) | TW200535940A (zh) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101752223A (zh) * | 2008-12-18 | 2010-06-23 | 周星工程股份有限公司 | 制造半导体器件的方法及装置 |
CN102117735A (zh) * | 2009-12-22 | 2011-07-06 | 应用材料股份有限公司 | 狭缝阀隧道支撑件 |
CN102418083A (zh) * | 2011-12-09 | 2012-04-18 | 汉能科技有限公司 | 一种lpcvd工艺中的防污染***及方法 |
CN105551926A (zh) * | 2015-12-11 | 2016-05-04 | 中国电子科技集团公司第四十八研究所 | 一种采用基座冷却旋转工件的旋转工件台 |
CN106571329A (zh) * | 2015-10-12 | 2017-04-19 | 沈阳拓荆科技有限公司 | 一种晶圆基板支架结构 |
CN112136202A (zh) * | 2018-06-08 | 2020-12-25 | 应用材料公司 | 用于在等离子体增强化学气相沉积腔室中抑制寄生等离子体的设备 |
CN112585729A (zh) * | 2018-09-06 | 2021-03-30 | 东京毅力科创株式会社 | 等离子体处理装置 |
Families Citing this family (337)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4294976B2 (ja) * | 2003-02-27 | 2009-07-15 | 東京エレクトロン株式会社 | 基板処理装置 |
US20060073276A1 (en) * | 2004-10-04 | 2006-04-06 | Eric Antonissen | Multi-zone atomic layer deposition apparatus and method |
JP4935149B2 (ja) * | 2006-03-30 | 2012-05-23 | 東京エレクトロン株式会社 | プラズマ処理用の電極板及びプラズマ処理装置 |
US7695232B2 (en) * | 2006-06-15 | 2010-04-13 | Applied Materials, Inc. | Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same |
US7942969B2 (en) | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
EP2312617A4 (en) * | 2008-07-04 | 2012-12-05 | Sharp Kk | UNDERPRESSURE PROCESSING DEVICE AND GAS SUPPLY PROCESS |
CN102084475B (zh) * | 2008-07-07 | 2013-01-30 | 朗姆研究公司 | 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置 |
KR101606736B1 (ko) | 2008-07-07 | 2016-03-28 | 램 리써치 코포레이션 | 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치 |
US8449679B2 (en) | 2008-08-15 | 2013-05-28 | Lam Research Corporation | Temperature controlled hot edge ring assembly |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101536257B1 (ko) * | 2009-07-22 | 2015-07-13 | 한국에이에스엠지니텍 주식회사 | 수평 흐름 증착 장치 및 이를 이용한 증착 방법 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110297088A1 (en) * | 2010-06-04 | 2011-12-08 | Texas Instruments Incorporated | Thin edge carrier ring |
US8529729B2 (en) | 2010-06-07 | 2013-09-10 | Lam Research Corporation | Plasma processing chamber component having adaptive thermal conductor |
CN101922042B (zh) * | 2010-08-19 | 2012-05-30 | 江苏中晟半导体设备有限公司 | 一种外延片托盘支撑旋转联接装置 |
US9719169B2 (en) * | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US10153185B2 (en) * | 2013-03-14 | 2018-12-11 | Applied Materials, Inc. | Substrate temperature measurement in multi-zone heater |
US20150017805A1 (en) * | 2013-07-09 | 2015-01-15 | Raymon F. Thompson | Wafer processing apparatus having independently rotatable wafer support and processing dish |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9847222B2 (en) | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US9420639B2 (en) * | 2013-11-11 | 2016-08-16 | Applied Materials, Inc. | Smart device fabrication via precision patterning |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) * | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
DE102014211713A1 (de) * | 2014-06-18 | 2015-12-24 | Siemens Aktiengesellschaft | Vorrichtung zur Plasmabeschichtung und Verfahren zum Beschichten einer Platine |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US20160033070A1 (en) * | 2014-08-01 | 2016-02-04 | Applied Materials, Inc. | Recursive pumping member |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US20160322239A1 (en) * | 2015-04-28 | 2016-11-03 | Applied Materials, Inc. | Methods and Apparatus for Cleaning a Substrate |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
WO2017079588A1 (en) | 2015-11-05 | 2017-05-11 | Axcelis Technologies, Inc. | Ion source liner having a lip for ion implantion systems |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9916977B2 (en) | 2015-11-16 | 2018-03-13 | Lam Research Corporation | Low k dielectric deposition via UV driven photopolymerization |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10361069B2 (en) * | 2016-04-04 | 2019-07-23 | Axcelis Technologies, Inc. | Ion source repeller shield comprising a labyrinth seal |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) * | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10679827B2 (en) | 2017-01-25 | 2020-06-09 | Applied Materials, Inc. | Method and apparatus for semiconductor processing chamber isolation for reduced particles and improved uniformity |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US11004662B2 (en) * | 2017-02-14 | 2021-05-11 | Lam Research Corporation | Temperature controlled spacer for use in a substrate processing chamber |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
USD875054S1 (en) * | 2017-04-28 | 2020-02-11 | Applied Materials, Inc. | Plasma connector liner |
USD875055S1 (en) * | 2017-04-28 | 2020-02-11 | Applied Materials, Inc. | Plasma connector liner |
USD875053S1 (en) * | 2017-04-28 | 2020-02-11 | Applied Materials, Inc. | Plasma connector liner |
USD842259S1 (en) * | 2017-04-28 | 2019-03-05 | Applied Materials, Inc. | Plasma chamber liner |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI779134B (zh) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | 用於儲存晶圓匣的儲存裝置及批爐總成 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102657269B1 (ko) | 2018-02-14 | 2024-04-16 | 에이에스엠 아이피 홀딩 비.브이. | 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
TWI751420B (zh) | 2018-06-29 | 2022-01-01 | 荷蘭商Asm知識產權私人控股有限公司 | 薄膜沉積方法 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的***及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11236424B2 (en) | 2019-11-01 | 2022-02-01 | Applied Materials, Inc. | Process kit for improving edge film thickness uniformity on a substrate |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12011731B2 (en) * | 2020-07-10 | 2024-06-18 | Applied Materials, Inc. | Faceplate tensioning method and apparatus to prevent droop |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
US11915918B2 (en) * | 2021-06-29 | 2024-02-27 | Applied Materials, Inc. | Cleaning of sin with CCP plasma or RPS clean |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR2652476A1 (fr) * | 1989-09-28 | 1991-03-29 | Thermal Quartz Schmelze Gmbh | Tube chauffant. |
JP2934565B2 (ja) * | 1993-05-21 | 1999-08-16 | 三菱電機株式会社 | 半導体製造装置及び半導体製造方法 |
US6033480A (en) * | 1994-02-23 | 2000-03-07 | Applied Materials, Inc. | Wafer edge deposition elimination |
US5968379A (en) * | 1995-07-14 | 1999-10-19 | Applied Materials, Inc. | High temperature ceramic heater assembly with RF capability and related methods |
US5536317A (en) * | 1995-10-27 | 1996-07-16 | Specialty Coating Systems, Inc. | Parylene deposition apparatus including a quartz crystal thickness/rate controller |
JP3715073B2 (ja) * | 1997-04-22 | 2005-11-09 | 大日本スクリーン製造株式会社 | 熱処理装置 |
US5929373A (en) * | 1997-06-23 | 1999-07-27 | Applied Materials, Inc. | High voltage feed through |
US6375746B1 (en) * | 1998-07-10 | 2002-04-23 | Novellus Systems, Inc. | Wafer processing architecture including load locks |
US6307184B1 (en) * | 1999-07-12 | 2001-10-23 | Fsi International, Inc. | Thermal processing chamber for heating and cooling wafer-like objects |
JP4203206B2 (ja) * | 2000-03-24 | 2008-12-24 | 株式会社日立国際電気 | 基板処理装置 |
US6444957B1 (en) * | 2000-04-26 | 2002-09-03 | Sumitomo Osaka Cement Co., Ltd | Heating apparatus |
US6838115B2 (en) * | 2000-07-12 | 2005-01-04 | Fsi International, Inc. | Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices |
US7175713B2 (en) * | 2002-01-25 | 2007-02-13 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US7256370B2 (en) * | 2002-03-15 | 2007-08-14 | Steed Technology, Inc. | Vacuum thermal annealer |
GB2392309B (en) * | 2002-08-22 | 2004-10-27 | Leica Microsys Lithography Ltd | Substrate loading and unloading apparatus |
-
2005
- 2005-02-11 US US11/057,041 patent/US20050229849A1/en not_active Abandoned
- 2005-02-14 TW TW094104244A patent/TW200535940A/zh unknown
- 2005-02-14 KR KR1020050011979A patent/KR20060041924A/ko active IP Right Grant
- 2005-02-16 CN CNA2005100565309A patent/CN1737991A/zh active Pending
-
2008
- 2008-10-22 US US12/255,884 patent/US20090068356A1/en not_active Abandoned
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101752223A (zh) * | 2008-12-18 | 2010-06-23 | 周星工程股份有限公司 | 制造半导体器件的方法及装置 |
CN101752223B (zh) * | 2008-12-18 | 2014-08-27 | 周星工程股份有限公司 | 制造半导体器件的方法及装置 |
CN102117735A (zh) * | 2009-12-22 | 2011-07-06 | 应用材料股份有限公司 | 狭缝阀隧道支撑件 |
CN102117735B (zh) * | 2009-12-22 | 2015-11-25 | 应用材料公司 | 具有狭缝阀隧道支撑件的处理室 |
CN102418083A (zh) * | 2011-12-09 | 2012-04-18 | 汉能科技有限公司 | 一种lpcvd工艺中的防污染***及方法 |
CN106571329A (zh) * | 2015-10-12 | 2017-04-19 | 沈阳拓荆科技有限公司 | 一种晶圆基板支架结构 |
CN105551926A (zh) * | 2015-12-11 | 2016-05-04 | 中国电子科技集团公司第四十八研究所 | 一种采用基座冷却旋转工件的旋转工件台 |
CN112136202A (zh) * | 2018-06-08 | 2020-12-25 | 应用材料公司 | 用于在等离子体增强化学气相沉积腔室中抑制寄生等离子体的设备 |
CN112136202B (zh) * | 2018-06-08 | 2024-04-12 | 应用材料公司 | 用于在等离子体增强化学气相沉积腔室中抑制寄生等离子体的设备 |
CN112585729A (zh) * | 2018-09-06 | 2021-03-30 | 东京毅力科创株式会社 | 等离子体处理装置 |
CN112585729B (zh) * | 2018-09-06 | 2024-04-05 | 东京毅力科创株式会社 | 等离子体处理装置 |
Also Published As
Publication number | Publication date |
---|---|
TW200535940A (en) | 2005-11-01 |
US20050229849A1 (en) | 2005-10-20 |
US20090068356A1 (en) | 2009-03-12 |
KR20060041924A (ko) | 2006-05-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1737991A (zh) | 高产量等离子体处理室 | |
EP0972092B1 (en) | Multipurpose processing chamber for chemical vapor deposition processes | |
US8183502B2 (en) | Mounting table structure and heat treatment apparatus | |
KR100279487B1 (ko) | 화학증착법을 이용한 처리 챔버 | |
KR102076370B1 (ko) | 멀티 스테이션 순차식 프로세싱 시스템용 캐러셀 리액터 | |
US8381677B2 (en) | Prevention of film deposition on PECVD process chamber wall | |
US20150144060A1 (en) | Cluster-batch type system for processing substrate | |
JP5896387B2 (ja) | 基板支持ブッシング | |
KR19980018624A (ko) | 화학기상증착, 플라즈마강화 화학기상증착 또는 플라즈마 에치 반응기로부터의 배기 가스 처리 방법 및 장치 | |
KR20050119684A (ko) | 탑재대 구조체 및 이 탑재대 구조체를 갖는 열처리 장치 | |
TW202121578A (zh) | 低溫靜電吸盤 | |
JP2023518463A (ja) | 耐アーク性冷却剤導管付き基板支持アセンブリ | |
JP7308950B2 (ja) | 極低温静電チャック | |
KR100534209B1 (ko) | 반도체소자 제조용 화학기상증착 공정설비 | |
CN112501587A (zh) | 化学气相沉积设备、泵浦衬套及化学气相沉积方法 | |
WO2008079742A2 (en) | Prevention of film deposition on pecvd process chamber wall | |
KR101364196B1 (ko) | 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치 | |
JP5006821B2 (ja) | 基板処理装置および半導体装置の製造方法 | |
US20230095095A1 (en) | Method of isolating the chamber volume to process volume with internal wafer transfer capability | |
KR0116697Y1 (ko) | 저압 화학 증기 증착장치 | |
KR0135903B1 (ko) | 플라즈마가 적용된 종형 저압 화학 증기 증착장치 | |
KR20070093187A (ko) | 기판 척킹 라인과 콘택하는 원통형의 오링을 구비한 히터어셈블리 | |
KR20130084466A (ko) | 서셉터 및 이를 구비하는 화학기상증착 장치 | |
KR20230088020A (ko) | 가스 공급 모듈 및 이를 포함하는 기판 처리 장치 | |
KR20090009571A (ko) | 퍼니스형 반도체 설비 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination |