CN1458689A - 半导体器件 - Google Patents
半导体器件 Download PDFInfo
- Publication number
- CN1458689A CN1458689A CN03131485A CN03131485A CN1458689A CN 1458689 A CN1458689 A CN 1458689A CN 03131485 A CN03131485 A CN 03131485A CN 03131485 A CN03131485 A CN 03131485A CN 1458689 A CN1458689 A CN 1458689A
- Authority
- CN
- China
- Prior art keywords
- film
- conducting film
- interconnection
- semiconductor device
- copper
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 135
- 239000010949 copper Substances 0.000 claims abstract description 125
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims abstract description 122
- 229910052802 copper Inorganic materials 0.000 claims abstract description 122
- 230000004888 barrier function Effects 0.000 claims abstract description 108
- 239000000463 material Substances 0.000 claims abstract description 58
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 53
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims abstract description 48
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims abstract description 36
- 239000000758 substrate Substances 0.000 claims abstract description 32
- 238000009792 diffusion process Methods 0.000 claims abstract description 24
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 44
- COGOJRKCCAQAPE-UHFFFAOYSA-N [N].[Si].[Ti] Chemical compound [N].[Si].[Ti] COGOJRKCCAQAPE-UHFFFAOYSA-N 0.000 claims description 33
- 229910052715 tantalum Inorganic materials 0.000 claims description 33
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 33
- 239000010936 titanium Substances 0.000 claims description 26
- 239000012528 membrane Substances 0.000 claims description 23
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 22
- 229910052719 titanium Inorganic materials 0.000 claims description 22
- DLIJBCLXWXVWHF-UHFFFAOYSA-N [N].[Ta].[Si] Chemical compound [N].[Ta].[Si] DLIJBCLXWXVWHF-UHFFFAOYSA-N 0.000 claims description 15
- 239000000377 silicon dioxide Substances 0.000 claims description 14
- 239000000203 mixture Substances 0.000 claims description 12
- 229910052581 Si3N4 Inorganic materials 0.000 abstract description 15
- 239000004020 conductor Substances 0.000 abstract description 11
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 abstract 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 abstract 1
- 239000010408 film Substances 0.000 description 547
- 238000005498 polishing Methods 0.000 description 70
- 239000010410 layer Substances 0.000 description 54
- 238000004519 manufacturing process Methods 0.000 description 54
- 238000000034 method Methods 0.000 description 41
- 239000007789 gas Substances 0.000 description 37
- 238000000151 deposition Methods 0.000 description 35
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 32
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 30
- 230000008021 deposition Effects 0.000 description 30
- 239000003082 abrasive agent Substances 0.000 description 28
- 230000003321 amplification Effects 0.000 description 25
- 238000003199 nucleic acid amplification method Methods 0.000 description 25
- 229910052710 silicon Inorganic materials 0.000 description 25
- 239000010703 silicon Substances 0.000 description 25
- 230000007797 corrosion Effects 0.000 description 23
- 238000005260 corrosion Methods 0.000 description 23
- 238000012545 processing Methods 0.000 description 22
- 230000002829 reductive effect Effects 0.000 description 19
- 229910052751 metal Inorganic materials 0.000 description 18
- 239000002184 metal Substances 0.000 description 18
- 229910021529 ammonia Inorganic materials 0.000 description 16
- 230000015572 biosynthetic process Effects 0.000 description 16
- 239000001257 hydrogen Substances 0.000 description 16
- 229910052739 hydrogen Inorganic materials 0.000 description 16
- 238000009832 plasma treatment Methods 0.000 description 16
- 238000004140 cleaning Methods 0.000 description 15
- 238000005516 engineering process Methods 0.000 description 15
- 239000012530 fluid Substances 0.000 description 14
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 13
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 13
- 229910052814 silicon oxide Inorganic materials 0.000 description 13
- 239000002002 slurry Substances 0.000 description 13
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 12
- 239000003870 refractory metal Substances 0.000 description 12
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 11
- 230000009467 reduction Effects 0.000 description 11
- 239000000126 substance Substances 0.000 description 11
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 11
- 238000004544 sputter deposition Methods 0.000 description 10
- 230000006870 function Effects 0.000 description 9
- 239000003595 mist Substances 0.000 description 9
- 230000001590 oxidative effect Effects 0.000 description 9
- 229910021332 silicide Inorganic materials 0.000 description 9
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 9
- 230000001070 adhesive effect Effects 0.000 description 8
- 230000008859 change Effects 0.000 description 8
- 150000004767 nitrides Chemical class 0.000 description 8
- 239000007800 oxidant agent Substances 0.000 description 8
- 238000005554 pickling Methods 0.000 description 8
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 8
- 229910010271 silicon carbide Inorganic materials 0.000 description 8
- 229910052721 tungsten Inorganic materials 0.000 description 8
- 239000010937 tungsten Substances 0.000 description 8
- 208000005189 Embolism Diseases 0.000 description 7
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 7
- 239000012535 impurity Substances 0.000 description 7
- 230000003647 oxidation Effects 0.000 description 7
- 238000007254 oxidation reaction Methods 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 239000012298 atmosphere Substances 0.000 description 6
- 230000000694 effects Effects 0.000 description 6
- 238000005259 measurement Methods 0.000 description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 5
- 238000007598 dipping method Methods 0.000 description 5
- 230000005611 electricity Effects 0.000 description 5
- 239000010955 niobium Substances 0.000 description 5
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 5
- 229940094989 trimethylsilane Drugs 0.000 description 5
- MEYZYGMYMLNUHJ-UHFFFAOYSA-N tunicamycin Natural products CC(C)CCCCCCCCCC=CC(=O)NC1C(O)C(O)C(CC(O)C2OC(C(O)C2O)N3C=CC(=O)NC3=O)OC1OC4OC(CO)C(O)C(O)C4NC(=O)C MEYZYGMYMLNUHJ-UHFFFAOYSA-N 0.000 description 5
- 239000003513 alkali Substances 0.000 description 4
- 230000006378 damage Effects 0.000 description 4
- 238000009713 electroplating Methods 0.000 description 4
- 239000003112 inhibitor Substances 0.000 description 4
- 229910052758 niobium Inorganic materials 0.000 description 4
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 150000007524 organic acids Chemical class 0.000 description 4
- 239000000243 solution Substances 0.000 description 4
- 229910021341 titanium silicide Inorganic materials 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- 239000002253 acid Substances 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 230000008034 disappearance Effects 0.000 description 3
- 239000012776 electronic material Substances 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 230000005012 migration Effects 0.000 description 3
- 238000013508 migration Methods 0.000 description 3
- 239000011368 organic material Substances 0.000 description 3
- 230000008569 process Effects 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 238000012958 reprocessing Methods 0.000 description 3
- 238000007790 scraping Methods 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- XGIKILRODBEJIL-UHFFFAOYSA-N 1-(ethylamino)ethanol Chemical compound CCNC(C)O XGIKILRODBEJIL-UHFFFAOYSA-N 0.000 description 2
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonia chloride Chemical compound [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 2
- VZCYOOQTPOCHFL-OWOJBTEDSA-N Fumaric acid Chemical compound OC(=O)\C=C\C(O)=O VZCYOOQTPOCHFL-OWOJBTEDSA-N 0.000 description 2
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 229910020177 SiOF Inorganic materials 0.000 description 2
- 229910008484 TiSi Inorganic materials 0.000 description 2
- JUZTWRXHHZRLED-UHFFFAOYSA-N [Si].[Cu].[Cu].[Cu].[Cu].[Cu] Chemical compound [Si].[Cu].[Cu].[Cu].[Cu].[Cu] JUZTWRXHHZRLED-UHFFFAOYSA-N 0.000 description 2
- WNLRTRBMVRJNCN-UHFFFAOYSA-N adipic acid Chemical compound OC(=O)CCCCC(O)=O WNLRTRBMVRJNCN-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 239000007864 aqueous solution Substances 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 239000002585 base Substances 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 229910021360 copper silicide Inorganic materials 0.000 description 2
- 230000002950 deficient Effects 0.000 description 2
- 238000000280 densification Methods 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000003628 erosive effect Effects 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000005286 illumination Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000011835 investigation Methods 0.000 description 2
- JVTAAEKCZFNVCJ-UHFFFAOYSA-N lactic acid Chemical compound CC(O)C(O)=O JVTAAEKCZFNVCJ-UHFFFAOYSA-N 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 238000002454 metastable transfer emission spectrometry Methods 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 238000012856 packing Methods 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000001259 photo etching Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 239000002994 raw material Substances 0.000 description 2
- 238000009991 scouring Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- KDYFGRWQOYBRFD-UHFFFAOYSA-N succinic acid Chemical compound OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 description 2
- -1 tungsten nitride Chemical class 0.000 description 2
- BJEPYKJPYRNKOW-REOHCLBHSA-N (S)-malic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O BJEPYKJPYRNKOW-REOHCLBHSA-N 0.000 description 1
- MIJDSYMOBYNHOT-UHFFFAOYSA-N 2-(ethylamino)ethanol Chemical compound CCNCCO MIJDSYMOBYNHOT-UHFFFAOYSA-N 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- 239000005711 Benzoic acid Substances 0.000 description 1
- 235000014653 Carica parviflora Nutrition 0.000 description 1
- 241000243321 Cnidaria Species 0.000 description 1
- 229910019001 CoSi Inorganic materials 0.000 description 1
- 229910016344 CuSi Inorganic materials 0.000 description 1
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 description 1
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 239000004965 Silica aerogel Substances 0.000 description 1
- FEWJPZIEWOKRBE-UHFFFAOYSA-N Tartaric acid Natural products [H+].[H+].[O-]C(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-N 0.000 description 1
- JOXCDOKKASTCHR-UHFFFAOYSA-N [Si](O)(O)(O)O.[P] Chemical compound [Si](O)(O)(O)O.[P] JOXCDOKKASTCHR-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 239000001361 adipic acid Substances 0.000 description 1
- 235000011037 adipic acid Nutrition 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- BJEPYKJPYRNKOW-UHFFFAOYSA-N alpha-hydroxysuccinic acid Natural products OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 235000019270 ammonium chloride Nutrition 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000005441 aurora Substances 0.000 description 1
- PRORZGWHZXZQMV-UHFFFAOYSA-N azane;nitric acid Chemical compound N.O[N+]([O-])=O PRORZGWHZXZQMV-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 235000010233 benzoic acid Nutrition 0.000 description 1
- UBAZGMLMVVQSCD-UHFFFAOYSA-N carbon dioxide;molecular oxygen Chemical compound O=O.O=C=O UBAZGMLMVVQSCD-UHFFFAOYSA-N 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- AIOWANYIHSOXQY-UHFFFAOYSA-N cobalt silicon Chemical compound [Si].[Co] AIOWANYIHSOXQY-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- ARUVKPQLZAKDPS-UHFFFAOYSA-L copper(II) sulfate Chemical compound [Cu+2].[O-][S+2]([O-])([O-])[O-] ARUVKPQLZAKDPS-UHFFFAOYSA-L 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000010893 electron trap Methods 0.000 description 1
- 238000006056 electrooxidation reaction Methods 0.000 description 1
- 238000010828 elution Methods 0.000 description 1
- 229910001651 emery Inorganic materials 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 235000013373 food additive Nutrition 0.000 description 1
- 239000002778 food additive Substances 0.000 description 1
- 239000001530 fumaric acid Substances 0.000 description 1
- 235000011087 fumaric acid Nutrition 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 230000008676 import Effects 0.000 description 1
- 238000005470 impregnation Methods 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000004310 lactic acid Substances 0.000 description 1
- 235000014655 lactic acid Nutrition 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 239000001630 malic acid Substances 0.000 description 1
- 235000011090 malic acid Nutrition 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 239000012299 nitrogen atmosphere Substances 0.000 description 1
- 239000005416 organic matter Substances 0.000 description 1
- 235000006408 oxalic acid Nutrition 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- LGRFSURHDFAFJT-UHFFFAOYSA-N phthalic anhydride Chemical compound C1=CC=C2C(=O)OC(=O)C2=C1 LGRFSURHDFAFJT-UHFFFAOYSA-N 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000010992 reflux Methods 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 230000002000 scavenging effect Effects 0.000 description 1
- 238000005201 scrubbing Methods 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 239000001384 succinic acid Substances 0.000 description 1
- 235000011044 succinic acid Nutrition 0.000 description 1
- 239000011975 tartaric acid Substances 0.000 description 1
- 235000002906 tartaric acid Nutrition 0.000 description 1
- 230000009967 tasteless effect Effects 0.000 description 1
- 230000008719 thickening Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- MAKDTFFYCIMFQP-UHFFFAOYSA-N titanium tungsten Chemical compound [Ti].[W] MAKDTFFYCIMFQP-UHFFFAOYSA-N 0.000 description 1
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
- 238000004506 ultrasonic cleaning Methods 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
- H01L21/76888—By rendering at least a portion of the conductor non conductive, e.g. oxidation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02074—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02082—Cleaning product to be cleaned
- H01L21/0209—Cleaning of wafer backside
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/32115—Planarisation
- H01L21/3212—Planarisation by chemical mechanical polishing [CMP]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76882—Reflowing or applying of pressure to better fill the contact hole
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
本发明给出一种半导体器件,包含:第一绝缘膜,沉积在半导体衬底上;互连开口部分,形成在第一绝缘膜中;互连,置于互连开口部分中;以及第二绝缘膜,形成在第一绝缘膜和互连上。所述互连具有:第一导电膜;第二导电膜,由化学气相沉积或ALD通过第一导电膜形成,由钛硅氮、钽硅氮、氮化钽和氮化钛中的任意一种组成;第三导电膜,通过第一和第二导电膜形成,由具有和铜的优良粘合性的材料组成;以及第四导电膜,通过第一、第二和第三导电膜形成,主要成分为铜。本发明使得有可能改善主要由铜组成的导电膜和另一具有阻挡铜扩散的功能的导电膜—每层导电膜都构成半导体器件的互连—之间的粘合。
Description
技术领域
本发明涉及半导体器件的制造方法和半导体器件技术,尤其涉及在用于半导体器件的制造方法和使用主要由铜组成的导电膜作为互连材料的半导体器件上时很有效的技术。
背景技术
内嵌互连结构基于称为镶嵌技术的金属化技术来形成,通过在互连开口部分——例如形成在绝缘膜中的互连沟或孔——中埋入互连材料来实现。主要的互连材料为铜,然而,它与其它金属——例如铝——相比,会扩散到绝缘膜中,因而通过在主要由铜组成的互连形成导电膜和绝缘膜之间***薄的导电阻挡膜,抑制或防止了内嵌互连的铜向绝缘膜中的扩散。
在例如日本未审查专利公布号Hei 11(1999)-233631中描述了涉及半导体器件的阻挡金属膜的技术。其中公开的是在层间绝缘膜中形成接触孔然后在接触孔中、层间绝缘膜上利用PVD和CVD结合形成多层阻挡金属膜的技术。在日本未审查专利公布号2000-40672中公开了利用钽(Ta)和氮化钽(TaN)形成导电阻挡膜的技术。
发明内容
根据本发明者所调查的技术,利用例如金属有机化学气相沉积(MOCVD)形成的钛硅氮(TiSiN)单层膜用作利用铜作为上述主要互连材料的内嵌互连的上述导电阻挡膜。这使得有可能提高连接在不同两层之间的孔中的导电阻挡膜的覆盖特性,从而提高铜在孔中的埋入特性并抑制或防止孔中电阻的升高。然而,本发明者通过进一步的调查首次发现,当钛硅氮单层膜用作导电阻挡膜时,由于单层膜和铜之间的不充分粘合,连接在不同两层之间的孔中会发生导电失效或电迁移,从而这样的导电阻挡层就没有发挥充分的功能。尤其在半导体器件中,用于连接不同层的孔趋向于小型化,从而孔中的上述问题变得日益突出。
本发明的一个目的是给出能够提高主要由铜组成的导电膜和另一具有阻挡铜扩散的特性导电膜之间的粘合的技术,其中,每层导电膜构成半导体器件的一个互连。
通过此处的说明和附图,将清楚看出本发明的上述目标和其它目标及其新颖特点。
下面将简要描述本申请所公开的发明中典型的发明。
在本发明的一个方面中,在主要由铜组成的导电膜和另一通过化学气相沉积形成且具有阻挡铜扩散特性的导电膜——每层导电膜都形成在互连开口部分中——之间,形成了又一由具有良好粘合性的材料制成的导电膜。
在本发明的另一方面中,具有阻挡铜扩散特性并由化学气相沉积形成的导电膜通过另一处于互连开口部分中的导电膜而形成,互连开口部分形成在介电常数低于氧化硅膜的低介电常数绝缘膜中,然后通过这两层导电膜进一步形成主要由铜组成的导电膜。
在本发明的又一方面中,上述由化学气相沉积形成并具有阻挡铜扩散特性的导电膜由下列任何一种制成:钛硅氮、钽硅氮、氮化钽和氮化钛。
下面将简要描述根据本发明典型方面的半导体器件的代表性实施例。
(1)半导体器件的制造方法,包含如下步骤:
(a)在半导体衬底上沉积第一绝缘膜,
(b)在第一绝缘膜中形成互连开口部分,
(c)在第一绝缘膜上、互连开口部分中形成第一导电膜,
(d)由化学气相沉积或ALD在第一导电膜上形成第二导电膜,第二导电膜由下列任何一种制成:钛硅氮、钽硅氮和氮化钛,
(e)在第二导电膜上形成第三导电膜,第三导电膜由具有和铜的良好粘合性的材料制成,
(f)在第三导电膜上形成由铜组成或主要由铜组成的第四导电膜,用第四导电膜掩埋互连开口部分,以及
(g)除去第一、第二、第三和第四导电膜,只在互连开口部分中留下第一、第二、第三和第四导电膜,从而在互连开口部分中形成具有第一、第二、第三和第四导电膜的互连。
(2)半导体器件的制造方法,包含如下步骤:
(a)在半导体衬底上沉积介电常数低于氧化硅的第一绝缘膜,
(b)在第一绝缘膜中形成互连开口部分,
(c)在第一绝缘膜上、互连开口部分中形成第一导电膜,
(d)由化学气相沉积或ALD在第一导电膜上形成第二导电膜,第二导电膜由下列任何一种制成:钛硅氮、钽硅氮、氮化钽和氮化钛。
(e)在第二导电膜上形成由铜组成或主要由铜组成的第四导电膜,用第四导电膜掩埋互连开口部分,
(f)除去第一、第二和第四导电膜,只在互连开口部分中留下第一、第二和第四导电膜,从而在互连开口部分中形成具有第一、第二和第四导电膜的互连;以及
(g)在第一绝缘膜和互连上沉积第二绝缘膜。
(3)半导体器件的制造方法,包含如下步骤:
(a)在半导体衬底上的第一互连上沉积第一绝缘膜,
(b)在第一绝缘膜上形成第二绝缘膜,
(c)在第一绝缘膜中形成用于和第一互连相连的孔,并在第二绝缘膜中形成用于和该孔相连的互连沟,
(d)在互连沟和孔中每个的侧壁和底表面上形成第一导电膜,
(e)由化学气相沉积或ALD在第一导电膜上形成第二导电膜,第二导电膜由下列任何一种制成:钛硅氮、钽硅氮、氮化钽和氮化钛,
(f)在第二导电膜上形成第三导电膜,第三导电膜由具有和铜的良好粘合性的材料制成;以及
(g)形成由铜组成或主要由铜组成的第四导电膜,用第四导电膜掩埋互连沟和孔。
(4)半导体器件的制造方法,包含如下步骤:
(a)在半导体衬底上的第一互连上沉积介电常数低于氧化硅的第一绝缘膜和第二绝缘膜,
(b)在第一绝缘膜中形成用于和第一互连相连的孔,并在第二绝缘膜中形成用于和该孔相连的互连沟,
(c)在互连沟和孔中每个的侧壁和底表面上形成第一导电膜,第一导电膜由具有和第一绝缘膜和第二绝缘膜的良好粘合性的材料组成,
(d)由化学气相沉积或ALD在第一导电膜上形成第二导电膜,第二导电膜由下列任何一种制成:钛硅氮、钽硅氮、氮化钽和氮化钛;以及
(e)形成由铜组成或主要由铜组成的第四导电膜,用第四导电膜掩埋互连沟和孔。
(5)根据项目(1)的半导体器件制造方法,其中第三导电膜具有阻挡铜扩散的特性。
(6)根据项目(1)的半导体器件制造方法,其中第三导电膜由物理气相沉积形成。
(7)根据项目(1)的半导体器件制造方法,其中第三导电膜由下列任何一种制成:钽、钛、氮化钽、氮化钛、钽和氮化钽的叠层膜以及钛和氮化钛的叠层膜。
(8)根据项目(1)的半导体器件制造方法,其中第一导电膜由具有和第一绝缘膜的良好粘合性的材料制成。
(9)根据项目(1)的半导体器件制造方法,其中第一导电膜由具有和铜的良好粘合性的材料制成。
(10)根据项目(1)的半导体器件制造方法,其中第一导电膜具有阻挡铜扩散的特性。
(11)根据项目(1)的半导体器件制造方法,其中第一导电膜由物理气相沉积形成。
(12)根据项目(1)的半导体器件制造方法,其中第一导电膜由下列任何一种制成:钽、钛、氮化钽、氮化钛、钽和氮化钽的叠层膜以及钛和氮化钛的叠层膜。
(13)根据项目(1)的半导体器件制造方法,其中第一绝缘膜为介电常数低于氧化硅的绝缘膜。
(14)半导体器件的制造方法,包含下列步骤:
(a)在半导体衬底上形成第一绝缘膜,
(b)在第一绝缘膜中形成互连开口部分,
(c)由化学气相沉积或ALD在第一导电膜上、互连开口部分中形成第二导电膜,第二导电膜由下列任何一种制成:钛硅氮、钽硅氮、氮化钽和氮化钛,
(d)在第二导电膜上形成第三导电膜,第三导电膜由具有和铜的良好粘合性的材料制成,
(e)在第三导电膜上形成由铜组成或主要由铜组成的第四导电膜,用第四导电膜掩埋互连开口部分,
(f)除去第二、第三和第四导电膜,只在互连开口部分中留下第二、第三和第四导电膜,从而在互连开口部分中形成具有第二、第三和第四导电膜的互连,以及
(g)在第一绝缘膜和互连上沉积第二绝缘膜。
(15)半导体器件的制造方法,包含下列步骤:
(a)在半导体衬底上的第一互连上沉积第一绝缘膜,
(b)在第一绝缘膜上形成第二绝缘膜,
(c)在第一绝缘膜中形成用于和第一互连相连的孔,并在第二绝缘膜中形成用于和该孔相连的互连沟,
(d)由化学气相沉积或ALD在互连沟和孔中每个的侧壁和底表面上形成第二导电膜,第二导电膜由下列任何一种制成:钛硅氮、钽硅氮、氮化钽和氮化钛,
(e)在第二导电膜上形成第三导电膜,第三导电膜由具有和铜的良好粘合性的材料制成;以及
(f)形成由铜组成或主要由铜组成的第四导电膜,用第四导电膜掩埋互连开口部分和孔。
(16)根据项目(14)的半导体器件制造方法,其中第三导电膜具有阻挡铜扩散的特性。
(17)根据项目(14)的半导体器件制造方法,其中第三导电膜由物理气相沉积形成。
(18)根据项目(14)的半导体器件制造方法,其中第三导电膜由下列任何一种制成:钽、钛、氮化钽、氮化钛、钽和氮化钽的叠层膜以及钛和氮化钛的叠层膜。
附图说明
图1为根据本发明某一实施方案的半导体器件在其一个制造步骤中时的局部平面图;
图2为沿图1的X1-X1线切开的剖面图;
图3为相应于图1的X1-X1线的半导体器件某一部分在图1之后的一个制造步骤中时的剖面图;
图4为图3的互连沟部分的局部放大剖面图;
图5为相应于图1的X1-X1线的半导体器件某一部分在图3之后的一个制造步骤中时的剖面图;
图6为图5的互连沟部分的局部放大剖面图;
图7为相应于图1的X1-X1线的半导体器件某一部分在图5之后的一个制造步骤中时的剖面图;
图8为图7的互连沟部分的局部放大剖面图;
图9为半导体器件的互连沟形成部分在图7之后的一个制造步骤中时的局部放大剖面图;
图10为半导体器件的互连沟形成部分在图9之后的一个制造步骤中时的局部放大剖面图;
图11为半导体器件的互连沟形成部分在图10之后的一个制造步骤中时的局部放大剖面图;
图12为图11的区域A的剖面图;
图13为图11的区域B的剖面图;
图14为半导体器件的互连沟形成部分在图11之后的一个制造步骤中时的局部放大剖面图;
图15为半导体器件的互连沟形成部分在图14之后的一个制造步骤中时的局部放大剖面图;
图16为晶片的某一实施例在图15的半导体器件制造步骤中时的局部剖面图;
图17为本发明某一实施方案的内嵌互连的互连电阻与本发明者所调查的内嵌互连结构的互连电阻比较的曲线图;
图18为本发明某一实施方案的内嵌互连在孔部分处的电阻(通路电阻)与本发明者所调查的内嵌互连结构的通路电阻比较的曲线图;
图19本发明某一实施方案的内嵌互连的电迁移电阻与本发明者所调查的内嵌互连结构的电迁移电阻比较的曲线图;
图20为根据本发明另一实施方案的半导体器件的互连形成部分在其一个制造步骤中时的局部放大剖面图;
图21为半导体器件的互连形成部分在图20之后的一个制造步骤中时的局部放大剖面图;
图22为根据本发明又一实施方案的半导体器件的互连形成部分在其一个制造步骤中时的局部放大剖面图;
图23为半导体器件的互连形成部分在图22之后的一个制造步骤中时的局部放大剖面图;
图24为半导体器件的晶片在图23的制造步骤中时的局部剖面图;以及
图25为说明本发明者所调查的内嵌互连结构的问题的视图。
具体实施方式
在详细描述本发明之前,先在下面解释此处所用的术语。
1.术语“晶片”指的是用于半导体集成电路制造的硅或其它半导体单晶衬底(通常为基本具有圆盘形状的半导体晶片)、蓝宝石衬底、玻璃衬底或其它绝缘、非绝缘或半导体衬底,或它们的复合衬底。
2.术语“半导体器件”不仅指形成在单晶硅衬底上的那些,还指形成在另一衬底——例如SOI(绝缘体上的硅)衬底或TFT(薄膜晶体管)液晶制造衬底——上的那些,除非特别指明。
3.术语“内嵌互连”或“内嵌金属互连”通常指如下形成的互连:在互连开口部分——例如形成在绝缘膜中的沟或孔——中埋入导电膜,并通过金属化技术处于导电膜在绝缘膜上的不必要部分,从而以单镶嵌或双镶嵌构图薄膜。术语“单镶嵌”通常指一种内嵌互连形成工艺,其中分别埋入插塞金属(plug metal)和互连金属。术语“双镶嵌”通常指一种内嵌互连形成工艺,其中同时埋入插塞金属和互连金属。通常的做法是使用铜内嵌互连作为多层结构。
4.在该实施方案中,例如,“由铜组成”这一短语指的是“以铜作为主要成分”。即使是高纯度的铜也不可避免的含有杂质,所以由铜组成的部件并非不能含有附加物或杂质。这将同样用于除铜之外的其它材料(例如钛、钽、氮化钛、氮化钽、钛硅氮,以及钽硅氮)。
5.术语“导电阻挡膜”通常指具有阻挡扩散的特性的导电膜,较薄地形成在内嵌互连的侧表面或底表面,用以防止铜扩散到内层绝缘膜或下层中。
6.术语“化学机械抛光(CMP)”通常指这样的抛光:将抛光表面在与由相对较软的薄片材料——例如布——形成的抛光垫接触的状态下,沿表面上的一个方向相对移动,同时供给浆料(slurry)。在该实施方案中,该术语还包含:CML(化学机械研磨),用于通过相对于硬砂轮移动抛光表面来对其进行抛光;用另一种固定磨料的抛光;以及无磨料CMP,其中抛光在不使用磨料的情况下进行。
7.术语“无磨料化学机械抛光”通常指使用磨料重量百分比小于0.5%的浆料的化学机械抛光,而术语“有磨料化学机械抛光”指使用磨料重量百分比为0.5%或更大的浆料的化学机械抛光。然而这些定义是相对的。当无磨料化学机械抛光用作第一抛光步骤、之后有磨料化学机械抛光用作第二抛光步骤,并且第一步骤的抛光浓度比第二步骤的抛光浓度至少小一位——最好至少小两位——时,则该第一步骤的抛光可称作“无磨料化学机械抛光”。此处所用的术语“无磨料化学机械抛光”包含无磨料化学机械抛光用于主要工艺,但有磨料化学机械抛光用于二级工艺的情形,还包含整个金属膜的单元平面化工艺都是由无磨料化学机械抛光来进行的情形。
8.术语“无刮擦”指的是这样一种状态:在由上述CMP抛光的晶片表面上整个面积或预定单位面积上,没有大于预定尺寸的缺陷不能被检测到。该预定尺寸根据半导体器件的世代或类型而改变。它不能以批量的方式来确定,但是在该实施方案中,例如,在直径200mm的晶片的抛光表面中,用在线比较缺陷检测没有检测到0.3μm或更大的缺陷。
9.术语“氮化硅”或“氮化硅膜”不仅指Si3N4,还指与其组分相似的硅的氮化物。
10.术语“低介电常数绝缘膜(低K绝缘膜)”指的是介电常数低于氧化硅膜(例如TEOS(四乙氧基硅烷)氧化膜)的绝缘膜,包括在钝化膜中。通常,它指的是相对介电常数(specific dielectricconstant)ε小于TEOS氧化膜(也就是大约4.1或4.2)的薄膜。
11.术语“等离子处理”指的是:将衬底表面暴露在等离子状态下的环境中,如果在衬底上形成了绝缘膜或金属膜这样的部分,将其表面暴露在这样的环境中,从而使表面与等离子体发生化学的和机械的(轰击)反应。等离子体通常是这样产生的:用所需的处理气体充满用特定气体(处理气体)清洁的反应室,通过高频场得反应使气体电离。然而不可能用处理气体完全清洁反应室。所以,在该实施方案中,术语“氨等离子体”并不意味着完全的氨等离子体,它还可含有杂质气体(例如氮气、氧气、二氧化碳和水蒸气)。类似地,无须说明的是,该等离子体可含有另一种稀释气体或附加气体。
12.术语“还原气氛等离子体”指的是一种等离子体环境,其中像具有还原作用——即去氧作用——的原子团、离子、原子和分子这样的反应物占主导地位。这些原子团或离子包括原子或分子的原子团或离子。此外,此环境不仅可含有单种反应物,还可含有多种反应物。例如,在此环境中可同时具有氢原子团和NH3原子团。
在下面要描述的实施方案中,出于方便,如果需要的话,将把描述分成许多部分或许多实施方案。这许多部分或实施方案并不是互相独立的,除非特别指出,它们是这样一种关系,某个部分或实施方案是另一个的部分或整体的调整实施例、细节或补充描述。在下述实施方案中,当提到元素的数目(number of elements)(包括数量、值、总数和范围)时,元素数并不局限于一个特定的数目,而可以是大于或小于该特定数目,除非明确指出,或在原理上该数目明显应该只限于该特定数目。此外,在下述实施方案中,无须说明的是,组成元素(包括元素步骤)并不总是基本的,除非明确指出,或在原理上它明显应该是基本的。类似地,在下述实施方案中,当提到组成元素的形状或位置关系时,也包含那些与其基本相似或类似的,除非明确指出,或它在原理上完全不同。这对上述值和范围也适用。
在所有描述下述实施方案的附图中,具有相似功能的要素将用相似的参考号来标识,并且重复描述将被省略。在用于这些实施方案的附图中,某些时候也将平面图画上阴影线以便于理解附图。在下述实施方案中,MIS FET(金属绝缘体半导体场效应晶体管)型的场效应晶体管将省略为MIS。p-沟道型MIS FET和n-沟道型MIS FET将分别略作pMIS和nMIS。附带说明一下,MOS FET(金属氧化物半导体场效应晶体管)是MIS中的一类。
以下将明确地根据附图描述本发明的这些实施方案。
(实施方案1)
首先,将描述本发明者所调查的问题。图25为本发明者所调查的内嵌互连结构的剖面图。在绝缘膜50a、50b中,形成了互连沟51a。在互连沟51a中,形成了内嵌一级互连52a。在其上的绝缘膜50c、50d、50e、50f中,形成了互连沟51b和通孔53。在互连沟51b和通孔53中,形成了内嵌二级互连52b。内嵌二级互连52b具有导电阻挡膜52b1和主要由铜(Cu)组成的主导电膜52b2。导电阻挡膜52b1是由例如钛硅氮(TiSiN)通过MOCVD形成的。该导电阻挡膜52b1可由溅射来形成,但是,当采用溅射时,例如,在通孔的纵横比为4的情形中,通孔53侧壁的覆盖低至沉积在绝缘膜50f上的导电阻挡膜厚度的10%。因此,为了保证通孔53中阻挡铜扩散的特性,必须要加厚沉积到绝缘膜50f上的导电阻挡膜(大约30至50nm)。随着将来通孔53直径的小型化(大约0.18μm或更小),将会有各种各样的问题变得明显起来,例如互连电阻和通孔部分电阻的提高,以及由于导电阻挡膜在通孔开口上部的突出而导致的主导电膜52b2沉积的夹断,会发生埋入失败。另一方面,当导电阻挡膜52b1是由化学气相沉积(CVD)形成的时,与上述溅射方法相比,导电阻挡膜在通孔53的开口的上部不会突出,可以改善覆盖,从而改善铜在通孔53中的埋入特性。这使得有可能抑制或防止通孔53部分电阻的提高。根据本调查,本发明者首次发现,当使用单层钛硅氮膜时,不会有和导电阻挡膜52b1那样充分的功能,因为,由于与铜的不充分粘合(图25的粘合不充分部分54),在通孔53中会发生导电失效或电迁移。尤其是在半导体器件中,要使用于连接不同层的孔——例如接触孔或通孔——小型化,上述问题变得格外突出。在该实施方案1中,在主要由铜组成的导电膜和导电阻挡膜——例如由CVD形成的钛硅氮——之间,沉积了另一层能够改善它们之间粘合的导电膜。
其次,将根据图1至16描述根据实施方案1的半导体器件制造方法的某一特定实施例。图1为实施方案1的半导体器件在其制造步骤中时的局部平面图;而图2为沿图1的X1-X1线切开的剖面图。组成晶片1W的半导体衬底(下文中将简称为“衬底”)由例如电阻为大约1至10Ωcm的p型单晶硅制成。在衬底1S的主表面(器件形成表面)上具有槽隔离(SGI(浅槽隔离)或STI(浅沟隔离))2。槽隔离2如下形成:用氧化硅膜通过例如CVD方法掩埋形成在衬底1S主表面中的槽。在衬底1S的主表面侧,形成了p型阱PWL和n型阱NWL。在p型阱PWL和n型阱NWL中,例如,分别掺入了硼和磷。在p型阱PWL和n型阱NWL——它们是被槽隔离2包围的有源区——中,形成了构成CMIS(互补型MIS)的nMISQn和pMISQp。
nMISQn和pMISQp中每个的栅绝缘膜3由例如厚度大约为6nm的氧化硅膜制成。栅绝缘膜3的厚度是以二氧化硅计算的膜厚(以下将称之为“约化膜厚”),与真实的膜厚并不总是一致的。换句话说,栅绝缘膜3可导致栅绝缘膜3和衬底1S的界面上的氮分凝。由于氮氧化硅膜比氧化硅膜在抑制膜中界面态的产生和减少电子陷阱方面更为有效,因此它能够提高栅绝缘膜3的热载流子电阻,从而提高其介电强度。此外,与氧化硅膜相比,杂质在氮氧化硅膜中更不容易穿透,因此,当使用氮氧化硅膜时,可抑制由于栅电极材料中的杂质向衬底1S侧扩散而导致的阈值电压波动。氮氧化硅膜通过例如如下的方式来形成:通过氧化的方法在p型阱PWL和n型阱NWL每个的表面上形成氧化硅制成的栅绝缘膜3,然后将晶片1W在含氮气体——例如NO、NO2或NH3——的气氛中进行热处理。
作为选择,栅绝缘膜3可由例如氮化硅膜或氧化硅膜和氮化硅膜的复合绝缘膜形成。当由氧化硅膜制成的栅绝缘膜3的约化膜厚降低到小于5nm——特别是小于3nm——时,由于应力产生的热载流子而导致的直接隧穿电流的产生和介质击穿电压的降低将变得明显起来。氮化硅膜的介电常数大于氧化硅膜,因而氮化硅膜的约化膜厚薄于真实膜厚。换句话说,当栅绝缘膜具有氮化硅膜时,即使它在物理上较厚,其电容也等于相对较薄的二氧化硅膜的电容。通过用单个氮化硅膜或其与氧化硅膜组成的复合膜来构成栅绝缘膜3,可使有效膜厚大于由氧化硅膜构成的栅绝缘膜,使得有可能抑制热载流子导致的隧道漏电流的产生或介质击穿电压的降低。
通过例如堆叠低阻多晶硅膜、硅化钛(TiSix)层或硅化钴(CoSix)层而形成nMISQn和pMISQp的栅电极4。然而,栅电极结构并不限于上述那种,还可以是一种所谓的多金属栅结构,它具有例如依次堆叠的低阻多晶硅膜、WN(氮化钨)膜和W(钨)膜。在栅电极4的侧表面上,形成了由例如氧化硅组成的侧壁5。
用作nMISQn的源和漏的半导体区6具有相邻于沟道的n-半导体区以及与该n-半导体区相连的n+半导体区,n+半导体区沉积的位置与沟道的距离等于n-半导体区的宽度。在这些n-半导体区和n+半导体区中掺入了例如磷或砷。另一方面,用作pMISQp的源和漏的半导体区7具有相邻于沟道的p-半导体区以及与该n-半导体区相连的p+半导体区,p+半导体区沉积的位置与沟道的距离等于p-半导体区的宽度。在这些p-半导体区和p+半导体区中掺入了例如硼。在这些半导体区6、7上局部地方形成了硅化物层,例如硅化钛层或硅化钴层。
在晶片1W的衬底1S的主表面上沉积一层绝缘膜8。该绝缘膜8由流动性足够好,足以填充栅电极4、4之间狭窄空间的膜——例如,BPSG(掺硼硅酸磷玻璃)膜——制成。绝缘膜8可由SOG(旋涂玻璃)膜通过旋涂来形成。绝缘膜8中形成有接触孔9。从接触孔9的底部,部分暴露了半导体区6、7的上表面。在接触孔中形成了栓塞10。例如,该栓塞10如下形成:在包括接触孔9内侧的绝缘膜8的表面,通过CVD沉积氮化钛(TiN)膜和钨(W)膜,然后,通过CMP或深腐蚀从绝缘膜8上去掉氮化钛膜和钨膜的不必要部分,只留下接触孔9中的那些。
在绝缘膜上形成由例如钨制成的一级互连L1。该一级互连L1通过栓塞10与栅电极4和用作nMISQn或pMISQp的源、漏的半导体区6、7电相连。除了钨之外,还有多种材料可用作一级互连L1的材料。例如,铝或铝合金的单金属膜,或通过在这样的单金属膜两侧上的至少一层上堆叠金属膜——例如钛(Ti)或氮化钛(TiN)——而得到的叠层金属膜。在绝缘膜8上,沉积由例如氧化硅制成的绝缘膜11a以覆盖一级互连L1。在绝缘膜11a中制作用于暴露部分一级互连L1的通孔13。在通孔13中,埋入由例如钨制成的栓塞14。
在绝缘膜11a和栓塞14之上,由等离子CVD沉积一层绝缘膜15a。绝缘膜15a由例如氮化硅制成,厚度为大约25nm至50nm,优选地为50nm。当绝缘膜15a由氮化硅膜制成时,它通过利用甲硅烷(SiH4)气体以及氨(NH3)和氮气(N2)的混合气体由等离子体CVD来形成。绝缘膜15a可由低K材料——例如碳氮化硅(SiCN)、碳化硅(SiC)或氮氧化硅(SiON)——制成。由碳氮化硅制成的绝缘膜15a是例如利用三甲基硅烷(3MS;Si(CH3)3H)、氨(NH3)和载气(氦气(He)或氮气(N2))的混合气体通过等离子体CVD而形成的。由碳化硅制成的绝缘膜15a是例如利用三甲基硅烷和载气(氦气)的混合气体通过等离子体CVD而形成的。由氮氧化硅制成的绝缘膜15a是例如利用三甲基硅烷(TMS;SiH(OCH3)3)和氧化氮(N2O)的混合气体通过等离子体CVD而形成的。氮氧化硅的实施例包括PE-TMS(商品名;Canon出产,介电常数:3.9)。与用氮化硅形成绝缘膜15a相比,用碳氮化硅、碳化硅或氮氧化硅形成绝缘膜15a可极大地减小介电常数。这使得有可能减小互连电容,从而提高所得半导体器件的工作速度。载绝缘膜15a之上,沉积绝缘膜11b。绝缘膜11b由与绝缘膜11a相同的材料制成,厚度大于绝缘膜15a。
通过光刻和干法腐蚀,选择性去除绝缘膜11b、15a,形成互连沟(互连开口部分)16a。在形成互连沟16a时,绝缘膜11b相对于绝缘膜15a的腐蚀选择性被设得很大,以此使绝缘膜15a用作腐蚀停止层。换句话说,当腐蚀在绝缘膜15a的表面上停止之后,才利用腐蚀选择性去除绝缘膜15a。这使得有可能提高互连沟16a的深度精确性,并防止互连沟16a的过腐蚀。在图1中,示出了具有条形平面并沿垂直方向延伸的互连沟16a。从互连沟16a的底部,暴露了栓塞14的上表面。该互连沟16a的纵横比例如为1。
图3为半导体器件的一部分在图1之后的制造步骤中时的剖面图,该部分相应于图1的X1-X1线;图4为图3的互连沟16a的一部分的局部放大剖面图。如图3和4所示,通过溅射或MOCVD(金属有机化学气相沉积)在晶片1W的整个主表面上沉积高熔点金属——例如钽(Ta)——制成的薄导电阻挡膜17a。该导电阻挡膜17a能够例如防止用于形成下面将要描述的主导电膜的铜的扩散,改善主导电膜和绝缘膜11b、15a之间的粘合,以及改善铜在主导电膜回流时的湿润。作为导电阻挡膜17a,使用了与铜的反应性弱但是与其有高粘合性的钽(Ta)。导电阻挡膜17a沉积在绝缘膜11b上,厚度为例如大约50nm。可以用下列材料代替钽:高熔点金属,例如钛(Ti)、钨(W)或钛钨(TiW)合金;或高熔点金属的难与铜反应的氮化物,例如氮化钽(TaN)、氮化钨(WN)或氮化钛(TiN)。作为单层钽膜的替代,可使用通过从衬底1S网上依次堆叠氮化钽和钽而得到的叠层膜。作为替代,可使用通过在高熔点金属的氮化物中加入硅而得到的材料,例如钛硅氮。
图5为半导体器件一个部分在图3之后的制造步骤中时的剖面图,该部分相应于图1的X1-X1线;图6为图5的互连沟16a的一部分的局部放大剖面图。如图5和6所示,在导电阻挡膜17a上沉积由相对较厚的铜膜——厚度为大约800至1600nm——制成的主导电膜18a。在实施方案1中,主导电膜18a通过例如电镀方法来制成。采用电镀方法,可以低成本很好地埋入具有优良膜质量的主导电膜18a。在此情形中,主导电膜18a如下形成:通过溅射在导电阻挡膜17a上沉积由铜制成的薄导电膜18a1,然后,通过例如电镀或无电镀膜方法在导电膜18a1上生长由铜制成的相对较厚的导电膜18a2。对于该电镀处理,使用了主要由硫酸铜组成的电镀液。作为选择,上述主导电膜18a可通过溅射来形成。尽管通常使用的溅射方法可用作形成导电阻挡膜17a和主导电膜18a的溅射方法,但还是优选使用具有高度方向性的溅射方法,例如长程溅射或准直溅射,以改善埋入特性和膜质量。主导电膜18a还可通过CVD来形成。在沉积这样的主导电膜18a之后,将衬底1S在例如大约475℃的无氧化气氛(例如,氢气氛)中进行热处理来引起主导电膜18a的回流,从而使铜完全埋入互连沟16a中。
图7为半导体器件一个部分在图5之后的制造步骤中时的剖面图,该部分相应于图1的X1-X1线;图8为图7的互连沟16a的一部分的局部放大剖面图。在该步骤中,用CMP对图5中所示的主导电膜18a和导电阻挡膜17a进行抛光以在互连沟16a中形成内嵌二级互连L2。内嵌二级互连L2具有相对较薄的导电阻挡膜17a和相对较厚的主导电膜18a,与一级互连L1通过栓塞14电相连。
在该实施方案1中,用作CMP的是一种两步CMP,具有例如上述无磨料CMP(第一步)和下面将要特别描述的有磨料CMP。
第一步CMP目的在于选择性抛光铜制成的主导电膜18a。抛光液(浆料)含有:用于形成保护膜的防蚀剂,铜的氧化剂,以及铜氧化膜的腐蚀成分,但不含有磨料。抛光液所含有的磨料总量的质量百分比为例如0.5%或更少,优选地0.1%或更少,尤其优选地0.05%或更少,更加优选地0.01%或更少。磨料在抛光液中的总比重可占到3至4%。所用的抛光液的pH值调整到能腐蚀铜的区域内。此外,所用的抛光液具有这样的成分:其对主导电膜18a的抛光选择性相对于导电阻挡膜17a来说大5或更多。作为这样的抛光液,含有氧化剂和有机酸的浆料可作为一个实施例。氧化剂的实施例包括过氧化氢(H2O2)、氢氧化铵、硝酸氨和氯化铵,而有机酸的实施例包括柠檬酸、丙二酸、延胡索酸、苹果酸、己二酸、苯甲酸、酞酸、酒石酸、乳酸、丁二酸以及草酸。在这些当中,过氧化氢是适于加入抛光液中的氧化剂,因为它不含有金属成分,同时,它不是强酸,而柠檬酸是适于加入抛光液中的有机酸,因为它通常用于食物添加剂中,具有低毒性,作为废液没有太大害处,它无味,并且具有高的水溶性。在该实施方案中,所用的为在纯水中加入体积百分比为5%的过氧化氢和质量百分比为0.03%的柠檬酸而得到的抛光液,其磨料的质量含量调整为0.01%或更小。作为防蚀剂,使用了例如BTA。
在第一步无磨料CMP中,主要由化学因素抛光主导电膜18a,虽然同时产生主导电膜18a的保护作用和腐蚀作用。特定地说,通过用上述抛光液进行的化学机械抛光,铜表面被氧化剂氧化,从而在表面上形成了一层薄的氧化层。然后,当对氧化层加以助水溶物质时,它作为水溶液洗提掉,氧化层的厚度减小。通过将其暴露在氧化性物质中,氧化层的减薄部分变厚。通过重复这一反应,进行化学机械抛光。保护膜主要是通过将其与抛光垫接触而去掉的。
作为某一实施例,抛光在下列条件下进行:250g/cm2的负载,30rpm的晶片载体转速,25rpm的滚筒转速,以及150cc/min的浆料流速。作为抛光垫,使用一种硬垫(“IC1400”,商品名;Rodel/USA出产)。此处使用硬垫来提高膜的平整度,但也可使用软垫。当去掉主导电膜18a后停止抛光以暴露下层导电阻挡膜17a。通过探测要抛光的主体从主导电膜18a到导电阻挡膜17a的切换发生时滚筒或晶片载体的旋转扭矩信号强度的改变来探测停止点。作为选择,可以在抛光垫的一个部分上打一个通孔,根据来自晶片1W表面的光的反射谱的改变来探测停止点,或根据浆料光谱的改变来探测。在这样的抛光处理中,由铜制成的主导电膜18a的抛光速率为例如大约500nm/min,而导电阻挡膜18a的抛光速率为例如大约3nm/min。尽管不能以批量方式来确定抛光时间——因为它随主导电膜18a的厚度不同而不同,但是当膜厚如上所述时,抛光进行例如大约2至4分钟。
第一步之后的第二步目的在于选择性抛光导电阻挡膜17a。在该第二步中,尽管导电阻挡膜17a与抛光垫接触,但主要还是由化学因素对其进行抛光。除了防蚀剂外,此处所用的抛光液还含有氧化膜的氧化剂和腐蚀成分,以及磨料。在该实施方案1中,使用在纯水中加入例如5%体积百分比的过氧化氢、0.03%质量百分比的柠檬酸以及0.5至0.8%质量百分比的磨料而得到的混合物作为抛光液。抛光液并不局限于此。磨料的总量设为例如1%质量百分比或更少,以使其不能腐蚀下层绝缘膜11b。作为磨料,使用了例如胶状硅石(SiO2)。使用胶状硅石作为磨料极大地减小了用CMP抛光的绝缘膜11b表面上的损伤,从而可实现无刮擦抛光。在该第二步中,氧化剂的总量小于第一步中所用的氧化剂总量,这意味着抛光液中防蚀剂相对含量的提高。主导电膜18a相对于导电阻挡膜17a的选择性比无磨料化学机械抛光低例如3或更少。在第二步中,通过在这样的条件下进行抛光,可增强保护,同时抑制由铜制成的主导电膜18a的氧化,从而可防止主导电膜18a的过度抛光,并可抑制或防止形成凹坑或腐蚀。这使得有可能抑制或防止互连电阻的增大或波动,从而改善所得半导体器件的性能。
作为某一实施例,第二步抛光在如下条件下进行:120g/cm2的负载,30rpm的晶片载体转速,25rpm的滚筒转速,以及150cc/min的浆料流速。作为抛光垫,使用了“IC1400”(商品名;Rodel出产)。抛光总量设置为相应于导电阻挡膜17a的厚度,抛光停止点由导电阻挡膜17a和抛光速率算得的抛光时间来控制。在这样的抛光处理中,导电阻挡膜17a、铜制成的主导电膜18a,以及下层绝缘膜12b的抛光速率分别为例如大约80nm/min、大约7nm/min和大约3nm/min。尽管不能以批量方式来确定抛光时间——因为它随导电阻挡膜17a的厚度不同而不同,但是当该层膜具有上述厚度时,抛光时间大约为一分钟。作为磨料,可使用氧化铝(Al2O3)来代替胶状硅石。根据实施方案1,使用上述CMP来进行抛光处理,以形成内嵌二级互连L2,从而可极大减小CMP处理所抛光的绝缘膜11b表面上的损伤,并实现无刮擦抛光。
在完成上述抛光之后,将晶片1W的表面进行防蚀处理。防蚀处理部分具有类似于抛光处理部分的结构。在将晶片1W的主表面压在附在抛光滚筒表面的抛光垫上,机械去除抛光浆料之后,对晶片1W的主表面加以含有防蚀剂——例如,苯并***(BTA)——的化学溶液,从而在形成在晶片1W主表面上的铜互连的表面部分上形成斥水保护膜。
完成了防蚀处理的晶片1W暂时存放在浸渍部分中,以防止其表面变干。浸渍部分用于完成了防蚀处理的晶片1W表面变干直到开始CMP后清洗。有例如这样的结构,在溢出纯水的浸渍箱(储料器)中浸渍或存放预定数量的晶片1W。通过箱浸渍箱中加入冷得足以使内嵌二级互连L2得电化学腐蚀反应基本不能进行的纯水,可更彻底地防止内嵌二级互连L2的腐蚀。除了上述在浸渍箱中存放晶片的方法之外,还可采用其它方法,只要它能保持晶片1W表面的湿润状态,例如,用纯水喷淋晶片1W。
然后,立即将晶片1W在保持表面湿润的同时进行CMP后清洗步骤。首先将晶片1W进行碱洗。进行碱洗以去除杂质,例如CMP处理时的浆料。中和CMP时附着在晶片1W上的酸性浆料以使晶片1W、杂质和清洗刷的zeta电势沿同一方向。为了消除它们之间的吸引力,对晶片1W的表面进行擦洗(或刷洗),同时向其供给pH值为8或更大的弱碱化学溶液。作为碱性化学溶液,使用氨基乙醇(DAE:稀释氨基乙醇,组分:2-氨基乙醇,H2NCH2CH2OH,浓度:大约为0.001%至0.1%,优选地为0.01%)。该化学溶液对铜的腐蚀作用更弱,具有和NH4OH相同的去污力。
然后,将晶片1W(特定地,CMP抛光的表面,从该表面上,暴露了内嵌二级互连L2)进行还原处理。特定地说,使晶片1W(特定地,CMP抛光的表面)在氢气气氛中,在200至475℃——优选地300℃——下进行0.5至5分钟——优选地大约2分钟——的热处理(氢气(H2)退火:图5的步骤105)。通过该热处理,可将CMP时在内嵌二级互连L2表面上产生的氧化铜膜还原为铜,从而抑制或防止随后酸清洗对内嵌二级互连L2的腐蚀。这使得有可能同时抑制或防止互连电阻的增大、互连电阻的波动以及步骤差别的出现;此外,可抑制或防止腐蚀侵蚀的产生。当没有进行还原处理时,CMP时粘附在晶片1W表面上的有机物——例如BTA——就会成为清洁时的掩模,打乱绝缘膜11b表面层的平滑腐蚀。当如该实施方案1中那样进行还原处理时,可除去CMP时粘附的有机物——例如BTA,从而可从绝缘膜11b上将表面层完全地、均匀地去除。这使得可以极大地提高所得半导体集成电路器件的TDDB寿命。在某些情形中,上述氢气退火不是必须的。
然后将晶片1W进行酸洗。进行酸洗以改善TDDB特性、除去残留金属、减少绝缘膜12b表面上的悬挂键以及消除绝缘膜12b表面上的不平坦性。对晶片1W的表面加以氢氟酸水溶液以通过腐蚀除去其上的杂质(微粒)。只有在清洗步骤中加入氢氟酸才能改善TDDB特性,这被认为是因为通过酸洗除去了表面受损层并提高了界面上的粘合性。对氢氟酸(HF)清洗使用例如刷擦洗,在这样的条件下进行:HF浓度0.5%,清洗时间20秒。在上述实施例中,碱洗之后进行还原然后才是酸洗。CMP后清洗处理的顺序并不局限于此,而是可以多种方式改变的。例如,在CMP处理之后,以还原、碱洗和酸洗的顺序进行处理。作为选择,可省略间隙而只进行酸洗,这意味着CMP后处理在CMP处理之后以还原、酸洗的顺序进行。只有酸洗改善了TDDB特性,这被认为是由于受损层的去除提高了界面特性。在CMP后清洗处理之前或同时,可对晶片1W的表面进行纯水擦洗、纯水超声清洗、流动纯水清洗或纯水旋洗,或者可对晶片1W的背侧进行纯水刷洗。
在实施方案1中,整个CMP处理部分,以及包括转移室、防蚀室、浸渍室(储料器)、还原室和清洗室在内的后继部分都具有遮光结构,以抑制或防止晶片1W的金属(此处为内嵌二级互连L2)由于电化学作用而发生腐蚀,如果不加进遮光结构,那么当晶片1W在CMP处理之后暴露在光线下时,就会发生腐蚀。在CMP处理之后从CMP设备中取出晶片1W后但还未进行清洗处理之前尤其容易发生这一现象。通过使CMP处理部分以及后继步骤的每个腔室都具有遮光结构,保护了晶片1W的表面在这些步骤中不会暴露在照明光下,使得有可能避免光电效应所导致的短路电流的产生,从而抑制或防止了金属的腐蚀。这样的遮光结构通过用遮光板覆盖CMP设备和后继步骤的腔室来实现,从而将每个腔室中的照明减小到500勒克斯或更小,优选地300勒克斯或更小,更优选地100勒克斯或更小。在上述清洗处理之后,使用旋转式脱水机对晶片1W进行干燥处理,然后进行后继步骤。
在上述CMP后清洗处理之后,将晶片1W如下述那样进行还原等离子体处理。特定地说,将晶片1W(特定地,暴露了内嵌二级互连L2的CMP表面)进行氢等离子体处理。当晶片1W直径为例如8英寸(大约200mm)时,氢等离子体处理在下述条件下进行:5.0Torr(=6.6661×102Pa)的处理压力、600W的射频(RF)功率、400℃的衬底温度、500cm3/min的氢气流速以及10至30秒的处理时间。电极之间的距离设为600密耳(15.24mm)。作为处理气体,使用单一的氢(H)气或氢(H)和氮(N)的混合气体。
由于其极强的去除有机物的能力(高于下面将要描述的氨等离子体处理),氢等离子体处理几乎完全去除了CMP时浆料或浆料成分中所含有的BTA、CMP后清洗之后的有机酸以及在这些过程中产生的残留有机物,使得有可能降低界面漏电流。结果,进一步提高了TDDB寿命。
在上述氢等离子体处理之后,在不让晶片1W与空气接触的情况下,将其进行还原处理,如下所述。特定地说,将晶片1W(特定地,暴露内嵌二级互连L2的CMP表面)进行氨(NH3)等离子体处理。当晶片1W直径为例如8英寸(大约200mm)时,氨等离子体处理在下述条件下进行:0.5至1.0Torr(=66.6612至133.332Pa)的处理压力、大约500至1000W的加在等离子体处理设备上电极上的电压、大约0至1000W(优选地,0)的加在等离子体处理设备下电极上的电压、大约300至400℃的衬底温度、500至1500cm3/min的氨气流速以及大约5至60秒的处理时间。电极之间的距离设为300至600密耳(7.62至15.24mm)。
通过这样的氨等离子体处理,铜互连表面上因CMP而氧化得到的铜氧化物(CuO、CuO2)被还原成铜(Cu)。另外,在内嵌二级互连L2的表面(很薄的范围)上形成用于防止铜因设置流(set flow)而硅化的氮化铜(CuN)层。在绝缘膜12b的上表面(很薄的范围)上、互连之间形成SiN和SiH,以补偿绝缘膜12b表面上的悬挂键。另外,可改善下面将要描述的盖帽绝缘层和内嵌二级互连L2或绝缘膜11b之间的粘合,减小了界面漏电流。这样的效应又带来了TDDB寿命的提高。当相继进行上述氢等离子体处理和氨等离子体处理时,有可能还原主要由铜组成的内嵌二级互连L2的表面,以形成硅化物阻挡层,并获得绝缘膜11b界面的清洗、SiH和SiN效应,进一步提高可靠性。
无须说明的是,还原等离子体处理的条件并不局限于上述那些。在上述实施例中,氢等离子体处理之后是氨等离子体处理。还原处理并不局限于此,还可以各种方式进行改变。例如,在氨等离子体处理之后,可进行氢等离子体处理,同时保持真空状态。作为选择,还原处理可仅包含氨等离子体处理。即使在这样的情形中,也能提高TDDB寿命。
图9为半导体器件互连形成部分在图7之后的制造步骤中时的局部放大剖面图。在该步骤中,在氨等离子体处理之后,在进行氨等离子体处理的等离子体处理室中,马上利用等离子体CVD在绝缘膜11b和内嵌二级互连L2上沉积绝缘膜15b,同时保持真空状态,不让晶片接触空气。绝缘膜15b的材料、厚度和形成方法与绝缘膜15a的都类似,因而省略对它们的描述。然后,在绝缘膜15b上,按照绝缘膜11c、15c、11d和15d的顺序依次沉积。绝缘膜11c的材料和形成方法与绝缘膜11a或11b的都类似,因而省略对它们的描述。绝缘膜15c和15d的材料、厚度和形成方法与绝缘膜15a和15b的都类似,因而省略对它们的描述。
图10为半导体器件互连形成部分在图9之后的制造步骤中时的局部放大剖面图。在该步骤中,通过光刻和干法腐蚀,在绝缘膜11d、15c中形成平整的条形互连沟(互连开口部分)16b,在绝缘膜11c、15b中形成从互连沟16b底部向内嵌二级互连L2的上表面延伸的平整的盘形通孔(互连开口部分)19。通孔19的直径为例如大约0.18μm。通过腐蚀去除图9中的绝缘膜15d,同时通过腐蚀形成通孔19所用的开口。
图11为半导体器件互连形成部分在图10之后的制造步骤中时的局部放大剖面图;图12和13分别为图11的A、B区域的剖面图。在该步骤中,在晶片1W的绝缘膜11d上、互连沟16b和通孔19内,沉积了导电阻挡膜17b。导电阻挡膜17b并没有完全填满互连沟16b和通孔19,而是薄薄地附在内表面(侧表面和底表面)上。在实施方案1中,导电阻挡膜17b具有叠层结构,由三层导电膜17b1、17b2、17b3组成。
最下层导电膜(第一导电膜)17b1主要用于改善导电阻挡膜17b和其它材料之间的粘合。安排这样一层导电膜17b1改善了导电阻挡膜17b和绝缘膜之间的粘合,从而可抑制或防止导电阻挡膜17b的剥落。另外,与仅由钛硅氮膜组成的导电阻挡膜17b相比,安排这样一层导电膜使得有可能改善导电阻挡膜17b和主要由铜组成的内嵌二级互连L2的主导电膜18a2之间的粘合,提高了通孔19底部的EM电阻。它还抑制或防止了导电失效的发生,如果没有这层导电膜,那么由于主要由铜组成的主导电膜18a2在通孔19的底部处从导电阻挡膜17b上剥落,将会发生导电失效。此外,由于导电阻挡膜17b和主导电膜18a2在通孔19底部粘合的改善,可抑制或防止空位的产生,否则,由于应力迁移,在通孔19的底部(在主导电膜18a2之上)会产生空位。这减小了通孔19底部的电阻。在该步骤中,通过选择例如钽(Ta)作为导电膜17b1的材料,有可能使其具有改善导电阻挡膜17b和绝缘膜11c、11d、15b、15c或由铜制成的主导电膜18a2之间的粘合的功能,同时,可使其具有阻挡铜扩散的功能。导电膜17b1由例如溅射、MOCVD或原子层沉积(ALD)来形成。尤其当导电膜17b1的沉积由溅射来进行时,可改善其与绝缘膜11c、11d、15a、15c之间的粘合。如图12所示,导电膜17b1在通孔19的侧壁上的厚度d1为大约2nm或更小。当使用ALD来形成时,导电膜17b的厚度可做得比其它任何由溅射或CVD来形成的膜都要薄。下面将要描述通过ALD形成,例如,氮化钛(TiN)膜。利用TiCl4作为含Ti气体,在通孔19和互连沟16b的侧壁和底表面沉积相当于一个原子层的TiCl4层。然后,利用Ar气腐蚀,清洁这样沉积的TiCl4层的表面。然后,使TiCl4层和用作含氮气体的NH3之间发生化学反应,随后通过用Ar气腐蚀对其进行清洗,以获得相当于一个分子的TiN层。通过重复上述步骤,可得到厚度为2nm或更小的TiN层。这样形成的ALD膜在覆盖上比CVD膜好得多,且具有优良的膜质量,因为它是通过重复沉积相当于一个分子的层来形成的。上述方法并不局限于TiN,还可自由地形成含有其它材料地的膜,只要改变原始材料气体。如图13所示,在通孔19底部地导电膜17b1为例如3nm或更薄。用作导电膜17b1的其它材料的实施例包括:高熔点金属,例如钛(Ti)和铌(Nb);高熔点金属的氮化物,例如氮化钽和氮化钛;通过在氮化钽上堆叠钽而得到的叠层膜;通过在氮化钛上堆叠钛而得到的叠层膜,高熔点金属的硅化物,例如硅化钽(TaSix)、硅化钛(TiSix)、硅化铜(CuSi)和硅化铌(NbSix);通过在高熔点金属的氮化物中加入硅(Si)而得到的材料,例如钽硅氮(TaSiN);以及硅。
中层导电膜(第二导电膜)17b2的功能主要是抑制和防止铜扩散。导电膜17b2由例如钛硅氮制成,通过例如MOCVD或ALD(原子层沉积)来形成。通过由MOCVD或ALD形成导电膜17b2,可改善互连沟16b和通孔19中导电膜17b2的阶梯覆盖。例如,沉积在通孔19内(在侧表面和底表面)的导电膜17b2的厚度可以是沉积在绝缘膜11c上的导电膜17b2的厚度的大约60%。这消除了沉积更厚的导电膜17b2的必要性,使得有可能抑制或防止导电膜阻挡膜17b在通孔19上开口部分的突出。另外,互连沟16b和通孔19内的导电阻挡膜17b可以是一薄膜,使得有可能用主要由铜组成的主导电膜充分填满互连沟16b和通孔19,并增大主导电膜的电容。这降低了内嵌互连部分和通孔19部分的电阻。如图12所示,通孔19内侧壁上的导电膜17b2的厚度d3为例如3nm或更小。如图13所示,通孔19底部上的导电膜17b2的厚度d4为例如3nm或更小。作为形成由钛硅氮制成的导电膜17b2的方法,使用含钛气体和含硅气体的混合物或含钛气体、含硅气体和含氮(N)气体的混合物的热CVD可以是一个实施例。作为含钛气体,至少可用TiCl4、tetraxydiethylaminoethanol和tetraxydimethylaminoethanol。作为含硅气体,至少可用硅烷气体之一,例如SiH2Cl2、SiHCl3、SiCl4、Si2H4和SiH4。作为含氮气体,至少可用氨(NH3)和一甲基肼的混合气体和氮气(N2)中的一种。下面将描述用CVD形成钛硅氮(TiSiN)的方法。例如,通过使用上述含钛气体和含氮气体形成氮化钛(TiN)膜。然后在所得的TiN膜表面上通过使用含硅气体加入硅以形成TiSiN膜。优选地使用甲硅烷气体(SiH4)作为含硅气体。在该情形中,导电膜17b2具有钛硅氮(TiSiN)层和氮化钛层,二者堆叠,前者构成表面层。
下面是用ALD形成TiSiN膜的方法。首先,使用TiCl4作为含钛气体,在通孔19和互连沟16b内的侧壁和底表面上沉积相当于一个分子的TiCl4膜。然后,导入Ar气以清洁TiCl4层的表面。通过使用NH3作为含氮气体,导致了与相当于一个分子的TiCl4层的化学反应。然后,使用Ar气清洁表面,从而得到了相当于一个分子的TiN。作为含硅气体,使用SiH4来与TiN层反应,从而得到了相当于一个分子的TiSiN层。通过重复上述操作,可获得厚度为大约2nm或更小的TiSiN层。作为选择,使用SiH4气体作为含硅气体,在相当于一个分子的TiN层表面上加入Si,获得了厚度为大约2nm或更小的TiSiN层。通过使用能比CVD形成更薄的膜的ALD,可进一步改善通孔19和互连沟16b的覆盖。另外,通过重复沉积相当于一个分子的层而形成膜,从而这样得到的膜致密且具有优良的膜质量。只要改变原始材料气体,就可自由地形成含有其它材料的膜。膜并不局限于TiN。这也同等地用于将在另一实施方案中描述的ALD膜。形成导电膜17b2时晶片1W的温度为例如大约250至700℃。用于导电膜17b2的其它材料的实施例包括材料例如钽硅氮(TaSiN)膜,通过在高熔点金属氮化物和氮化钛中加入硅而得到。
最上层导电膜(第三导电膜)17b3的主要功能是改善导电阻挡膜17b和主要由铜组成的导电膜之间的粘合。通过安排这样一层导电膜17b3,与导电阻挡膜17由单层钛硅氮膜形成的情形相比,改善了主要由铜组成的主导电膜——这层膜要埋入互连沟16b和通孔19中——和导电阻挡膜17b之间的粘合。它还提高了通孔19处的EM电阻。另外,可抑制或防止导电失效的产生,否则,由于通孔19中主要由铜组成的主导电膜从导电阻挡膜17b上的剥落,会发生导电失效。这减小了通孔19处的电阻。在该步骤中,通过选择钽作为导电膜17b3的材料,有可能使导电膜17b3同时具有改善导电阻挡膜17b和由铜组成的主导电膜——该层膜将在后面形成作为内嵌三级互连的构成成分——之间的粘合的功能以及阻挡铜扩散的功能。导电膜17b3通过溅射、MOCVD或ALD来形成。通过由溅射沉积导电膜17b3,可改善其与导电膜17b2之间的粘合。如图12所示,导电膜17b3在通孔19中的侧壁上的厚度d5为例如2nm或更小,而导电膜17b3在通孔19底部上的厚度d6为例如3nm或更小。用于导电膜17b3的其它材料的实施例包括:高熔点金属,例如钛和铌;高熔点金属的氮化物,例如氮化钽和氮化钛;在氮化钽上堆叠钽而得到的叠层膜;高熔点金属的硅化物,例如硅化钽、硅化钛、硅化铜和硅化铌;在高熔点金属的氮化物中加入硅(Si)而得到的材料,例如钽硅氮;以及硅。
图14为半导体器件的互连形成部分在图11之后的制造步骤中时的局部放大剖面图。在该步骤中,与内嵌二级互连L2的主导电膜18a类似,通过下述方法形成主导电膜(第四导电膜)18b:利用溅射在导电阻挡膜17b(导电膜17b3)上沉积一层由铜制成的薄导电膜18b1,通过例如涂覆或电镀方法在其上生长由铜制成的相对较厚的导电膜18b2。和主导电膜18a的形成一样,可使用溅射或CVD作为替代来形成主导电膜18b。在沉积了主导电膜18b之后,在例如无氧化气氛(例如,氢气气氛)中、大约475℃下对晶片1W进行热处理以引起主导电膜18b的回流,从而使铜完全填满互连沟16b和通孔19的内部。在实施方案1中,如上所述,由于导电阻挡膜17b较薄,在互连沟16b和通孔19中没有突出,所以主导电膜18b2的铜可很好地填充在互连沟16b和通孔19中。另外,由于导电膜17b3改善了其本身与主导电膜18b之间的粘合,可抑制或防止导电失效的产生,否则,由于主导电膜18b从导电阻挡膜17b上的剥落,会发生导电失效。这提高了通孔19中的EM电阻。此外,由于要埋入通孔19中的主导电膜18b主要由铜组成,可减小通孔19部分处的电阻。由于通过形成导电膜17b1可改善导电阻挡膜17b和绝缘膜之间的粘合,可抑制或防止导电阻挡膜的剥落。还可抑制或防止导电失效的产生,否则,由于通孔19底部主要由铜组成的主导电膜18a2从导电阻挡膜17b上的剥落,会发生导电失效。
图15为半导体器件的互连形成部分在图14之后的制造步骤中时的局部放大剖面图;图16为半导体器件的晶片某一实施例在图15的制造步骤中时的局部剖面图。在该步骤中,通过类似于形成内嵌二级互连L2时所用的CMP对图14中所示的主导电膜18b和导电阻挡膜17b进行抛光,从而在互连沟16b和通孔19中形成了内嵌三级互连L3,如图15所示。内嵌三级互连L3具有由MOCVD或ALD形成的相对较薄的导电阻挡膜17b——它薄于由溅射形成的膜,和相对较厚的主导电膜18b,并且通过通孔19与内嵌二级互连L2电相连。在类似于形成内嵌二级互连L2时所用的处理之后,与形成绝缘膜15b时一样,在绝缘膜11c和内嵌三级互连L3上形成用作互连盖帽的绝缘膜(第二绝缘膜)15e。绝缘膜15e的材料和厚度都与绝缘膜15a、15b、15c的相似。
图17为如实施方案1中形成的内嵌互连(此处,内嵌三级互连)的互连电阻与本发明者所调查的内嵌互连结构的互连电阻相比的曲线图。每个白方块表示在根据实施方案1在铜和钛硅氮膜之间***钽的情形中互连电阻的测量点,而每个黑圆圈表示在根据本发明者所调查的技术使用在氮化钽上堆叠钽而得到的膜作为导电阻挡膜的情形中互连电阻的测量点。从图17可以看出,根据实施方案1,可降低互连电阻。
图18为如实施方案1中形成的内嵌互连(此处,内嵌三级互连L3)在通孔19处的电阻(通路电阻)与本发明者所调查的内嵌互连结构的通路电阻相比的曲线图。每个黑圆圈表示在根据实施方案1在铜和钛硅氮膜之间***钽的情形中通路电阻的测量点,而每个白方块表示在根据本发明者所调查的技术使用钛硅氮单层膜作为导电阻挡膜的情形中通路电阻的测量点。从图18可以看出,根据实施方案1,也降低了通路电阻。
图19为如实施方案1中形成的内嵌互连(此处,内嵌三级互连L3)的电迁移(下文中简写为“EM”)电阻(可靠性)与本发明者所调查的内嵌互连结构的EM电阻相比的曲线图。每个白方块表示在根据实施方案1在铜和钛硅氮膜之间***钽的情形中EM电阻的测量点,而每个黑圆圈表示在根据本发明者所调查的技术使用钛硅氮单层膜作为导电阻挡膜的情形中EM电阻的测量点。从图19可以看出,根据实施方案1,提高了EM电阻(可靠性)。
(实施方案2)
在实施方案2中,导电阻挡膜具有由导电膜组成的双层结构。下面将根据图20和21描述该结构。图20为根据实施方案2,半导体器件的互连形成部分在其一个制造步骤中时的局部放大剖面图;图21为半导体器件的互连形成部分在图20之后的制造步骤中时的局部放大剖面图。
在实施方案2中,在根据实施方案1的图1至10描述的步骤之后,在晶片的绝缘膜11d上、以及互连沟16b和通孔19中沉积具有两层导电膜17b2、17b3的导电阻挡膜17b。还是在此情形中,导电阻挡膜17b并不完全填满互连沟16b和通孔19,而是在它们内部(侧表面和底表面)薄薄地附着一层。如实施方案1中那样用CVD或ALD形成导电膜17b2,可改善互连沟16b和通孔19中导电膜17b2的阶梯覆盖,从而可抑制或防止导电阻挡膜17b在通孔19上开口部分处的突出,并且可以使互连沟16b和通孔19内的导电阻挡膜17b形成薄膜,使得有可能用主要由铜组成的主导电膜充分填充互连沟16b和通孔19,并增大主导电膜的电容。这降低了内嵌互连部分和通孔19部分的电阻。另外,通过沉积导电膜17b3,与导电阻挡膜17b仅由单层钛硅氮膜形成的情形相比,主要由铜组成的主导电膜——这层膜将埋入互连沟16b和通孔19中——和导电阻挡膜17b之间的粘合得以改善。结果,提高了通孔19中的EM电阻,此外,还抑制或防止了导电失效的产生,否则,由于通孔19中主要由铜组成的主导电膜从导电阻挡膜17b上的剥落,会发生导电失效。还减小了通孔19部分处的电阻。导电膜17b3优选地由溅射形成。这样的话,与由CVD形成导电膜17b3相比,可改善主要由铜组成并埋入通孔19中的主导电膜和导电阻挡膜17b之间的粘合。导电膜17b2、17b3在通孔19的侧表面和底表面上的厚度与实施方案1中类似。用ALD可形成比CVD所形成的更薄的导电膜17b,呈现出优良的覆盖,此外,这样形成的膜致密且具有改善的膜质量。然后,如图21所示,和实施方案1中一样,在晶片1W上沉积主要由铜组成的主导电膜18b,然后利用CMP对主导电膜18b和下层导电阻挡膜17b的不必要部分进行抛光,从而形成内嵌三级互连L3。然后,与实施方案1中一样,在CMP后处理之后,沉积用作互连盖帽的绝缘膜15e。
根据实施方案2,除了实施方案1可得的效果之外,还可得到如下效果。特定地说,由于导电阻挡膜17b可做得比实施方案1中更薄,可降低互连沟16b和通孔19中铜的电容,因此,可使内嵌互连和通孔19部分处的电阻小于实施方案1中的。另外,可减少形成导电膜的步骤,缩短了半导体器件的制造时间。还降低了半导体器件的制造成本。
(实施方案3)
在实施方案3中,将描述层间绝缘膜具有低介电常数绝缘膜(低K绝缘膜)的半导体器件。术语“低介电常数绝缘膜”指的是介电常数低于氧化硅膜(例如,TEOS(四乙氧基硅烷)氧化物膜)的绝缘膜。TEOS氧化物膜的相对介电常数通常为大约4.1至4.2。
图22为半导体器件的互连形成部分在类似于实施方案1的图1至10所示的制造步骤之后的类似于图11所示的制造步骤中时的局部放大剖面图。在实施方案3中,在绝缘膜11a1上堆叠绝缘膜11a2形成绝缘膜11a,在绝缘膜11b1上堆叠绝缘膜11b2形成绝缘膜11b,在绝缘膜11c1上堆叠绝缘膜11c2形成绝缘膜11c,在绝缘膜11d1上堆叠绝缘膜11d2形成绝缘膜11d。每层绝缘膜11a1、11b1、11c1、11d1都由低介电常数绝缘膜膜(低K绝缘膜)——例如有机聚合物或有机硅石玻璃——制成。有机聚合物的实施例包括:“SiLK”(商品名;Dow Chemical Co./USA出产,相对介电常数:2.7,耐热温度:490℃或更高,介质击穿电压:4.0至5.0MV/Vm),以及聚烯丙基醚(PAE)材料,例如“FLARE”(商品名;Honeywell Electronic Materials/USA出产,相对介电常数:2.8,耐热温度:400℃或更高)。PAE材料的特点在于高的基本性能以及优良的机械强度、热稳定性和性价比。有机硅石玻璃(SiOC材料)的实施例包括:“HSG-R7”(商品名;Hitachi Chemical Co.,Ltd.出产,相对介电常数:2.8,耐热温度:650℃),“Black Diamond”(商标;Applied Materials,Inc./USA出产,相对介电常数3.0至2.4,耐热温度:450℃),以及“P-MTES”(商品名;Hitachi Kaihatsu出产,相对介电常数3.2)。SiOC材料的其它实施例包括:“CORAL”(商品名;Novellus System,Inc./USA出产,相对介电常数:2.7至2.4,耐热温度:500℃),以及“Aurora 2.7”(商品名;Nippon ASM出品,相对介电常数2.7,耐热温度:450℃)。
作为绝缘膜11a1、11b1、11c1、11d1所用的低介电常数材料,还可使用FSG(SiOF材料)、HSQ(氢含硅倍半环氧乙烷)材料、MSQ(甲基含硅倍半环氧乙烷)材料、多孔HSQ材料、多孔MSQ材料以及多孔有机材料。
HSQ材料的实施例包括:“OCD T-12”(商品名;Tokyo OhkaKogyo出产,相对介电常数3.4至2.9,耐热温度:450℃),“Fox”(商品名;Dow Corning Corp/USA出产,相对介电常数:2.9),以及“OCL T-32”(商品名;Tokyo Ohka Kogyo出产,相对介电常数:2.5,耐热温度:450℃)。
MSQ材料的实施例包括:“OCD T-9”(商品名;Tokyo OhkaKogyo出产,相对介电常数:2.7,耐热温度:600℃),“LKD-T200”(商品名;JSR出产,相对介电常数2.7至2.5,耐热温度:450℃),“HOSP”(商品名;Honeywell Electronic Materials/USA出产,相对介电常数:2.5,耐热温度:550℃),“HSG-RZ25”(商品名;Hitachi Chemical Co.,Ltd.出产,相对介电常数:2.5,耐热温度:650℃),“OCL T-31”(商品名;Tokyo Ohka Kogyo出产,相对介电常数:2.3,耐热温度:500℃),以及“LKD-T400”(商品名;JSR出产,相对介电常数:2.2至2,耐热温度450℃)。
多孔HSQ材料的实施例包括:“XLK”(商品名;Dow CorningCorp./USA出产,相对介电常数:2.5至2),“OCL T-72”(商品名;Tokyo Ohka Kogyo出品,相对介电常数:2.2至1.9,耐热温度:450℃),“Nanoglass”(商品名;Honeywell Electronic Materials/USA出产,相对介电常数:2.2至1.8,耐热温度:500℃或更高),以及“MesoELK”(商品名;Air Products and Chemicals,Inc./USA出产,相对介电常数:2或更低)。
多孔MSQ材料的实施例包括“HSG-6211x”(商品名;HitachiChemical Co.,Ltd.出产,介电常数:2.4,耐热温度:650℃),“ALCAP-S”(商品名;Asahi Chemical Industry Co.,Ltd.出产,介电常数:2.3至1.8,耐热温度:450℃),“OCL T-77”(商品名;Tokyo OhkaKogyo Co.,Ltd.出产,相对介电常数:2.2至1.9,耐热温度:600℃),“HSG-6210X”(商品名;Hitachi Chemical Co.,Ltd.出产,相对介电常数:2.1,耐热温度:650℃),以及“silica aerogel”(商品名;Kobe Steel,Ltd.出产,相对介电常数:1.4-1.1)。
多孔有机材料的实施例包括“PolyELK”(商品名;Air Productsand Chemicals,Inc/USA出产,相对介电常数:2或更低,耐热温度:490℃)。
SiOC材料和SiOF材料通过例如CVD(化学气相沉积)或涂敷方法来形成。“Black Diamond”通过例如使用三甲基硅烷和氧气的混合气体的CVD来形成,而“p-MTES”通过例如使用甲基三乙氧基硅烷和N2O的混合气体的CVD来形成。其它低介电常数绝缘材料通过例如涂敷方法来形成。
在由这样的低K绝缘膜制成的绝缘膜11a1、11b1、11c1、11d1上的绝缘膜11a2、11b2、11c2、11d2为所谓的低K盖帽绝缘膜。每层绝缘膜11a2、11b2、11c2、11d2都由以二氧化硅(SiO2)为代表的氧化硅(SiOx)膜制成,它们用于例如保持机械强度、保护表面和保持绝缘膜11a1、11b1、11c1、11d1在CMP处理时的防潮性。绝缘膜11a2、11b2、11c2、11d2分别薄于绝缘膜11a1、11b1、11c1、11d1,它们为例如大约25nm至100nm,优选地大约50nm厚。绝缘膜11a2、11b2、11c2、11d2并不局限于氧化硅膜,可以改变。例如,也可使用氮化硅(SixNy)膜、碳化硅(SiC)膜或碳氮化硅(SiCN)膜。氮化硅膜、碳化硅膜或碳氮化硅膜可通过例如等离子体CVD来形成。由等离子体CVD形成的碳化硅膜的实施例包括“BLOk”(商标;AppliedMaterials,Inc.出产,相对介电常数:4.3)。在形成时,使用了三甲基硅烷和氦气(或N2、NH3)的混合气体。
在绝缘膜11d、15c中,如实施方案1中那样形成了平整的条形互连沟(互连开口部分)16b。在绝缘膜11d、15c中,形成了从互连沟16b底部向内嵌二级互连L2上表面延伸的平整盘形通孔(互连开口部分)19。还是在实施方案3中,在晶片1W的绝缘膜11d(绝缘膜11d2)上、互连沟16b和通孔19内,沉积了导电阻挡膜17b。导电阻挡膜17b在互连沟16b和通孔19内部(侧表面和底表面)薄薄地附着了一层,而没有用其完全填满互连沟16b和通孔19。然而,在实施方案3中,导电阻挡膜17b具有堆叠在一起的两层导电膜17b1、17b2。特定地说,导电阻挡膜17b具有邻近于绝缘膜11c、11d、15b、15c的底导电层17b1以及堆叠在导电膜17b1上底导电膜17b2。导电膜17b1、17b2与实施方案1中描述的类似。因此,可获得类似于实施方案1中所描述的导电膜17b1、17b2所获得的效果。
本发明者首次发现,如果不安排导电膜17b1而仅以由钛硅氮(TiSiN)制成的导电膜17b2形成导电阻挡膜17b,则在对导电膜17b2进行处理时,会损坏低K绝缘膜地质量。在形成TiSiN膜时,进行氢气(H2)/氮气(N2)等离子体处理以除去存在于TiSiN膜中的碳(C)。这导致膜质量的上述恶化,例如由于具有碳的低K膜(尤其是有机材料,例如SiLK)的灰化而引起的膜的消失或剥落。在实施方案3中,当互连结构具有低K绝缘膜结构时,在沉积由钛硅氮(TiSiN)制成的导电膜17b2之前沉积导电膜17b1,以防止导电膜17b2和低K绝缘膜(绝缘膜11c1、11d1)的直接接触。这使得有可能防止低K绝缘膜的消失或剥落,否则,由于将导电膜17b2暴露在等离子体中,将会发生低K绝缘膜的消失或剥落。与低K绝缘膜(绝缘膜11c1、11d1)接触的导电膜17b1中必须不含碳。这种膜的实施例包括:钽、钛、氮化钽、氮化钛、钽和氮化钽的叠层膜,以及钛和氮化钛的叠层膜。更优选使用沉积或溅射来形成导电膜17b1。与采用CVD或ALD相比,采用溅射来形成导电膜17b2和低K绝缘膜之间的导电膜17b1还可改善导电膜17b1和低K绝缘膜(绝缘膜11c1、11d1)之间的粘合。
图23为半导体器件的互连形成部分在图22之后的制造步骤中时的局部放大剖面图;图24为晶片在图23的制造步骤中时的局部放大剖面图。与实施方案1中一样,在晶片1W主表面上的导电阻挡膜17b上沉积主要由铜组成的导电膜18b,随后利用CMP对导电阻挡膜17b和主导电膜18b的不必要部分进行抛光,从而在互连沟16和通孔19中形成内嵌三级互连L3。与实施方案1中一样,在各种CMP后处理之后,在晶片1W主表面上的绝缘膜11d和内嵌三级互连L3上沉积互连盖帽绝缘膜15e。在上述方式中,制作了根据实施方案3的具有低K绝缘膜结构的半导体器件。实施方案3中所描述的是具有低K盖帽绝缘膜11a2、11b2、11c2、11d2的结构。在CMP处理时采用上述无磨料化学机械抛光使得绝缘膜11a至11d的抛光表面无刮擦,从而该结构可不具有低K盖帽绝缘膜11a2、11b2、11c2、11d2。这极大地减小了互连层中绝缘膜的介电常数,并极大地减小了互连电容,提高了所得半导体器件的工作速度。
本发明者的发明根据某些实施方案进行了特别描述。然而,应当记住的是,本发明并不局限于此。无须说明的是,只要不超出本发明要旨,可对其进行调整。
例如,作为实施方案3的内嵌三级互连,可使用实施方案1的内嵌三级互连。由于实施方案1的内嵌三级互连具有这样的导电阻挡膜结构:在像钛硅氮这样的导电膜下安排了另一层导电膜,因此可避免实施方案3中所描述的没有该层膜的话低K绝缘膜结构中会发生的问题。
在上述实施方案1至3中,描述了该实施方案的互连结构用于内嵌三级互连的应用。它并不只能用于内嵌三级互连,还可用于其下或其上的互连,例如一级或二级互连,或四极或五级互连。
根据到此为止所进行的描述,本发明者的发明被用于具有CMIS电路的半导体器件,它是成为本发明背景的工业领域;但是,本发明不仅可用于上述器件,还可用于:具有存储电路的半导体器件,例如DRAM(动态随机存储器)、SRAM(静态随机存储器)和闪存(EEPROM;电可擦可编成只读存储器);具有逻辑电路的半导体器件,例如微处理器;以及在同一半导体衬底上装配有存储电路和逻辑电路的混合式半导体器件。它还可用于具有内嵌铜互连结构的电器件或微机械。
下面将简要描述本申请的实施方案所能获得的典型优点。
在形成在互连开口部分中主要由铜组成的导电膜和另一由化学气相沉积形成的具有阻挡铜扩散的特性的导电膜之间形成又一由能与铜良好粘合的材料组成的导电膜,使得有可能改善构成半导体器件的互连且主要由铜组成的导电膜和另一由化学气相沉积形成的具有阻挡铜扩散的特性的导电膜之间的粘合。这改善了具有主要由铜组成的导电膜作为互连材料的半导体器件的可靠性;改善了具有主要由铜组成的导电膜作为主导电膜的互连结构的阶梯覆盖;提高了互连结构的EM电阻;降低了互连电阻。
下面将描述本申请所公开的发明的典型优点。
可改善主要由铜组成的导电膜和另一具有阻挡铜扩散的特性的导电膜——每层都构成半导体器件的互连——之间的粘合。
Claims (21)
1.半导体器件,包含:
(a)第一绝缘膜,沉积在半导体衬底上;
(b)互连开口部分,形成在第一绝缘膜中;
(c)互连,置于互连开口部分中;以及
(d)第二绝缘膜,沉积在第一绝缘膜和互连上,
所述互连具有:
第一导电膜,形成在互连开口部分中;
第二导电膜,由化学气相沉积或ALD通过第一导电膜形成在互连开口部分中,由钛硅氮、钽硅氮、氮化钽和氮化钛中的任意一种组成;
第三导电膜,通过第一和第二导电膜形成在互连开口部分中,由具有和铜的优良粘合性的材料组成;以及
第四导电膜,通过第一、第二和第三导电膜形成在互连开口部分中,主要成分为铜。
2.半导体器件,包含:
(a)第一绝缘膜,沉积在半导体衬底上,介电常数小于氧化硅;
(b)互连开口部分,形成在第一绝缘膜中;
(c)互连,置于互连开口部分中;以及
(d)第二绝缘膜,形成在第一绝缘膜和互连上,
所述互连具有:
第一导电膜,形成在互连开口部分中;
第二导电膜,由化学气相沉积或ALD通过第一导电膜形成在互连开口部分中,由钛硅氮、钽硅氮、氮化钽和氮化钛中的任意一种组成;以及
第四导电膜,通过第一和第二导电膜形成在互连开口部分中,主要成分为铜。
3.根据权利要求1的半导体器件,其中第三导电膜具有阻挡铜扩散的特性。
4.根据权利要求1的半导体器件,其中第三导电膜由下列任何一种组成:钽、钛、氮化钽、氮化钛、钽和氮化钽的叠层膜,以及钛和氮化钛的叠层膜。
5.根据权利要求1的半导体器件,其中第一导电膜由具有和第一绝缘膜的优良粘合性的材料组成。
6.根据权利要求1的半导体器件,其中第三导电膜由具有和铜的优良粘合性的材料组成。
7.根据权利要求1的半导体器件,其中第二导电膜具有阻挡铜扩散的特性。
8.根据权利要求1的半导体器件,其中第一导电膜由下列任何一种组成:钽、钛、氮化钽、氮化钛、钽和氮化钽的叠层膜,以及钛和氮化钛的叠层膜。
9.根据权利要求1的半导体器件,其中第一绝缘膜的介电常数低于氧化硅的介电常数。
10.半导体器件,包含:
(a)第一绝缘膜,沉积在半导体衬底上;
(b)互连开口部分,形成在第一绝缘膜中;
(c)互连,置于互连开口部分中;以及
(d)第二绝缘膜,形成在第一绝缘膜和互连上,
所述互连具有:
第二导电膜,由化学气相沉积或ALD形成在互连开口部分中,由钛硅氮、钽硅氮、氮化钽和氮化钛中的任意一种组成;
第三导电膜,通过第二导电膜形成在互连开口部分中,由具有和铜的优良粘合性的材料组成;以及
第四导电膜,通过第二和第三导电膜形成在互连开口部分中,主要成分为铜。
11.根据权利要求10的半导体器件,其中第三导电膜具有阻挡铜扩散的特性。
12.根据权利要求1的半导体器件,其中第三导电膜由下列任何一种组成:钽、钛、氮化钽、氮化钛、钽和氮化钽的叠层膜,以及钛和氮化钛的叠层膜。
13.根据权利要求1的半导体器件,其中互连被形成为具有镶嵌结构。
14.半导体器件,在半导体衬底上具有一级互连,
该一级互连包含:
主导电膜,由铜组成或主要由铜组成;
第一导电膜,形成在主导电膜的侧表面和底表面上;以及
第二导电膜,通过第一导电膜形成在主导电膜的侧表面和底表面上,
其中第一导电膜与主导电膜的粘合性比第二导电膜与主导电膜的粘合性高,以及
其中第二导电膜阻挡铜扩散的特性比第一导电膜高。
15.根据权利要求14的半导体器件,其中第二导电膜由化学气相沉积或ALD来形成,而第一导电膜由溅射来形成。
16.根据权利要求13的半导体器件,其中形成在主导电膜侧表面上的第一导电膜的厚度大于形成在主导电膜底表面上的第一导电膜的厚度。
17.根据权利要求14的半导体器件,进一步包含通过第一导电膜和第二导电膜形成在主导电膜侧表面和底表面上的第三导电膜,
其中第三导电膜与主导电膜的粘合性高于第二导电膜与主导电膜的粘合性。
18.根据权利要求14的半导体器件,其中构成第二导电膜的元素数大于构成第一导电膜的元素数。
19.根据权利要求14的半导体器件,
其中第二导电膜由钛硅氮、钽硅氮、氮化钽和氮化钛中的任意一种组成,以及
其中第一导电膜由下列任何一种组成:钽、钛、氮化钽、氮化钛、钽和氮化钽的叠层膜,以及钛和氮化钛的叠层膜。
20.根据权利要求2的半导体器件,
其中第一导电膜与主导电膜的粘合性高于第二导电膜与主导电膜的粘合性,以及
其中第二导电膜阻挡铜扩散的特性高于第一导电膜。
21.根据权利要求2的半导体器件,其中第一导电膜由溅射来形成。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP142769/2002 | 2002-05-17 | ||
JP2002142769A JP2003332426A (ja) | 2002-05-17 | 2002-05-17 | 半導体装置の製造方法および半導体装置 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN1458689A true CN1458689A (zh) | 2003-11-26 |
Family
ID=29417016
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN03131485A Pending CN1458689A (zh) | 2002-05-17 | 2003-05-16 | 半导体器件 |
Country Status (5)
Country | Link |
---|---|
US (1) | US6838772B2 (zh) |
JP (1) | JP2003332426A (zh) |
KR (1) | KR20030089474A (zh) |
CN (1) | CN1458689A (zh) |
TW (1) | TWI291760B (zh) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7538024B2 (en) | 2005-05-03 | 2009-05-26 | United Microelectronics Corp. | Method of fabricating a dual-damascene copper structure |
CN101483172B (zh) * | 2008-01-07 | 2010-09-29 | 国际商业机器公司 | 半导体结构及其制作方法 |
US8022542B2 (en) | 2005-10-07 | 2011-09-20 | Renesas Electronics Corp | Semiconductor device having improved metal wiring |
CN102812539A (zh) * | 2010-03-17 | 2012-12-05 | Spp科技股份有限公司 | 沉积方法 |
CN105810668A (zh) * | 2011-03-29 | 2016-07-27 | 国际商业机器公司 | 用于金属熔丝应用的堆叠通道结构 |
CN106057729A (zh) * | 2015-04-16 | 2016-10-26 | 瑞萨电子株式会社 | 半导体器件及其制造方法 |
CN107871742A (zh) * | 2016-09-23 | 2018-04-03 | 联华电子股份有限公司 | 动态随机存取存储器元件 |
Families Citing this family (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
JP4340040B2 (ja) | 2002-03-28 | 2009-10-07 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置の製造方法 |
KR20040069665A (ko) * | 2003-01-30 | 2004-08-06 | 주식회사 하이닉스반도체 | 에스램 셀 및 그의 제조방법 |
KR100543458B1 (ko) * | 2003-06-03 | 2006-01-20 | 삼성전자주식회사 | 반도체 장치의 도전성 구조체 형성 방법 |
TWI257120B (en) * | 2003-06-18 | 2006-06-21 | Fujitsu Ltd | Method for manufacturing semiconductor device |
JP2005032855A (ja) * | 2003-07-09 | 2005-02-03 | Matsushita Electric Ind Co Ltd | 半導体記憶装置及びその製造方法 |
TWI223380B (en) * | 2003-07-14 | 2004-11-01 | Nanya Technology Corp | Semiconductor device and method of fabricating the same |
JP2005044910A (ja) * | 2003-07-24 | 2005-02-17 | Ebara Corp | 配線形成方法及び配線形成装置 |
US7067407B2 (en) * | 2003-08-04 | 2006-06-27 | Asm International, N.V. | Method of growing electrical conductors |
US20050048768A1 (en) * | 2003-08-26 | 2005-03-03 | Hiroaki Inoue | Apparatus and method for forming interconnects |
DE10344018B4 (de) * | 2003-09-15 | 2016-12-22 | Mahle International Gmbh | Kühlsystem eingerichtet für einen Verbrennungsmotor mit einem Heißwasserspeicher |
JP4938222B2 (ja) * | 2004-02-03 | 2012-05-23 | ルネサスエレクトロニクス株式会社 | 半導体装置 |
US7435712B2 (en) * | 2004-02-12 | 2008-10-14 | Air Liquide America, L.P. | Alkaline chemistry for post-CMP cleaning |
JP4224434B2 (ja) | 2004-06-30 | 2009-02-12 | パナソニック株式会社 | 半導体装置及びその製造方法 |
JP2006019636A (ja) * | 2004-07-05 | 2006-01-19 | Renesas Technology Corp | 半導体装置 |
JP4493444B2 (ja) * | 2004-08-26 | 2010-06-30 | 株式会社ルネサステクノロジ | 半導体装置の製造方法 |
US20060081965A1 (en) * | 2004-10-15 | 2006-04-20 | Ju-Ai Ruan | Plasma treatment of an etch stop layer |
JP2006128542A (ja) * | 2004-11-01 | 2006-05-18 | Nec Electronics Corp | 電子デバイスの製造方法 |
US20060103023A1 (en) * | 2004-11-12 | 2006-05-18 | International Business Machines Corporation | Methods for incorporating high k dielectric materials for enhanced SRAM operation and structures produced thereby |
US20060125102A1 (en) * | 2004-12-15 | 2006-06-15 | Zhen-Cheng Wu | Back end of line integration scheme |
US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
JP2008547202A (ja) * | 2005-06-13 | 2008-12-25 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 金属ケイ化物の形成後の金属または金属合金の選択的な除去のための組成物および方法 |
JP5380838B2 (ja) | 2005-06-22 | 2014-01-08 | 日本電気株式会社 | 半導体装置の製造方法 |
US7414275B2 (en) * | 2005-06-24 | 2008-08-19 | International Business Machines Corporation | Multi-level interconnections for an integrated circuit chip |
JP5096669B2 (ja) | 2005-07-06 | 2012-12-12 | ルネサスエレクトロニクス株式会社 | 半導体集積回路装置の製造方法 |
KR100652317B1 (ko) * | 2005-08-11 | 2006-11-29 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 패드 제조 방법 |
JP4548280B2 (ja) * | 2005-08-31 | 2010-09-22 | ソニー株式会社 | 半導体装置の製造方法 |
CN1983550A (zh) * | 2005-12-14 | 2007-06-20 | 中芯国际集成电路制造(上海)有限公司 | 提高可靠性和成品率的消除铜位错的方法 |
KR100711912B1 (ko) * | 2005-12-28 | 2007-04-27 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 배선 형성 방법 |
KR100727256B1 (ko) * | 2005-12-29 | 2007-06-11 | 동부일렉트로닉스 주식회사 | 박막 형성 방법 |
US7524755B2 (en) * | 2006-02-22 | 2009-04-28 | Chartered Semiconductor Manufacturing, Ltd. | Entire encapsulation of Cu interconnects using self-aligned CuSiN film |
US20070296027A1 (en) * | 2006-06-21 | 2007-12-27 | International Business Machines Corporation | Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same |
TW200818271A (en) | 2006-06-21 | 2008-04-16 | Tokyo Electron Ltd | Method of forming TaSiN film |
US9087877B2 (en) * | 2006-10-24 | 2015-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low-k interconnect structures with reduced RC delay |
KR100881199B1 (ko) | 2007-07-02 | 2009-02-05 | 삼성전자주식회사 | 관통전극을 구비하는 반도체 장치 및 이를 제조하는 방법 |
US8053038B2 (en) * | 2007-09-18 | 2011-11-08 | Atomic Energy Council-Institute Of Nuclear Energy Research | Method for making titanium-based compound film of poly silicon solar cell |
US20090127711A1 (en) * | 2007-11-15 | 2009-05-21 | International Business Machines Corporation | Interconnect structure and method of making same |
US7655564B2 (en) | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
US7799674B2 (en) | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
US8178908B2 (en) | 2008-05-07 | 2012-05-15 | International Business Machines Corporation | Electrical contact structure having multiple metal interconnect levels staggering one another |
KR101244027B1 (ko) * | 2008-07-08 | 2013-03-14 | 시너스 테크놀리지, 인코포레이티드 | 플렉서블 태양전지 제조방법 |
US20100037824A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Plasma Reactor Having Injector |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
US8770142B2 (en) | 2008-09-17 | 2014-07-08 | Veeco Ald Inc. | Electrode for generating plasma and plasma generator |
US8851012B2 (en) | 2008-09-17 | 2014-10-07 | Veeco Ald Inc. | Vapor deposition reactor using plasma and method for forming thin film using the same |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
JP2010123586A (ja) * | 2008-11-17 | 2010-06-03 | Nec Electronics Corp | 半導体装置、半導体装置の製造方法 |
US7891091B2 (en) * | 2008-11-25 | 2011-02-22 | Yonggang Li | Method of enabling selective area plating on a substrate |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US8871628B2 (en) * | 2009-01-21 | 2014-10-28 | Veeco Ald Inc. | Electrode structure, device comprising the same and method for forming electrode structure |
KR101172147B1 (ko) | 2009-02-23 | 2012-08-07 | 시너스 테크놀리지, 인코포레이티드 | 플라즈마에 의한 라디칼을 이용한 박막 형성 방법 |
US8758512B2 (en) | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
US8329569B2 (en) | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
CN102110639B (zh) * | 2009-12-23 | 2013-12-04 | 中芯国际集成电路制造(上海)有限公司 | 制作扩散阻挡层的方法 |
US8492878B2 (en) | 2010-07-21 | 2013-07-23 | International Business Machines Corporation | Metal-contamination-free through-substrate via structure |
US8771791B2 (en) | 2010-10-18 | 2014-07-08 | Veeco Ald Inc. | Deposition of layer using depositing apparatus with reciprocating susceptor |
DE102010063294B4 (de) | 2010-12-16 | 2019-07-11 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen |
CN102623327B (zh) * | 2011-01-31 | 2015-04-29 | 中芯国际集成电路制造(上海)有限公司 | 一种化学机械研磨方法 |
US8877300B2 (en) * | 2011-02-16 | 2014-11-04 | Veeco Ald Inc. | Atomic layer deposition using radicals of gas mixture |
US9163310B2 (en) | 2011-02-18 | 2015-10-20 | Veeco Ald Inc. | Enhanced deposition of layer on substrate using radicals |
JP5909852B2 (ja) | 2011-02-23 | 2016-04-27 | ソニー株式会社 | 半導体装置の製造方法 |
US8461683B2 (en) * | 2011-04-01 | 2013-06-11 | Intel Corporation | Self-forming, self-aligned barriers for back-end interconnects and methods of making same |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
KR101189642B1 (ko) * | 2012-04-09 | 2012-10-12 | 아익스트론 에스이 | 원자층 증착법을 이용한 TiSiN 박막의 형성방법 |
US8859386B2 (en) | 2012-06-08 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices, methods of manufacture thereof, and methods of forming resistors |
CN104183575B (zh) * | 2013-05-21 | 2018-05-08 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制备方法 |
US9275889B2 (en) * | 2013-10-03 | 2016-03-01 | Globalfoundries Inc. | Method and apparatus for high yield contact integration scheme |
KR20150044736A (ko) * | 2013-10-17 | 2015-04-27 | 삼성디스플레이 주식회사 | 박막 트랜지스터 어레이 기판, 유기 발광 표시 장치, 및 박막 트랜지스터 어레이 기판의 제조 방법 |
US9276057B2 (en) * | 2014-01-27 | 2016-03-01 | United Microelectronics Corp. | Capacitor structure and method of manufacturing the same |
JP6282474B2 (ja) * | 2014-01-31 | 2018-02-21 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
CN105336680B (zh) | 2014-08-13 | 2020-02-11 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制作方法和电子装置 |
US9418934B1 (en) * | 2015-06-30 | 2016-08-16 | International Business Machines Corporation | Structure and fabrication method for electromigration immortal nanoscale interconnects |
US9660084B2 (en) | 2015-07-01 | 2017-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and method for forming the same |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US20190074217A1 (en) * | 2016-02-25 | 2019-03-07 | Intel Corporation | Conductive connectors having a ruthenium/aluminum-containing liner and methods of fabricating the same |
JP6692258B2 (ja) * | 2016-08-29 | 2020-05-13 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
KR102624631B1 (ko) * | 2016-12-02 | 2024-01-12 | 삼성전자주식회사 | 반도체 장치 |
CN110651064B (zh) * | 2017-05-16 | 2022-08-16 | Asm Ip 控股有限公司 | 电介质上氧化物的选择性peald |
US10453740B2 (en) | 2017-06-29 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure without barrier layer on bottom surface of via |
KR102668080B1 (ko) | 2018-07-24 | 2024-05-22 | 삼성전자주식회사 | 반도체 소자 |
FR3096830B1 (fr) | 2019-05-27 | 2021-06-18 | St Microelectronics Crolles 2 Sas | Elément d'interconnexion et son procédé de fabrication |
JP7307038B2 (ja) * | 2020-09-23 | 2023-07-11 | 株式会社Kokusai Electric | 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法 |
Family Cites Families (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5571751A (en) * | 1994-05-09 | 1996-11-05 | National Semiconductor Corporation | Interconnect structures for integrated circuits |
KR100273989B1 (ko) | 1997-11-25 | 2001-01-15 | 윤종용 | 반도체장치의콘택형성방법 |
JP4253374B2 (ja) | 1998-07-24 | 2009-04-08 | 千住金属工業株式会社 | プリント基板のはんだ付け方法および噴流はんだ槽 |
-
2002
- 2002-05-17 JP JP2002142769A patent/JP2003332426A/ja not_active Withdrawn
-
2003
- 2003-05-07 TW TW092112449A patent/TWI291760B/zh not_active IP Right Cessation
- 2003-05-15 US US10/437,900 patent/US6838772B2/en not_active Expired - Fee Related
- 2003-05-15 KR KR10-2003-0030869A patent/KR20030089474A/ko not_active Application Discontinuation
- 2003-05-16 CN CN03131485A patent/CN1458689A/zh active Pending
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7538024B2 (en) | 2005-05-03 | 2009-05-26 | United Microelectronics Corp. | Method of fabricating a dual-damascene copper structure |
US8022542B2 (en) | 2005-10-07 | 2011-09-20 | Renesas Electronics Corp | Semiconductor device having improved metal wiring |
CN101483172B (zh) * | 2008-01-07 | 2010-09-29 | 国际商业机器公司 | 半导体结构及其制作方法 |
CN102812539A (zh) * | 2010-03-17 | 2012-12-05 | Spp科技股份有限公司 | 沉积方法 |
CN102812539B (zh) * | 2010-03-17 | 2014-10-22 | Spp科技股份有限公司 | 沉积方法 |
CN105810668A (zh) * | 2011-03-29 | 2016-07-27 | 国际商业机器公司 | 用于金属熔丝应用的堆叠通道结构 |
CN105810668B (zh) * | 2011-03-29 | 2018-07-03 | 国际商业机器公司 | 用于金属熔丝应用的堆叠通道结构 |
CN106057729A (zh) * | 2015-04-16 | 2016-10-26 | 瑞萨电子株式会社 | 半导体器件及其制造方法 |
CN107871742A (zh) * | 2016-09-23 | 2018-04-03 | 联华电子股份有限公司 | 动态随机存取存储器元件 |
CN107871742B (zh) * | 2016-09-23 | 2019-10-18 | 联华电子股份有限公司 | 动态随机存取存储器元件 |
Also Published As
Publication number | Publication date |
---|---|
TW200406063A (en) | 2004-04-16 |
US6838772B2 (en) | 2005-01-04 |
US20030214043A1 (en) | 2003-11-20 |
TWI291760B (en) | 2007-12-21 |
JP2003332426A (ja) | 2003-11-21 |
KR20030089474A (ko) | 2003-11-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1458689A (zh) | 半导体器件 | |
US6723631B2 (en) | Fabrication method of semiconductor integrated circuit device | |
US6730594B2 (en) | Method for manufacturing semiconductor device | |
JP4554011B2 (ja) | 半導体集積回路装置の製造方法 | |
US7432192B2 (en) | Post ECP multi-step anneal/H2 treatment to reduce film impurity | |
US6890846B2 (en) | Method for manufacturing semiconductor integrated circuit device | |
KR100698987B1 (ko) | 반도체 집적 회로 장치의 제조 방법 | |
US6255217B1 (en) | Plasma treatment to enhance inorganic dielectric adhesion to copper | |
KR20030014123A (ko) | 반도체 집적 회로 장치의 제조 방법 | |
JP2008047719A (ja) | 半導体装置の製造方法 | |
US6806191B2 (en) | Semiconductor device with a copper line having an increased resistance against electromigration and a method of forming the same | |
US20180294180A1 (en) | Semiconductor structure and fabrication method thereof | |
JP2006179948A (ja) | 半導体装置の製造方法および半導体装置 | |
JP2006253290A (ja) | SiC系膜の成膜方法及び半導体装置の製造方法 | |
JP2003347299A (ja) | 半導体集積回路装置の製造方法 | |
CN1574337A (zh) | 半导体器件及其制造方法 | |
JP2008141204A (ja) | 半導体集積回路装置の製造方法 | |
JP2002329780A (ja) | 半導体装置の製造方法および半導体装置 | |
JP4535505B2 (ja) | 半導体装置の製造方法 | |
JP2007005840A (ja) | 半導体集積回路装置の製造方法 | |
JP2003124311A (ja) | 半導体装置の製造方法および半導体装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |