CN116626993A - 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺 - Google Patents

用于图案化辐射光致抗蚀剂图案化的集成干燥工艺 Download PDF

Info

Publication number
CN116626993A
CN116626993A CN202310318757.4A CN202310318757A CN116626993A CN 116626993 A CN116626993 A CN 116626993A CN 202310318757 A CN202310318757 A CN 202310318757A CN 116626993 A CN116626993 A CN 116626993A
Authority
CN
China
Prior art keywords
chamber
substrate
euv
processing
dry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310318757.4A
Other languages
English (en)
Inventor
游正义
萨曼塔·S·H·坦
***·哈隆·阿尔维
理查德·怀斯
潘阳
理查德·A·戈奇奥
阿德里安·拉沃伊
希瓦南达·克里希南·卡纳卡萨巴保蒂
蒂莫西·威廉·威德曼
林庆煌
杰罗姆·胡巴塞克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN116626993A publication Critical patent/CN116626993A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

在半导体衬底上制作薄膜的方法,该薄膜可以使用EUV进行图案化,该方法包括:将有机金属聚合物类材料沉积到半导体衬底的表面上,将表面暴露于EUV以形成图案,并显影图案以随后转移到下伏层。沉积操作可以通过化学气相沉积(CVD)、原子层沉积(ALD)和具有CVD成分的ALD来执行,具有CVD成分的ALD例如在任一时间或空间分离金属前体和逆反应物的不连续的、类似ALD的工艺。

Description

用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
本申请是申请号为202180009838.5,申请日为2021年7月2日,申请人为朗姆研究公司,发明创造名称为“用于图案化辐射光致抗蚀剂图案化的集成干燥工艺”的发明专利申请的分案申请。
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
这里提供的背景描述是为了总体呈现本技术的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本技术的现有技术。
半导体器件(例如集成电路)的制造是一种涉及光刻的多步骤工艺。通常,该工艺包括在晶片上沉积材料并通过光刻技术对材料进行图案化以形成半导体器件的结构特征(例如晶体管和电路)。本领域已知的典型光刻工艺的步骤包括:准备衬底;涂敷光致抗蚀剂,例如通过旋涂进行;将光致抗蚀剂以所需图案暴露,使光致抗蚀剂的暴露区域或多或少地溶于显影液;通过应用显影剂溶液去除光致抗蚀剂的暴露或未暴露区域进行显影;以及随后处理以在衬底的已去除光致抗蚀剂的区域上产生特征,例如通过蚀刻或材料沉积来产生特征。
半导体设计的发展创造了对在半导体衬底材料上创造更小的特征的需求,并受到能力的推动。这种技术进步在“摩尔定律”中被表征为密集集成电路中晶体管的密度每两年翻一番。事实上,芯片设计和制造已经取得了进步,使得现代微处理器可能在单个芯片上包含数十亿个晶体管和其他电路特征。此类芯片上的单个特征可能为约22纳米(nm)或更小,在某些情况下小于10nm。
制造具有如此小的特征的设备的一个挑战是能否可靠且可重复地创建具有足够分辨率的光刻掩模。当前的光刻工艺通常使用193nm紫外(UV)光来暴露光致抗蚀剂。光的波长明显大于要在半导体衬底上产生的特征的期望尺寸这一事实产生了固有问题。实现小于光波长的特征尺寸需要使用复杂的分辨率增强技术,例如多图案化。因此,在开发使用具有从10nm至15nm(例如13.5nm)的波长的更短波长的光(例如极紫外辐射(EUV))的光刻技术方面存在显著的兴趣和研究努力。然而,EUV光刻工艺可能会带来挑战,包括低功率输出和图案化过程中的光损失。与193nm UV光刻中使用的那些类似的传统有机化学放大抗蚀剂(CAR)在用于EUV光刻时具有潜在的缺点,特别是当它们在EUV区域具有低吸收系数,并且光活化化学品的扩散会导致模糊或线条边缘粗糙度时。此外,为了提供图案化下伏器件层所需的抗蚀刻性,在传统CAR材料中图案化的小特征可能会导致存在图案崩塌的风险的高深宽比。因此,仍然需要改进的EUV光致抗蚀剂材料,其具有诸如减小的厚度、更大的吸光度和更大的抗蚀刻性等特性。
发明内容
本文公开了用于形成图案化的光致抗蚀剂的集成工艺的方法和***。在本文所公开的实施方案的一个方面中,提供了一种集成光刻***,该***包括集群内的多个反应室,所述多个反应室包括:光致抗蚀剂(PR)沉积室;涂敷后烘烤(PAB)室,暴露后烘烤(PEB)室,以及显影室;以及控制器,其包括一个或多个处理器和一个或多个存储器设备,其中,所述一个或多个存储器设备存储计算机可执行指令,所述计算机可执行指令用于控制所述一个或多个处理器以:在所述PR沉积室中接收衬底,在所述PR沉积室内的所述衬底的表面上沉积PR,在所述PAB室中接收具有所述PR的衬底,在所述PAB室内处理所述PR以修改所述PR的材料特性,在处理所述PR之后,在所述PEB室中接收所述衬底,其中所述PR的部分已经通过暴露于辐射而发生化学变化,以产生图案化的PR,在所述PEB室内处理所述图案化的PR以修改所述图案化的PR的材料特性,以及通过以下方式在所述显影室内干式显影所述图案化的PR:通过包括暴露于化合物的干式显影工艺去除所述图案化的PR的暴露部分或未暴露部分,以形成PR掩模。
在一些实施方案中,所述PR可以是含金属的PR。在一些实施方案中,所述PR可以是EUV PR。在一些实施方案中,所述多个反应室还包括衬底清洁室,并且所述计算机可执行指令还包括用于在所述计算机可执行指令用于在所述PAB室中接收具有所述PR的所述衬底之前控制所述一个或多个处理器以执行以下操作的指令:在所述清洁室中接收具有所述PR的所述衬底;以及执行干式清洁工艺以从所述衬底的斜边和/或背面去除PR。在一些实施方案中,所述多个反应室还包括底层沉积室,并且所述计算机可执行指令还包括用于在所述计算机可执行指令用于沉积PR之前控制所述一个或多个处理器以执行以下操作的指令:通过干式工艺在所述衬底的所述表面上沉积底层,其中所述底层增加所述PR对所述衬底的粘附性。在一些实施方案中,所述底层沉积室可以是所述PR沉积室。
在一些实施方案中,所述多个反应室还包括预处理室,并且所述计算机可执行指令还包括用于在所述计算机可执行指令用于沉积所述PR之前控制所述一个或多个处理器以执行下述操作的指令:通过干式工艺处理所述衬底的表面,以使所述衬底的表面上的更多的羟基暴露。在一些实施方案中,所述多个反应室中的每一个都低于大气压,并且所述PR可以处于低于大气压的压强下,直到在所述干式显影工艺之后。在一些实施方案中,在对所述图案化的PR进行干式显影之前,可以控制所述衬底的周围环境以减少所述光致抗蚀剂对湿气的暴露。在一些实施方案中,所述计算机可执行指令还包括用于通过干式工艺沉积所述PR的计算机可执行指令,所述干式工艺包括将有机金属前体的蒸气流与逆反应物的蒸气流混合。在一些实施方案中,由所述多个反应室执行的所述工艺都是干式工艺。在一些实施方案中,由所述多个反应室执行的所述工艺包括湿式工艺和干式工艺。
在一些实施方案中,所述集群包括多个PR沉积室。在一些实施方案中,所述PAB室和所述PEB室是相同的室。在一些实施方案中,所述计算机可执行指令还包括用于控制所述一个或多个处理器来执行干式清洁工艺以从所述PAB室内的所述衬底的斜边和/或背面去除PR的指令。在一些实施方案中,所述多个反应室还包括光刻扫描仪,并且所述计算机可执行指令还包括用于在所述计算机可执行指令用于将所述衬底接收到PEB室之前控制所述一个或多个处理器以执行下述操作的指令:在所述光刻扫描仪中接收所述衬底;以及将所述PR的部分暴露于辐射以创建图案化的PR。在一些实施方案中,所述多个衬底加工环境还包括热处理加工环境,并且所述一个或多个存储器设备存储另外的计算机可执行指令,所述另外的计算机可执行指令用于控制所述一个或多个处理器以:在已通过暴露于辐射而化学改变所述含金属的PR的部分以产生图案化的PR之前和/或之后,在所述热处理加工环境中热处理所述含金属的PR。
在本文公开的实施方案的另一方面,提供了一种集成衬底处理***,该***包括:多个衬底加工环境,所述多个衬底加工环境包括含金属的光致抗蚀剂(PR)沉积环境和选自以下群组的一个或多个其他单独的集成加工环境:额外的含金属光致抗蚀剂(PR)沉积环境;含金属的PR显影环境,以及热处理加工环境;以及控制器,其包括一个或多个处理器和一个或多个存储器设备,其中所述一个或多个存储器设备存储计算机可执行指令,所述计算机可执行指令用于控制所述一个或多个处理器以:在所述含金属的PR沉积环境中接收衬底;在所述含金属的PR沉积环境中,在所述衬底的表面上沉积含金属的PR;以及在所述一个或多个其他单独的集成加工环境中进行额外的PR加工操作。
在一些实现方案中,所述多个衬底加工环境还包括PR干式显影加工环境,并且所述一个或多个存储器设备存储另外的计算机可执行指令,所述另外的计算机可执行指令用于控制所述一个或多个处理器以:在所述含金属的PR的部分已通过暴露于辐射而发生化学改变以产生图案化的PR后,通过以下方式在所述显影环境中干式显影所述图案化的PR:通过包括暴露于化合物的干式显影工艺去除所述图案化的PR的暴露部分或未暴露部分,以形成PR掩模。在一些实现方案中,所述多个衬底加工环境还包括扫描仪环境,并且所述一个或多个存储器设备存储另外的计算机可执行指令,所述另外的计算机可执行指令用于控制所述一个或多个处理器以:将所述含金属的PR的部分暴露在所述扫描仪环境中的辐射下,以化学改变所述暴露的部分。
在一些实现方案中,所述多个衬底加工环境是集群内的不同处理室。在一些实现方案中,所述多个衬底加工环境是室内的不同站。在一些实现方案中,所述多个衬底加工环境包括三个含金属的PR沉积环境。在一些实现方案中,所述多个衬底加工环境包括两个含金属的PR沉积环境和含金属的PR显影环境,并且所述一个或多个存储器设备存储另外的计算机可执行指令,所述另外的计算机可执行指令用于控制所述一个或多个更多处理器以:在所述含金属的PR的部分已通过暴露于辐射而发生化学改变以产生图案化的PR后,通过干式工艺显影所述图案化的PR。
在一些实现方案中,所述多个衬底加工环境包括含金属的PR沉积环境、热处理加工环境和含金属的PR显影环境,并且所述一个或多个存储器设备存储另外的计算机可执行指令,所述另外的计算机可执行指令用于控制一个或多个处理器以:在所述含金属的PR的部分已经通过暴露于辐射而化学改变以产生图案化的PR之前和/或之后,在所述热处理加工环境中热处理所述含金属的PR,以及在所述热处理加工环境中对所述含金属的PR进行热处理后,通过干式工艺显影所述图案化的PR。在一些实现方案中,所述多个衬底加工环境包括所述含金属的PR沉积环境、第一热处理加工环境、第二热处理加工环境和含金属的PR显影环境,并且所述一个或多个存储设备存储另外的计算机可执行指令,所述另外的计算机可执行指令用于控制所述一个或多个处理器以:在所述含金属的PR的部分通过暴露于辐射而进行化学改变以产生图案化的PR之前,在所述第一热处理加工环境中热处理所述含金属的PR,在所述含金属的PR的部分通过暴露于辐射而进行化学改变以产生图案化的PR之后,在所述第二热处理加工环境中热处理所述含金属的PR,以及在所述第二热处理加工环境中对所述含金属的PR进行热处理之后,通过干式工艺显影所述图案化的PR。
下面将参照相关附图详细描述所公开的实施方案的这些和其他特征。
附图说明
图1呈现了一个示例性实施方案的工艺流程图。
图2呈现了本技术的示例性化学反应方案。
图3A-E示出了示例性实施方案的代表性工艺流程。
图4-9是用于执行根据所公开的实施方案的方法的处理室的示例的示意图。
图10A-F显示了根据公开的实施方案的各种工艺集群配置的示意图。
图11-12和13A-B呈现了各种示例工具架构,这些工具架构可用于执行根据所公开实施方案的方法。
具体实施方式
本公开总体上涉及半导体加工领域。在特定方面,本公开涉及在EUV图案化和EUV图案化膜显影的背景下处理EUV光致抗蚀剂(例如,EUV敏感金属和/或含金属氧化物的抗蚀剂膜)以形成图案化掩模的方法和装置。
将详细参照本公开内容的具体实施方案。具体实施方案的示例描绘于附图中。虽然本公开内容将结合这些具体实施方案进行描述,但应理解,不应将本公开内容限制于这些具体实施方案。相反,其应包含落在本公开内容的精神和范围内的置换、变更和等同方案。在以下说明中,提出了许多特定细节以提供对本公开内容的彻底理解。本公开内容可以在缺少这些特定细节中的一些或全部的情况下实施。在其它情况下,公知的加工操作并未详加描述,以免不必要地使本公开内容难以理解。
在半导体制造中,半导体加工中的薄膜图案化通常是重要的步骤。图案化涉及光刻。在常规的光刻技术(例如,193nm光刻)中,图案是通过以下方式印刷的:从光子源发射光子至掩模上并且将图案印刷至光敏感的光致抗蚀剂上,由此在光致抗蚀剂中引起化学反应,其在显影后去除光致抗蚀剂的某些部分以形成图案。
先进技术节点(如国际半导体技术发展蓝图(International TechnologyRoadmap for Semiconductors)所定义的)包括22nm、16nm以及其它的节点。在16nm节点中,例如,在镶嵌结构中的典型的通孔或线的宽度通常不大于约30nm。先进半导体集成电路(IC)及其它设备上的特征的缩放正驱动光刻技术以改善分辨率。
极紫外光(EUV)光刻可通过移动至比用常规的光刻方法所能实现的更小的成像源波长而扩展光刻技术。大约10-20nm、或11-14nm波长(例如13.5nm波长)的EUV光源可用于尖端光刻工具(也称为扫描机)。EUV辐射在许多固体与流体材料(包括石英与水蒸气)中被强吸收,因此在真空中进行操作。
EUV光刻使用EUV抗蚀剂,EUV抗蚀剂被图案化而形成掩模以用来蚀刻下伏层。EUV抗蚀剂可以是基于聚合物的化学放大抗蚀剂(CAR),CAR是通过基于液体的旋涂技术所产生。CAR的一替代方案为直接可光图案化的(photopatternable)含金属氧化物膜,该膜例如可从Inpria(Corvallis,OR)购得的、并且描述于例如美国专利公开US 2017/0102612、US2016/021660和US 2016/0116839,其并入本文中作为参考文件,至少因为其公开了可光图案化的含金属氧化物膜。这样的膜可通过旋涂技术或干式气相沉积来生产。含金属氧化物的膜可在真空环境中通过EUV暴露直接进行图案化(即,不使用单独的光致抗蚀剂),提供低于30nm(sub-30nm)的图案化分辨率,例如,如2018年6月12日授权且发明名称为EUVPHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS的美国专利9,996,004、和/或2019年5月9日申请且发明名称为METHODS FOR MAKING EUV PATTERNABLEHARD MASKS的申请PCT/US19/31618,这些公开内容至少涉及直接可光图案化的金属氧化物膜的组成、沉积及图案化以形成EUV抗蚀剂掩模,且并入本文中作为参考。通常,图案化涉及利用EUV辐射进行EUV抗蚀剂的暴露以在光致抗蚀剂中形成光图案,然后通过显影以根据光图案而去除光致抗蚀剂的一部分以形成掩模。
还应当理解,虽然本公开涉及光刻图案化技术和以EUV光刻术为例的材料,但它也适用于其他下一代光刻技术。除了包括目前正在使用和开发的标准13.5nm EUV波长的EUV以外,与这种光刻术最相关的辐射源是DUV(深紫外),其一般指使用248nm或193nm准分子激光源;X射线,其正式包括X射线范围的较低能量范围内的EUV;以及电子束,其可以覆盖很宽的能量范围。具体方法可能取决于半导体衬底和最终半导体器件中使用的特定材料和应用。因此,本申请中描述的方法仅仅是可用于本技术的方法和材料的示例。
直接可光图案化的EUV抗蚀剂可由混合在有机成分内的金属和/或金属氧化物所组成、或包含它们。金属/金属氧化物是非常有前景的,因为它们可增强EUV光子吸附并且产生二次电子和/或对下伏的膜堆叠和设备层显示出增加的蚀刻选择性。迄今为止,已经使用湿式(溶剂)方案进行这些光致抗蚀剂的显影,湿式方案需要将晶片移动至轨道(track),在该处使晶片暴露于显影剂、干燥以及烘烤。湿式显影不仅限制产量,而且还可能由于精细特征之间的溶剂蒸发过程中的表面张力效应而导致线崩塌。
已经提出干式显影技术以通过消除衬底分层和界面失效来克服这些问题。干式显影有其自身的挑战,包括未暴露和EUV暴露的抗蚀剂材料之间的蚀刻选择性,这可能导致与湿式显影相比,对有效抗蚀剂暴露的剂量尺寸比要求更高。由于在蚀刻气体下暴露时间较长,次优选择性也会导致PR角变圆,这可能增加后续传送蚀刻步骤中的线关键尺寸(CD)变化。
图1呈现了根据一些实施方案的用于在沉积和显影光致抗蚀剂时执行干燥室清洁的示例方法的流程图。工艺100的操作可以以不同的顺序和/或以不同的、更少的或额外的操作来执行。可以使用本文描述的各种装置来执行工艺100的一个或多个操作。在一些实施方案中,工艺100的操作可以至少部分地根据存储在一个或多个非暂时性计算机可读介质中的软件来实现。
在工艺100的框102,沉积光致抗蚀剂层。这可以是诸如气相沉积工艺之类的干式沉积工艺或诸如旋涂沉积工艺之类的湿式工艺。光致抗蚀剂可以是EUV抗蚀剂或含金属的EUV抗蚀剂。图2呈现了一种示例性工艺,通过该工艺可以形成聚合的有机金属材料以沉积含金属的EUV抗蚀剂。
回到图1,除了在工艺100的框102处在半导体衬底上沉积含金属的EUV抗蚀剂薄膜外,还可以在处理室的内表面上形成含金属的EUV抗蚀剂材料。内表面可以包括处理室的室壁、地板和天花板。其他内表面可以包括喷头、喷嘴和衬底支撑表面。含金属的EUV抗蚀剂材料可作为干式沉积工艺(例如CVD或ALD工艺)的结果而形成。由于在处理室中执行额外的处理(例如,沉积)操作,形成在内表面上的含金属EUV抗蚀剂材料的厚度会随着时间推移而增加。含金属的EUV抗蚀剂材料易于从处理室的内表面剥落、脱落颗粒或剥离,从而在处理过程中污染后续的半导体衬底。
在工艺100的框150,在工艺100的框102处在半导体衬底上沉积含金属的EUV抗蚀剂薄膜之后,可以执行本公开内容的干式室清洁操作。这使得沉积能在同一处理室中进行干式清洁。然而,应当理解,干式室清洁可以在与实施方案中的沉积操作不同的处理室中执行。事实上,可以在斜面和/或背面清洁、烘烤、显影或蚀刻操作之后执行干式室清洁。
被去除的干式沉积含金属EUV光致抗蚀剂膜通常由Sn、O和C组成,但同样的清洁方法可以扩展到其他金属氧化物抗蚀剂和材料的膜。此外,这种方法也可用于膜剥离(strip)和PR返工。
在工艺100的框104处,执行任选的清洁工艺以清洁半导体衬底的背面和/或斜边。背面和/或斜边清洁可以非选择性地蚀刻EUV抗蚀剂膜,以同等地去除衬底背面和斜边上具有不同程度的氧化或交联的膜。在通过湿式沉积处理或干式沉积处理施加EUV可图案化膜期间,可以在衬底斜边和/或背面上存在一些非预期的抗蚀剂材料沉积。非预期的沉积可能导致不期望的颗粒随后移动到半导体衬底的顶表面并且变成颗粒缺陷。此外,这种斜边和背面沉积会导致下游加工问题,包括污染图案(扫描仪)和开发工具。传统上,这种斜边和背面沉积物的去除是通过湿式清洁技术完成的。对于旋涂光致抗蚀剂材料,该工艺称为边缘珠去除(EBR),并通过在衬底旋转时从斜边上方和下方引导溶剂流来执行。相同的工艺可以应用于通过气相沉积技术沉积的可溶性有机锡氧化物基抗蚀剂。衬底斜边和/或背面清洁也可以是干式清洁工艺。
在工艺100的框150,可以在斜边和/或背面清洁操作之后执行本公开内容的干式室清洁操作。这允许在同一处理室中执行斜面和/或背面清洁和干燥室清洁。然而,应当理解,在一些实施方案中,干式室清洁可以在与斜边和/或背面清洁操作不同的处理室中执行。
在工艺100的框106,在EUV可图案化膜的沉积之后和EUV暴露之前执行可选的涂敷后烘烤(PAB)。PAB处理可能涉及热处理、化学暴露和水分的组合,以增加EUV可图案化膜的EUV灵敏度,降低EUV剂量以在EUV可图案化膜中形成图案。
在工艺100的框150,可以在PAB处理之后执行本公开的干式室清洁操作。这允许在同一处理室中执行烘烤和干燥室清洁。然而,应当理解,在一些实施方案中,可以在与PAB加工操作不同的处理室中执行干式室清洁。
在工艺100的框108,含金属的EUV抗蚀剂膜暴露于EUV辐射以显影图案。一般而言,EUV暴露会导致化学成分发生变化,并在含金属的EUV抗蚀剂膜中发生交联,从而产生可用于后续显影的蚀刻选择性对比度。在将含金属的EUV抗蚀剂膜暴露于EUV光之后,提供光图案化的含金属的EUV抗蚀剂。光图案化的含金属的EUV抗蚀剂包括EUV暴露区和未暴露区。
在工艺100的框110,执行可选的暴露后烘烤(PEB)以进一步增加光图案化的含金属的EUV抗蚀剂的蚀刻选择性对比度。可以在各种化学品的存在下对光图案化的含金属的EUV抗蚀剂进行热处理,以促进光图案化的含金属的EUV抗蚀剂的EUV暴露区域的交联,或简单地在环境空气中的热板上烘烤。
在工艺100的框150,可以在PEB处理之后执行本公开的干式室清洁操作。这允许在同一处理室中执行烘烤和干燥室清洁。然而,应当理解,在一些实施方案中,可以在与PEB加工操作不同的处理室中执行干式室清洁。
在工艺100的框112,使光图案化的含金属抗蚀剂显影以形成抗蚀剂掩模。在各种实施方案中,暴露区域被去除(正色调)或未暴露区域被去除(负色调)。在一些实施方案中,显影可以包括在光图案化的含金属抗蚀剂的暴露或未暴露区域上进行选择性沉积,然后进行蚀刻操作。在多种实施方案中,这些工艺可以是干式工艺或湿式工艺。显影工艺的示例涉及含有有机锡氧化物的EUV敏感光致抗蚀剂薄膜(例如,10-30nm厚,例如20nm),经受EUV暴露剂量和暴露后烘烤,然后显影。例如,光致抗蚀剂膜可以基于诸如异丙基(三)(二甲氨基)锡之类的有机锡前体和水蒸气的气相反应而沉积,或者可以是包含在有机基质中的锡簇的旋涂膜。光图案化的含金属抗蚀剂通过暴露于显影化学物而显影。在一些实施方案中,显影化学品包括含卤化物化学品。
在工艺100的框150处,可以在显影之后执行本公开的干式室清洁操作。这使得能在同一处理室中执行显影和干燥室清洁。然而,应当理解,在一些实施方案中,可以在与显影操作不同的处理室中执行干式室清洁。此外,应当理解,在一些实施方案中,可以在与蚀刻操作相同或不同的处理室中执行干式室清洁。蚀刻操作可用于蚀刻半导体衬底的衬底底层。
操作102-112中的每一个在下面进一步说明。在各种实施方案中,本技术的方法结合了通过气相沉积、(EUV)光刻光图案化和干式显影进行的膜形成的所有干式步骤。在其他实施方案中,本技术的方法包括湿式沉积和干式显影,或干式沉积和湿式显影。在一些工艺中,在EUV扫描仪中进行光图案化后,衬底可以直接进入干式显影/蚀刻室。这样的工艺可以避免与湿式显影相关的材料和生产力成本。替代地,可以在显影室或另一室中进行暴露后烘烤步骤,在该步骤期间暴露区域经历进一步交联以形成更致密的类SnO网络。
在一些实施方案中,可以将衬底提供给各种加工环境以执行操作102-112中的每一个。例如,可以有PR沉积环境、用于PAB和/或PEB的一个或多个热加工环境、晶片清洁环境、扫描环境和PR显影环境。如以下将描述的,在一些实施方案中,这些环境中的一个或多个可以是室内的站/基座、具有一个或多个基座的室和/或具有一个或多个室的多室集群工具。在一些实施方案中,可以在单个加工环境中执行多个操作,例如,热加工环境和晶片清洁环境可以是相同的加工环境,其中可以顺序地执行两个操作而不将晶片移动到不同的基座、室,或工具。在一些实施方案中,多个加工环境可以在同一室或工具内,例如,热加工环境和晶片清洁环境可以在四站模块室内的不同站处。在一些实施方案中,可以在同一工具中提供多个相同类型的加工环境,例如以在多操作晶片加工中一个加工操作比另一个加工操作慢得多的情况下提高或优化吞吐量。以上定义的环境的其他组合应被理解为在本公开内容的范围内。
在不限制本技术的机制、功能或效用的情况下,本技术的干式工艺可以提供相对于本领域已知的那些中的湿式显影工艺的各种益处。例如,与能使用湿式旋涂技术进行涂敷相比,本文所述的干式气相沉积技术可用于沉积更薄且无缺陷的膜,并且可以简单地通过增加或减少沉积步骤或序列的长度来调节和控制沉积膜的精确厚度。因此,干式工艺可以提供更多的可调性并提供进一步的关键尺寸(CD)控制和浮渣去除。干式显影可以提高性能(例如,防止湿式显影中由于表面张力引起的线塌陷)并提高产量(例如,通过避免湿式显影轨迹)。其他优点可能包括无需使用有机溶剂显影剂、降低对粘附问题的敏感性、避免涂敷和去除湿抗蚀剂制剂(例如,避免浮渣和图案变形)、改善线边缘粗糙度、直接在器件形貌上图案化、提供调整硬掩模化学性质以适应特定衬底和半导体器件设计的能力、以及没有其他基于溶解度的限制。
在某些情况下,湿式和干式处理的组合可能是合适的或最佳的,例如在湿式处理晶片处理设备(其性能在多操作工艺中可以通过集成干式处理和用于某些操作的相关装置来增强)中进行了大量资本投资的情况下。
因此,本公开内容提供了配置用于干式显影EUV光图案化金属和/或含金属氧化物的抗蚀剂(作为EUV抗蚀剂掩模形成工艺的一部分)的工艺和装置。各种实施方案包括组合通过气相沉积、(EUV)光刻光图案化和干式显影进行的膜形成的所有干式操作。各种其他实施方案包括本文所述的干式加工操作,其有利地与湿式加工操作相结合,例如,可从InpriaCorp.获得的旋涂EUV光致抗蚀剂(湿式工艺)可以与干式显影或其他湿式或干式工艺结合,如本文所描述的。在各种实施方案中,晶片清洁可以是如本文所述的湿式工艺,而其他工艺是干式工艺。在进一步的实施方案中,湿式显影工艺可以与PR膜的(干式)气相沉积结合使用。
光刻加工
图3A-E示出了金属光致抗蚀剂硬掩模形成工艺的另一代表性工艺流程。通常,将对诸如光子、电子、质子、离子或中性物质之类的图案化试剂敏感的含金属膜沉积在半导体衬底上,使得该膜可以通过暴露于这些物质中的一种而被图案化。然后含金属膜通过在真空环境中暴露于图案化试剂来图案化以形成金属掩模。该描述主要涉及通过极紫外光刻(EUV光刻(EUVL))(特别是具有使用激发的Sn液滴的EUV源的EUVL)图案化的含金属膜,特别是在金属是Sn的情况下。这种膜在本文中称为EUV敏感膜。然而,应当理解,其他实施方案也是可能的,包括不同的含金属膜和图案化试剂/技术。
参考图3A,示出了待图案化的半导体衬底100。在典型示例中,半导体衬底100是包括部分形成的集成电路的硅晶片。
图3B示出了对沉积在半导体衬底100上的图案化试剂敏感的含金属膜102。含金属膜可以是金属盐,例如金属卤化物,或对暴露于图案化试剂敏感的有机金属化合物,从而使含金属薄膜分解为贱金属或使其对随后的显影工艺敏感。合适的图案化试剂可以是光子、电子、质子、离子或中性物质,使得含金属膜102可以通过分解为贱金属而暴露于这些物质中的一种而被图案化,或者使其对随后的显影工艺敏感。通常,在沉积之前,将半导体衬底100放置在反应室中以在真空下进行含金属膜沉积。
含金属膜102覆盖层可以由合适的前体(例如,在非等离子CVD反应器中,例如CVD工具或/>GP CVD工具,可从Lam研究公司(Fremont,CA)获得)通过冷凝形成。通过冷凝进行该沉积的合适工艺条件包括介于约0和250℃之间的沉积温度,例如约从环境温度(例如,23℃)至150℃,以及小于20托的反应器压强,例如保持在60℃时,介于0.5和2托之间。将前体流率保持在介于约100和1000sccm之间使得能控制沉积速率。
为了防止由于水蒸气引起的降解,含Sn膜的形成和转移是在真空环境中进行的。然后将所形成的膜转移到EUV图案化工具并通过直接暴露进行图案化,而不使用光致抗蚀剂,如图3C-D所示。
应该注意,EUVL工具通常在比沉积工具更高的真空下运行。如果是这种情况,则希望在从沉积工具到图案化工具的转移过程中增加衬底的真空环境,以允许基底和沉积的含金属膜在进入图案化工具之前脱气。这样使得图案化工具的光学器件不会被来自衬底的废气污染。
如图3C所示,图案化导致形成的金属掩模102a的暴露的含金属膜区域和要通过图案显影去除的材料的未暴露区域102b。
参考图3D,然后可以将图案显影。显影在本文别处进一步讨论。在一些实施方案中,可以简单地通过加热衬底以使含金属膜的未暴露区域102b挥发,从而仅暴露区域102a保留为完全形成的金属掩模来进行图案的显影。应该注意,这种图案显影操作可能不需要真空集成,因为可能已经形成了热和环境稳定的图案化金属掩模。还可能需要在图案化工具外部进行图案显影以避免含金属膜分解的任何不相容副产物污染工具的光学器件。
参考图3E,作为可选步骤,可以进行图案放大。例如,选择性ALD或无电沉积(ELD)可以在图3C和/或3D中描绘的操作之后在图案化衬底上执行,以增加具有附加选择性沉积金属106的金属掩模的厚度。这可能有助于减少掩模的光透射或使其在机械上更稳健。这种放大可以例如通过调整无电沉积工艺来完成,例如美国专利No.6,911,067、6,794,288、6,902,605和4,935,312中描述的那些,在这方面的公开内容通过引用并入本文。
例如,最初的1nm种子可以通过这种方式放大到10nm。与参考图3D讨论的图案形成类似,该操作可能不需要真空集成,因为在放大之前将形成热和环境稳定的图案化金属掩模。
全干式或部分干式成膜操作的一个优点是干式操作可以是单程可调工艺。通过改变各种工艺条件(如下所述),可以优化操作。例如,用于底层和PR沉积的干式工艺可以在两个操作中执行,而湿式工艺可能需要在沉积PR膜之前进行清洁和烘烤操作。相比之下,湿式工艺可能需要使用限制工艺参数的预配制溶液以及热处理、冷却和旋转干燥的额外次数。干式工艺可以避免任何液体溶液干燥步骤并减少PR分层或线倾斜/塌陷。
全干或部分干膜形成操作的另一个优点是增强了对加工环境的控制。湿式旋涂工艺可以使用预先配制的溶液并在环境压力下进行。可以在控制例如压力、湿度、氧气和温度的情况下在真空下进行干式工艺。在同一工具、室或站上执行集成工艺的实施方案中,可以在干式工艺之间保持真空,这有利于在加工操作期间和加工操作之间控制晶片的环境。此外,集成工艺可以使得能减少排队时间,因为工艺是在同一工具、室或站内执行的。
光致抗蚀剂沉积(PR)
如上文所讨论的,本公开提供在半导体衬底上制造成像层的方法,其可使用EUV或其他下一代光刻技术来图案化。方法包括以蒸气产生聚合的有机金属材料并将其沉积在衬底上的那些方法。在其他实施方案中,可使用旋涂制剂。
本技术提供了将EUV敏感薄膜沉积在衬底上的方法,这些薄膜可用作随后的EUV光刻和加工的抗蚀剂。这种EUV敏感膜包含在暴露于EUV时发生变化的材料,例如在低密度的富含M-OH的材料中与金属原子键合的庞大侧链取代基的损失,从而允许它们交联成更致密的M-O-M键合的金属氧化物材料。通过EUV图案化,产生相对于未暴露区域具有改变的物理或化学特性的膜区域。这些特性可以在后续加工中利用,例如溶解未暴露或暴露的区域,或者在暴露或未暴露的区域上选择性地沉积材料。在一些实施方案中,在进行这种后续加工的条件下,未暴露的膜具有疏水表面,并且暴露的膜具有亲水表面(应认识到暴露区域和未暴露区域的亲水特性彼此相关)。例如,材料的去除可以通过平衡膜的化学组成、密度和交联的差异来进行。如下文进一步描述的那样,去除可以通过湿式加工或干式加工进行。
形成在衬底表面上的可EUV图案化膜的厚度可根据表面特性、使用的材料和加工条件而变化。在各种实施方案中,膜厚度可以在0.5nm至100nm的范围内,优选地,具有足够的厚度以在EUV图案化的条件下吸收大部分EUV光。例如,抗蚀剂膜的总吸收度可以是30%或更少(例如,10%或更少,或5%或更少),使得抗蚀剂膜底部的抗蚀剂材料被充分暴露。在一些实施方案中,膜厚度为10nm至20nm。在不限制本公开内容的机制、功能或效用的情况下,据信,与本领域的湿式旋涂工艺不同,本公开的工艺对衬底的表面粘附性能具有较少限制,因此可应用于多种衬底。此外,如上文所讨论的,沉积膜可以与表面特征紧密贴合(conform),从而在无需“填充”或以其他方式平坦化这种特征的情况下,在诸如具有下伏特征的衬底之类的衬底上方形成掩模方面提供优势。
衬底
在本技术的方法中有用的衬底可以包括适用于光刻加工的任何材料构造,特别是适用于集成电路和其他半导体器件的生产。在一些实施方案中,衬底是硅晶片。衬底可以是具有不规则表面形貌的硅晶片,其上已经形成了特征(“下伏的形貌特征”)。(如本文所提及的,“表面”是本技术的膜将被沉积到其上或在加工期间将暴露于EUV的表面)。这种下伏的形貌特征可以包括在进行该技术的方法之前在处理期间其中已经去除(例如,通过蚀刻去除)材料的区域或其中已经添加(例如,通过沉积添加)材料的区域。这种在先加工可包括该技术的方法或迭代工艺(通过该迭代工艺在衬底上形成两个或更多个特征层)中的其他加工方法。在不限制本技术的机制、功能或效用的情况下,据信在一些实施方案中,本技术的方法提供相对于本领域已知的使用旋转浇铸方法将光刻膜沉积在衬底表面上的方法的优势。这种优势可源自本技术的膜与下层特征的一致性而无需“填充”或以其他方式平坦化这种特征,以及在多种材料表面上沉积膜的能力。
在一些实施方案中,可利用具有期望的材料的衬底表面制备传入晶片,其中最上面的材料是其中转移有抗蚀剂图案的层。虽然材料选择可根据集成度而变化,但通常希望选择能够以对EUV抗蚀剂或成像层的高选择性(即比之快得多地)蚀刻的材料。合适的衬底材料可包括各种基于碳的膜(例如可灰化硬掩模(AHM)、硅基膜(例如SiOx、SiOxNy、SiOxCyNz)、a-Si:H、多晶硅或SiN),或施加以促进图案化工艺的任何其他(通常是牺牲性的)膜)。
在一些实施方案中,衬底是硬掩模,其用于下伏的半导体材料的光刻蚀刻。硬掩模可以包括多种材料中的任何一种,包括无定形碳(a-C)、SnOx、SiO2、SiOxNy、SiOxC、Si3N4、TiO2、TiN、W、W-掺杂的C、WOx、HfO2、ZrO2、和Al2O3。例如,衬底可以优选地包括SnOx,例如SnO2。在各种实施方案中,该层可以是1nm至100nm厚,或2nm至10nm厚。
在一些实施方案中,衬底包括底层。底层可以沉积在硬掩模或其他层上,并且通常位于如本文所述的成像层下方。底层可用于提高PR的灵敏度、增加EUV吸收率和/或增加PR的图案化性能。在要图案化的衬底上存在产生显著形貌的器件特征的情况下,底层的另一个重要功能可以是覆盖和平面化现有的形貌,以便随后的图案化步骤可以在具有所有焦点图案区域的平坦表面上执行。对于这种应用,底层(或多个底层中的至少一者)可以使用旋涂技术来施加。当所采用的光致抗蚀剂材料具有大量的无机成分时,例如其显示出主要的金属氧化物骨架,则底层可有利地为基于碳的膜,其可通过旋涂或通过基于干式真空的沉积工艺来施加。该层可以包括具有基于碳及氢的组成的各种可灰化硬掩模(AHM)膜,并可掺杂有例如钨、硼、氮或氟之类的额外元素。在这些过程中有用的方法和装置在2019年10月2日提交的美国专利申请62,909,430中进行了描述,对于其方法和装置的公开内容,通过引用并入本文。
膜沉积
在多种实施方案中,使用本领域已知的那些气相沉积设备和工艺中的气相沉积设备和工艺来制造EUV可图案化膜并将其沉积在衬底上。在这样的工艺中,聚合的有机金属材料以气相或原位形成在衬底表面上。
通常,方法包括将有机金属前体的蒸气流与逆反应物的蒸气流混合以形成聚合的有机金属材料,并将有机金属材料沉积到半导体衬底的表面上。如本领域普通技术人员将理解的,该过程的混合和沉积方面可以在基本上连续的过程中同时进行。在这些过程中有用的方法和装置在2019年5月9日提交的申请PCT/US2019/031618和2019年11月11日提交的申请PCT/US2019/060742中有所描述,其方法和装置的公开内容通过引用并入本文,特别是各种有机金属前体。
EUV敏感薄膜可以沉积在半导体衬底上,这样的膜可用作随后的EUV光刻和加工的抗蚀剂。这种EUV敏感薄膜包含在暴露于EUV时发生变化的材料,例如在低密度的富含M-OH的材料中与金属原子键合的庞大的侧链取代基的损失,从而允许它们交联成更致密的M-O-M键合的金属氧化物材料。通过EUV图案化,产生相对于未暴露区域具有改变的物理或化学特性的膜区域。这些特性可以在后续加工中利用,例如溶解未暴露或暴露的区域,或者在暴露或未暴露的区域上选择性地沉积材料。在一些实施方案中,在进行这种后续加工的条件下,未暴露的膜比暴露的膜具有更疏水表面。例如,材料的去除可以通过平衡膜的化学组成、密度和交联的差异来进行。如下文进一步描述的那样,去除可以通过湿式加工或干式加工进行。
在多种实施方案中,薄膜是有机金属材料,例如包含氧化锡的有机锡材料,或其他金属氧化物材料/部分。有机金属化合物可以在有机金属前体与逆反应物的气相反应中制备。在多种实施方案中,有机金属化合物是通过将具有大体积烷基或氟烷基的有机金属前体与逆反应物的特定组合混合并在气相中聚合该混合物以产生沉积在半导体衬底上的低密度、EUV敏感材料而形成的。
在多种实施方案中,有机金属前体在每个金属原子上包含至少一个烷基基团,其可以在气相反应中幸存下来,而与金属原子配位的其他配体或离子可以被逆反应物代替。有机金属前体包括具有下式的那些:
MaRbLc
(式1)
其中:M是具有高EUV吸收截面的金属;R是烷基,例如CnH2n+1,优选其中n≥2;L是能与逆反应物反应的配体、离子或其他部分;a≥1;b≥1;并且c≥1。
在多种实施方案中,M具有等于或大于1×107cm2/mol的原子吸收截面。M可以例如选自锡、铪、碲、铋、铟、锑、锗及其组合组成的群组。在一些实施方案中,M是锡。R可以是氟化的,例如具有式CnFxH(2n+1)。在多种实施方案中,R具有至少一个β-氢或β-氟。例如,R可以选自乙基、异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲-戊基及其混合物。L可以是容易被逆反应物置换以产生M-OH部分的任何部分,例如选自由胺(例如二烷基氨基、单烷基氨基)、烷氧基、羧酸盐、卤素及其混合物组成的群组的部分。
逆反应物具有取代反应性部分、配体或离子(例如,上式1中的L)以通过化学键连接至少两个金属原子的能力。逆反应物可以包括水、过氧化物(例如,过氧化氢)、二羟基或多羟基醇、氟化二羟基或多羟基醇、氟化二醇和其他羟基部分的来源。在多种实施方案中,逆反应物通过在相邻金属原子之间形成氧桥而与有机金属前体反应。
其他潜在的逆反应物包括硫化氢和二硫化氢,它们可以通过硫桥交联金属原子。在其他情况下,例如,含Te前体可以与水一起用作逆反应物或代替水用作逆反应物以形成Te-Sn-Te-Sn交联。适用于与Sn(NMe2)x型前体一起使用的碲共反应物是RTeH或RTeD(D=氘)和R2Te前体,其中R=烷基,特别是叔丁基或异丙基,例如叔丁基TeD。例如,为了与M(OR)x型前体一起使用,可以使用双(三甲基甲硅烷基)Te。
除了有机金属前体和逆反应物之外,薄膜还可以包括可选材料,以改变膜的化学或物理性质,例如改变膜对EUV的敏感性或增强抗蚀刻性。可以引入这样的可选材料,例如通过在沉积在半导体衬底上之前、沉积薄膜之后或在沉积在半导体衬底上之前以及在沉积薄膜之后的气相形成期间进行掺杂。在一些实施方案中,可以引入温和的远程H2等离子体以便用Sn-H代替一些Sn-L键,这可以增加抗蚀剂在EUV下的反应性。
在多种实施方案中,可使用本领域已知的气相沉积设备和工艺制造EUV可图案化膜并将其沉积在半导体衬底上。在这样的工艺中,聚合的有机金属材料以气相或原位形成在半导体衬底的表面上。合适的工艺包括例如化学气相沉积(CVD)、原子层沉积(ALD)和具有CVD成分的ALD,该ALD例如在任一时间或空间分离金属前体和逆反应物的不连续的、类似ALD的工艺。
通常,方法包括将有机金属前体的蒸气流与逆反应物的蒸气流混合以形成聚合的有机金属材料,并将有机金属材料沉积到半导体衬底的表面上。在一些实施方案中,多于一种的有机金属前体包括在蒸气流中。在一些实施方案中,多于一种的逆反应物包含在蒸气流中。如本领域普通技术人员将理解的,该过程的混合和沉积方面可以在基本上连续的过程中同时进行。
在示例性的连续CVD工艺中,有机金属前体和逆反应物源的两个或更多个气流在分开的入口路径中被引入CVD装置的沉积室,在这里它们在气相中混合和反应,以(例如,通过金属-氧-金属键的形成而)形成附聚的聚合物材料。例如,可以使用分开的注入入口或双充气室喷头来引入所述流。该装置被配置为使得有机金属前体和逆反应物的流在室中混合,从而允许有机金属前体和逆反应物反应以形成聚合的有机金属材料。在不限制本技术的机制、功能或效用的情况下,据信来自这种气相反应的产物的分子量随着金属原子通过逆反应物交联而变得更重,然后被冷凝或以其他方式沉积到半导体衬底上。在多种实施方案中,大体积烷基的空间位阻防止密集网络的形成并产生光滑的、无定形的、低密度的膜。
CVD工艺通常在减压下(例如从10毫托到10托)进行。在一些实施方案中,该工艺在0.5至2托下进行。在一些实施方案中,半导体衬底的温度等于或低于反应物流的温度。例如,衬底温度可以是从0℃到250℃,或从环境温度(例如,23℃)到150℃。在各种工艺中,聚合有机金属材料在衬底上的沉积以与表面温度成反比的速率发生。
形成在半导体衬底表面上的可EUV图案化膜的厚度可根据表面特性、使用的材料和加工条件而变化。在各种实施方案中,膜厚度可以在0.5nm至100nm的范围内,并且可以是足够的厚度以在EUV图案化的条件下吸收大部分EUV光。EUV可图案化膜可能能够适应等于或大于30%的吸收率,从而具有明显更少的EUV光子可用于朝向EUV可图案化膜的底部。与EUV暴露膜的底部相比,较高的EUV吸收率导致EUV暴露膜顶部附近的交联和致密化程度更高。尽管EUV光子的有效利用可能在EUV可图案化膜具有较高总吸收率的情况下发生,但应理解的是,在某些情况下,EUV可图案化膜可能小于约30%。作为比较,大多数其他抗蚀剂膜的最大总吸收率小于30%(例如,10%或更少,或5%或更少),使得抗蚀剂膜底部的抗蚀剂材料被充分暴露。在一些实施方案中,膜厚度为5nm至40nm或10nm至20nm。在不限制本公开内容的机制、功能或效用的情况下,据信,与本领域的湿式旋涂工艺不同,本公开的工艺对衬底的表面粘附性能具有较少限制,因此可应用于多种衬底。此外,如上文所讨论的,沉积膜可以与表面特征紧密贴合(conform),从而在无需“填充”或以其他方式平坦化这种特征的情况下,在诸如具有下伏特征的衬底之类的衬底上方形成掩模方面提供优势。
在本文所讨论的技术的一些实施方案中,可以进一步提高PR膜中的EUV灵敏度的另一种策略是创建膜,其中膜组成是竖直渐变的,从而产生与深度相关的EUV灵敏度。在具有高吸收系数的均匀PR中,整个薄膜深度的光强度降低需要更高的EUV剂量以确保底部充分暴露。通过增加在膜底部相对于在膜顶部具有高EUV吸收率的原子的密度(即,通过产生具有渐增的EUV吸收率的梯度),可以更有效地利用可用的EUV光子,同时朝向更高吸收膜的底部更均匀地分布吸收率(以及二次电子的影响)。
在PR膜中设计竖直组成梯度的策略特别适用于干式沉积方法,例如CVD和ALD,并且可以通过在沉积过程中调整不同反应物之间的流量比来实现。可以设计的组成梯度类型包括:不同高吸收金属之间的比率、具有EUV可裂解的庞大基团的金属原子的百分比、含有高吸收元素的庞大基团或逆反应物的百分比(例如Te和I)、以及上述的组合。在2020年6月24日提交的申请PCT/US20/70172中可以找到对竖直渐变膜的进一步的讨论,其方法和装置的公开内容通过引用并入本文。
背面/斜面清洁
在通过常规的湿式(例如,旋涂)加工或本文所述的干式沉积将EUV光致抗蚀剂膜施加到衬底的过程中,可能会在晶片斜边和/或背面有一些非预期的抗蚀材料沉积。这种斜边和背面沉积会导致下游加工问题,包括污染图案(扫描仪)和显影工具。传统上,这种斜边和背面沉积物的去除是通过湿式清洁技术完成的。
目前用于清洁旋涂金属有机光致抗蚀剂的现有技术是通过湿式清洁处理进行。在晶片正面和背面的湿轨道上执行边缘珠去除(EBR)。喷嘴位于晶片正面和背面的晶片边缘上方,并且在晶片旋转时分配溶剂。有机溶剂(例如:PGME、PGMEA、2-庚酮)溶解边缘上的光致抗蚀剂,清洁斜边区域。如果背面被污染,则晶片需要去另一个湿式清洗站进行晶片背面清洁。对于旋涂,接触卡盘的晶片区域通常保持清洁,并不总是使用单独的背面清洁。可能需要额外的清洁剂,例如稀氢氟酸(dHF)、稀盐酸(dHCl)、稀硫酸或标准清洁剂1(SC-1),以减少金属污染。在进入EUV扫描仪之前,通常会进行背面擦洗。
用于湿式清洁处理的溶剂本身就存在获取和处置成本高的问题。此类溶剂可能对环境有害并存在健康问题。湿式清洁处理可能会受到斜边区域上EUV抗蚀剂材料去除的均匀性的限制。由于表面张力和蒸汽问题,去除通常是波浪状的,并且不会导致在斜边区域清晰去除EUV抗蚀剂材料。此外,使用有机溶剂的后溅可能会在晶片正面产生缺陷。湿式清洁处理通常在独立的工具/室中执行,因此晶片需要在沉积后在工具/室之间进行转移。这可能导致在背面和/或斜边清洁中使用的工具/室受到污染。
本文描述了用于清洁半导体衬底的背面和/或斜边的清洁工艺。背面和/或斜边清洁可以非选择性地蚀刻EUV抗蚀剂膜,以同等地去除衬底背面和斜边上具有不同程度的氧化或交联的膜。在通过湿式沉积处理或干式沉积处理施加EUV可图案化膜期间,可能在衬底斜边和/或背面上存在一些抗蚀剂材料的非预期的沉积。非预期的沉积可能导致不期望的颗粒随后移动到半导体衬底的顶表面并且变成颗粒缺陷。此外,这种斜边和背面沉积会导致下游加工问题,包括污染图案化工具(扫描仪)和显影工具。传统上,这种斜边和背面沉积物的去除是通过湿式清洁技术完成的。对于旋涂光致抗蚀剂材料,此工艺称为边缘珠去除(EBR),并通过在衬底旋转时从斜边上方和下方引导溶剂流来执行。相同的工艺可以应用于通过气相沉积技术沉积的可溶性有机锡氧化物基抗蚀剂。
衬底斜边和/或背面清洁也可以是干式清洁工艺。在一些实施方案中,干式清洁工艺涉及具有以下一种或多种气体的蒸汽和/或等离子体:HBr、HCl、HI、BCl3、SOCl2、Cl2、BBr3、H2、O2、PCl3、CH4、甲醇、氨、甲酸、NF3、HF。在一些实施方案中,干式清洁工艺可以使用与本文所述的干式显影工艺相同的化学品。例如,斜边和背面清洁可以使用卤化氢显影化学品。对于背面和斜边清洁工艺,蒸汽和/或等离子体必须限制在衬底的特定区域,以确保仅去除背面和斜面,而不会使衬底正面上的任何膜退化。
工艺条件可针对斜边和背面清洁进行优化。在一些实施方案中,更高的温度、更高的压力和/或更高的反应物流量可以导致增加的蚀刻速率。干式斜边和背面清洁的合适工艺条件可能是:100–10000sccm的反应物流量(例如500sccm HCl、HBr、HI或H2和Cl2或Br2、BCl3或H2),20到140℃(例如,80℃)的温度,20-1000毫托(例如,100毫托)的压强,0到500W的高频(例如,13.56MHz)等离子体功率,约10到20秒的持续时间,具体取决于光致抗蚀剂膜的组成和性能。应当理解,虽然这些条件适用于一些加工反应器,例如可从Lam研究公司(Fremont,CA)获得的Kiyo蚀刻工具,但根据加工反应器的能力,可以使用更宽范围的工艺条件。
斜边和背面清洁的进一步讨论可见于2020年6月25日提交的申请PCT/US20/70187和/或2020年6月25日提交的申请PCT/US20/39615,通过引用将其并入本文以用于所有目的。
PR重加工
干式清洁操作可以替代地扩展到完全光致抗蚀剂去除或光致抗蚀剂“重加工”,其中去除所施加的EUV光致抗蚀剂并且半导体衬底准备用于光致抗蚀剂再涂敷,例如当原始光致抗蚀剂损坏或有其他缺陷时。光致抗蚀剂重加工应在不损坏下伏半导体衬底的情况下完成,因此应避免使用氧基蚀刻。相反,可以使用如本文所述的含卤化物化学品的变体。应当理解,光致抗蚀剂重加工操作可以在工艺100的任何阶段进行。因此,光致抗蚀剂重加工操作可以在光致抗蚀剂沉积之后、在斜边和背面清洁之后、在PAB处理之后、在EUV暴露之后、在PEB处理之后、或在显影后进行。在一些实施方案中,可以执行光致抗蚀剂再加工以非选择性地去除光致抗蚀剂的暴露和未暴露区域,但对底层具有选择性。
在一些实施方案中,光致抗蚀剂重加工工艺涉及具有以下一种或多种气体的蒸汽和/或等离子体:HBr、HCl、HI、BCl3、Cl2、BBr3、H2、PCl3、CH4、甲醇、氨、甲酸、NF3、HF。在一些实施方案中,光致抗蚀剂重加工工艺可以使用与本文所述的干式显影工艺相同的化学品。例如,,光致抗蚀剂重加工可以使用卤化氢显影化学品。
工艺条件可针对光致抗蚀剂重加工进行优化。在一些实施方案中,更高的温度、更高的压力和/或更高的反应物流量可以导致增加的蚀刻速率。光致抗蚀剂重加工的合适工艺条件可以是:100–500sccm的反应物流量(例如500sccm HCl、HBr、HI、BCl3或H2和Cl2或Br2),20到140℃(例如,80℃)的温度,20-1000毫托(例如,300毫托)的压强,300到800W(例如500W)的高频(例如,13.56MHz)等离子体功率,0到200Vb的晶片偏置(更高的偏置可能与较硬的下伏衬底材料一起使用),以及约20秒到3分钟的持续时间,其足以完全去除EUV光致抗蚀剂,具体取决于光致抗蚀剂膜的组成和性能。应当理解,虽然这些条件适用于一些加工反应器,例如可从Lam研究公司(Fremont,CA)获得的Kiyo蚀刻工具,但根据加工反应器的能力,可以使用更宽范围的工艺条件。
在2020年6月25日提交的申请PCT/US20/39615中可以找到关于斜边和背面清洁或PR重加工的进一步讨论,通过引用将其并入本文以用于所有目的。
PAB/软烤
光刻处理通常涉及一个或多个烘烤步骤,以促进在光致抗蚀剂的暴露和未暴露区域之间产生化学对比度所需的化学反应。对于大批量制造(HVM),这种烘烤步骤通常在轨道上执行,其中晶片在环境空气或在某些情况下在N2流中以预设温度在热板上烘烤。在这些烘烤步骤期间更仔细地控制烘烤环境并在环境中引入额外的反应性气体组分可以帮助进一步降低剂量要求和/或改进图案保真度
根据本公开的各个方面,在沉积(例如,施加后烘烤(PAB))和/或暴露(例如,暴露后烘烤(PEB))之后对基于金属和/或金属氧化物的光致抗蚀剂的一种或多种后处理能够增加暴露和未暴露光致抗蚀剂之间的材料特性差异,并因此在随后的干式显影后降低剂量尺寸比(DtS)、改进PR轮廓并改进线边缘和宽度粗糙度(LER/LWR)。PAB处理可能涉及热处理、化学暴露和水分的组合,以增加EUV可图案化膜的EUV灵敏度,从而降低EUV剂量以在EUV可图案化膜中形成图案。可以调整和优化PAB处理温度以增加EUV可图案化膜的灵敏度。例如,处理温度可以介于约90℃和约200℃之间或介于约150℃和约190℃之间。在一些实施方案中,PAB处理可以在大气压和真空之间的压力下进行,处理持续时间为约1至15分钟,例如约2分钟。在一些实施方案中,PAB处理在介于约100℃至200℃之间的温度下进行约1分钟至2分钟。
在工艺100的框108,含金属的EUV抗蚀剂膜暴露于EUV辐射以显影图案。一般而言,EUV暴露会导致化学组成发生变化,并且在含金属的EUV抗蚀剂膜中发生交联,从而产生可用于后续显影的蚀刻选择性对比。
然后可以通过将膜的区域暴露于EUV光,通常在相对高的真空下,对含金属的EUV抗蚀剂膜进行图案化。可用于本文的那些中的EUV设备和成像方法包括本领域已知的方法。特别地,如上所述,膜的暴露区域是通过EUV图案化产生的,其相对于未暴露区域具有改变的物理或化学特性。例如,在暴露区域,可能发生金属-碳键断裂,如通过β-氢化物消除,留下反应性和可接近的金属氢化物官能团,这些官能团可在随后的暴露后烘烤(PEB)步骤过程中通过金属-氧桥转化为氢氧化物和交联的金属氧化物部分。该工艺可用于产生化学对比度,以作为负形抗蚀剂进行显影。通常,烷基中更多数量的β-H会导致更敏感的膜。这也可以解释为具有更多分支的较弱的Sn-C键。在暴露之后,可以烘烤含金属的EUV抗蚀剂膜,以引起金属氧化物膜的额外交联。暴露区域和未暴露区域之间的性质差异可以在后续加工中加以利用,例如以溶解未暴露区域或在暴露区域上沉积材料。例如,可以使用干式方法显影图案以形成含金属氧化物的掩模。
具体而言,在多种实施方案中,存在于表面上的烃基封端的氧化锡在成像层的暴露区域中被转化为氢封端的氧化锡,特别是当暴露是在真空中使用EUV。然而,将暴露的成像层从真空中去除到空气中,或控制引入氧气、臭氧、H2O2或水,会导致表面Sn-H氧化成Sn-OH。可以在后续加工中利用暴露和未暴露区域之间的性质差异,例如通过使经辐射区域、未辐射区域或两者与一种或多种试剂反应以选择性地向成像层添加材料或从成像层去除材料。
在不限制本技术的机制、功能或效用的情况下,EUV暴露(例如,在10mJ/cm2至100mJ/cm2的剂量下)会导致Sn-C键的断裂,从而导致烷基取代基的损失,减轻空间位阻并使得低密度膜会塌陷。此外,在β-氢化物消除反应中产生的反应性金属-H键可以与膜中的例如羟基之类的相邻活性基团发生反应,导致进一步的交联和致密化,并在暴露区域和未暴露区域之间产生化学对比度。
在将含金属的EUV抗蚀剂膜暴露于EUV光之后,提供光图案化的含金属的EUV抗蚀剂。光图案化的含金属EUV抗蚀剂包括EUV暴露区域和未暴露区域。
在一些实施方案中,执行暴露后烘烤(PEB)以进一步增加光图案化的含金属EUV抗蚀剂的蚀刻选择性对比度。可以在各种化学品的存在下对光图案化的含金属EUV抗蚀剂进行热处理,以促进EUV暴露区域的交联,或者在环境空气中的热板上简单地烘烤,例如在150℃和250℃之间烘烤介于1分钟到5分钟之间(例如在190℃下烘烤2分钟)。
在多种实施方案中,烘烤策略包括仔细控制烘烤环境、引入反应性气体和/或仔细控制烘烤温度的渐变(ramping)速率。有用的反应性气体的示例包括例如空气、H2O、H2O2蒸气、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、醇、乙酰丙酮、甲酸、Ar、He或他们的混合物。PEB处理设计为在(1)驱动在EUV暴露期间产生的有机碎片的完全蒸发和(2)将通过EUV暴露产生的任何Sn-H、Sn-Sn或Sn自由基物质氧化成金属氢氧化物,以及(3)促进相邻Sn-OH基团之间的交联,以形成更密集的交联SnO2状网络。烘烤温度经过精心选择,以实现最佳的EUV光刻性能。过低的PEB温度会导致交联不足,从而导致用于在给定剂量下显影的化学对比度较小。过高的PEB温度也会产生不利影响,包括未暴露区域(在本示例中通过显影图案化薄膜以形成掩模而去除的区域)中的严重氧化和膜收缩以及在光图案化的含金属EUV抗蚀剂和底层之间的界面的不希望的相互扩散,这两者都可能导致化学对比度的损失和由于不溶性浮渣导致的缺陷密度增加。PEB处理温度可以在约100℃和约300℃之间、约170℃和约290℃之间、或约200℃和约240℃之间。在一些实施方案中,PEB处理可以在大气压和真空之间的压强下进行,处理持续时间为约1至15分钟,例如约2分钟。在一些实施方案中,可以重复PEB热处理以进一步增加蚀刻选择性。
此外,PAB或PEB处理中烘烤温度的渐变速率是另一个有用的工艺参数,可以对其进行操作以微调交联/蚀刻选择性结果。替代地,PAB和PEB热处理可以在单个操作或多个操作中使用不同的工艺条件(例如每个操作的环境气体或混合物、温度、压力等)进行,以调节PR特性并因此调整不同的蚀刻选择性。
在替代的实施方案中,后涂敷和后暴露(past-exposure)处理中的任一者或两者可以包括远程等离子体工艺,其与热处理一起或替代热处理,以产生自由基以与含金属的光致抗蚀剂反应以修改其材料特性。在这样的实现方案中,自由基可以由相同或不同的气体物质产生。
在多种实施方案中,在将含金属光致抗蚀剂暴露于EUV光刻之前和之后处理含金属光致抗蚀剂以改变含金属光致抗蚀剂的材料特性(例如,PAB和PEB)。PAB和PEB操作的进一步讨论可见于2020年2月4日提交的美国专利申请62/970,020和2020年6月24日提交的申请PCT/US20/70171,其出于所有目的通过引用并入本文。
EUV扫描仪
极紫外(EUV)光刻技术可以通过移动到利用当前光刻方法可实现的更小的成像源波长而将光刻技术扩展到其光学极限之外,以图案化小的关键尺寸特征。约13.5nm波长的EUV光源可用于尖端光刻工具,也称为扫描仪。EUV辐射在包括石英和水蒸气在内的各种固体和流体材料中被强烈吸收,并且因此在真空中运行。
本技术还提供了其中通过将成像层的区域暴露于诸如EUV、DUV或电子束之类的辐射来图案化成像层的方法。在这种图案化中,辐射聚焦在成像层的一个或多个区域上。典型地进行暴露使得成像层膜包括一个或多个未暴露于辐射的区域。所得成像层可以包括多个暴露和未暴露区域,从而产生与半导体器件的晶体管或其他特征的产生一致的图案,其通过在衬底的后续处理中从衬底添加或去除材料而形成。此处有用的EUV、DUV和电子束辐射方法和设备包括本领域已知的方法和设备。
本文公开了真空集成金属硬掩模处理和相关真空集成硬件,其将膜形成(沉积/冷凝)和光学光刻术组合,结果极大地改进了EUV光刻(EUVL)性能——例如降低的线边缘粗糙度。
在本文所述的各种实施方案中,可使用沉积(例如,冷凝)处理(例如,在PECVD工具,例如Lam中进行的ALD或MOCVD)来形成含金属的膜(例如光敏金属盐)或含金属的有机化合物(有机金属化合物)的薄膜,其在EUV中具有强吸收度(例如,在10nm至20nm量级的波长下),例如在EUVL光源的波长下(例如,13.5nm=91.8eV)。该膜在EUV暴露时发生光分解并且在(例如,在导体蚀刻工具,如Lam/>中进行)后续蚀刻期间形成作为图案转移层的金属掩模。
在沉积之后,通过暴露于EUV光束,通常在相对高的真空下,使可EUV图案化薄膜图案化。对于EUV暴露,然后可以将含金属的膜沉积在与光刻平台(例如,晶片步进机,例如由荷兰Veldhoven的ASML提供的TWINSCAN NXE:平台)集成的室中,并在真空下传送,以便在暴露前不要反应。由于环境气体(如H2O、O2等)对入射光子的强光吸收,EUVL还需要大大降低的压力,这个事实促进了与光刻工具的集成。在其他实施方案中,光敏金属膜沉积和EUV暴露可以在同一个室内进行。/>
还应注意,虽然本公开主要将EUVL称为图案化技术,但替代实施方案可使用电子、离子或中性物质的聚焦束以将图案直接写入覆盖掩模上,其中也在真空中执行这些步骤。如果副产品凝结在EUVL***的反射光学元件上,则可以使用原位室清洁。
具体而言,如上所述,膜的区域是通过EUV图案化产生的,其相对于未暴露区域具有改变的物理或化学特性。例如,在暴露区域,金属-碳键断裂可能通过β-氢化物消除发生,留下反应性和可接近的金属氢化物官能团,这些官能团可以通过金属-氧桥转化为氢氧化物和交联的金属氧化物部分,这可以是用于产生化学对比度,既可作为负形抗蚀剂,也可作为硬掩模的模板。通常,烷基中更多数量的β-H会导致更敏感的薄膜。暴露后,可烘烤膜,以引起金属氧化物膜的额外交联。
不受限于本技术的机制、功能、或应用,例如剂量从10mJ/cm2至100mJ/cm2的EUV暴露会减少空间位阻并为低密度膜塌陷提供空间。除此之外,在β-氢消除反应中所产生的反应性金属-H键可与邻近的活性基团(例如,膜中的羟基)反应,造成进一步的交联与致密化,并在经暴露与未暴露区域之间形成化学对比度。
本文所述的膜沉积和光刻工艺和装置的真空集成提供了对EUV敏感的金属薄膜沉积,并随后通过在真空环境中直接暴露于EUV直接进行图案化,以防止它们的分解或降解。EUVL在真空中进行,以避免因环境气体的光吸收而降低入射13.5nm光通量。所描述的真空集成硬掩模工艺的优点包括:EUV***的真空操作开辟了使用对氧气和水分敏感的化合物的可能性;沉积***与EUV***在装置中的真空集成使得能够使用这些材料。金属前体的光分解产生非线性反应,其中光分解通过增加金属膜的吸收而增强。金属在高能二次电子的热化方面比光致抗蚀剂更好,从而提高对比度或LER。直接使用金属膜作为掩模或使用图案放大可以使膜更薄并减少所需的暴露时间。金属膜为蚀刻制作更好的硬掩模,并从掩模的角度降低所需的厚度。此外,可以根据此处描述的工艺进一步开发和优化与EUV真空和光学兼容的材料、具有用于金属沉积的适当剂量阈值的有机金属前体,以及具有多次光分解事件以消除给定空间中的成核位点的成核膜。
光刻辐射操作的进一步讨论可见于2015年1月30日提交的美国专利申请14/610,038,出于所有目的通过引用将其并入本文。
暴露后烘烤
如上所述,光刻工艺通常包括一个或多个烘烤步骤,以促进在光致抗蚀剂的暴露区域和未暴露区域之间产生化学对比度所需的化学反应。可以在沉积成像层之后/在EUV暴露(例如,涂敷后烘烤(PAB))和/或暴露于EUV(例如,暴露后烘烤(PEB))之前进行烘烤。
在多种实施方案中,烘烤策略包括仔细控制烘烤环境、引入反应性气体和/或仔细控制烘烤温度的渐变速率。在一些实施方案中,可以使用上面讨论的PEB策略。这种策略对于基于金属氧化物的EUV光致抗蚀剂(PR)尤其有用。
在典型的EUV光刻工作流程中,旋涂金属氧化物抗蚀剂材料通常经历两个烘烤步骤:一个是在涂敷抗蚀剂以蒸发膜中吸收的任何残留溶剂之后,另一个是在EUV暴露之后。第二个烘烤,通常称为PEB,被设计用于多种用途:1)驱动EUV暴露期间产生的有机碎片的完全蒸发;2)将金属氢化物物质(EUV暴露过程中β-H消除反应的另一种产物)氧化成金属氢氧化物;以及3)促进相邻-OH基团之间的交联,并且形成交联的金属氧化物网络。烘烤温度被谨慎地选择以实现最佳的EUV光刻性能。过低的PEB温度将导致有机碎片的不完全去除以及不充分的交联,并因此在给定的剂量下具有较低的用于显影的化学对比度。过高的PEB温度也会具有不利的影响,包括在未暴露区域(在该示例中,通过图案化膜的显影而移除以形成掩模的区域)中的严重的氧化与膜收缩、以及在PR与底层之间的接口处的非期望的交互扩散(interdiffusion),这两者均会导致化学对比度的减损、以及由于不可溶的残渣而导致缺陷密度的增加。将烘烤温度和烘烤时间作为唯一的旋钮,可调性和工艺窗通常非常有限。
如上所讨论的,如本文所述,在PEB工艺期间仔细控制烘烤环境和引入反应性气体物质提供了额外的化学旋钮以微调交联工艺。调整PR材料中的交联行为动力学的能力将提供更宽的工艺窗,使得能通过最大限度地减少相互扩散和其他相关缺陷形成机制,以进一步优化光刻性能。此外,烘烤温度的渐变速率和压力控制(大气压及以下)是另一个有用的工艺参数,可以通过对其操作来微调交联工艺。
在2020年2月4日提交的美国专利申请62/970,020和2020年6月24日提交的申请PCT/US20/70171中可以找到关于PAB和PEB操作的进一步讨论,出于所有目的通过引用将其并入本文。
EUV暴露后的图案显影
在EUV暴露和潜在的PEB暴露之后,成像层的暴露和未暴露区域之间的选择性通过干式显影、湿式显影或区域选择性ALD加以利用。例如,干式或湿式显影工艺可以去除未暴露区域并留下暴露区域。在EUV暴露之后,成像层的后续加工将取决于衬底材料和使用衬底制造的半导体器件的所需特征。例如,可以通过各种光刻技术在衬底上形成特征,例如在由图案化暴露工具限定的暴露(正形)或未暴露(负形)区域中变得选择性地溶于干燥或液体显影剂中的膜。
干式显影可以提高性能(例如,防止由于湿式显影中的表面张力导致线塌陷)并提高产量(例如,通过避免湿式显影轨道的需要)。干式显影和/或全干式加工的其他优点可能包括无需使用有机溶剂显影剂、降低对粘附问题的敏感性、增加EUV吸收以提高剂量效率,以及缺乏基于溶解度的限制。
EUV光致抗蚀剂干式显影
如上所述,膜的暴露区域是通过EUV图案化产生的,该暴露区域相对于未暴露区域具有改变的物理或化学特性。例如,在暴露区域,金属-碳键断裂可能通过β-氢化物消除发生,留下反应性和可接近的金属氢化物官能团,这些官能团可以通过金属-氧桥转化为氢氧化物和交联的金属氧化物部分,这可以是用于产生化学对比度,从而作为负形抗蚀剂,或作为硬掩模模板。通常,烷基中更多数量的β-H会导致更敏感的膜。暴露后,可烘烤膜,以引起金属氧化物薄膜的额外交联。
暴露区域和未暴露区域之间的特性差异可在后续处理中加以利用,例如以溶解未暴露区域或在暴露区域上沉积材料。例如,可以使用干式方法显影图案以形成含金属氧化物的掩模。在这些工艺中有用的方法和装置在2019年12月19日提交的申请PCT/US2019/067540、2020年6月25日提交的申请PCT/US20/39615和2019年10月8日提交美国专利申请No.62/912,330,其方法和装置的公开内容通过引用并入此处。
在热显影工艺中,光图案化的含金属的EUV抗蚀剂在使暴露和未暴露区域之间的蚀刻选择性优化的温度下暴露于显影化学品。较低的温度可能会增加蚀刻选择性的对比度,而较高的温度可能会降低蚀刻选择性的对比度。在一些实施方案中,温度可以介于约-60℃和约120℃之间、约-20℃和约60℃之间、或约-20℃和约20℃之间,例如约-10℃。可以调节室压强,其中室压强可能会影响显影期间暴露区域和未暴露区域之间的蚀刻选择性。在一些实施方案中,室压强可以相对低并且伴随不稀释,其中室压强可以介于约0.1毫托和约300毫托之间、介于约0.2毫托和约100毫托之间、或介于约0.5毫托和约50毫托之间。在一些实施方案中,室压强可以介于约20毫托和约800毫托之间,或介于约20毫托和约500毫托之间,例如约300毫托。在一些实施方案中,室压强可以相对较高,具有高流量并伴随稀释,其中室压强可以介于约100托和约760托之间或介于约200托和约760托之间。可以调节反应物流速,其中反应物流量可能影响显影期间暴露和未暴露区域之间的蚀刻选择性。在一些实施方案中,反应物流量可以介于约50sccm和约2000sccm之间,介于约100sccm和约2000sccm之间,或介于约100sccm和约1000sccm之间,例如约500sccm。在具有高流量的情况下,反应物流量可以介于约1L和约10L之间。可以在热显影工艺中调整暴露持续时间。暴露的持续时间可能取决于希望去除多少抗蚀剂、显影化学品、抗蚀剂中的交联量以及抗蚀剂的组成和性质、以及其他因素。在一些实施方案中,暴露持续时间可以介于约5秒至约5分钟之间、介于约10秒至约3分钟之间、或介于约10秒至约1分钟之间。
热显影工艺可以将光图案化的含金属EUV抗蚀剂暴露于气相或液相中的某些含卤化物化学品。在一些实施方案中,显影化学品包括卤化氢、氢气和卤素气体、三氯化硼、有机卤化物、酰卤、羰基卤、亚硫酰卤或它们的混合物。卤化氢可以包括但不限于HF、HCl、HBr和HI。例如,卤化氢可以是HCl或HBr。氢气和卤素气体可以包括但不限于与F2、Cl2、Br2或I2混合的氢气(H2)。三氯化硼(BCl3)可以与任何上述卤化氢或氢气和卤素气体组合使用。有机卤化物可以包括但不限于CxHyFz、CxHyClz、CxHyBrz和CxHyIz,其中x、y和z是等于或大于0的值。酰卤可以包括但不限于CH3COF、CH3COCl、CH3COBr和CH3COI。碳酰卤可包括但不限于COF2、COCl2、COBr2和COI2。亚硫酰卤可以包括但不限于SOF2、SOCl2、SoBr2和SOI2。在一些实施方案中,含卤化物的化学品可以在有或没有惰性/载气例如He、Ne、Ar、Xe和N2的情况下流动。
热显影工艺可以在没有等离子体的情况下进行。通过应用非等离子热方法,可以显著提高生产率,因为可以同时在低成本的热真空室/烘箱中批量显影多个晶片。然而,在一些实施方案中,热显影工艺之后可暴露于等离子体。随后暴露于等离子体可能会发生解吸、除渣、平滑或其他加工操作。
在等离子显影工艺中,光图案化的含金属EUV抗蚀剂暴露于显影化学品,其包括一种或多种气体的自由基/离子。用于加工半导体衬底的处理室可以是等离子体产生室或耦合到远离处理室的等离子体产生室。在一些实施方案中,可以通过远程等离子体进行干式显影。等离子体产生室可以是电感耦合等离子体(ICP)反应器、变压器耦合等离子体(TCP)反应器或电容耦合等离子体(CCP)反应器,其采用本领域已知的设备和技术。电磁场作用于一种或多种气体以在等离子体产生室中产生等离子体。来自远程等离子体的离子和/或自由基可以与光图案化的含金属EUV抗蚀剂相互作用。在一些实施方案中,真空管线耦合到处理室用于压力控制,并且显影化学品管线可以耦合到等离子体产生室以将一种或多种气体输送到等离子体产生室中。处理室可以包括一个或多个用于温度控制的加热器,例如耦合到处理室中的衬底支撑件以用于衬底温度控制的加热器。在一些实施方案中,处理室内部可以涂有耐腐蚀膜,例如有机聚合物或无机涂层。一种这样的涂层是聚四氟乙烯(PTFE),例如Teflon 1M。此类材料可用于本公开内容的热处理而没有被等离子体暴露去除的风险。
在等离子显影工艺中,光图案化的含金属EUV抗蚀剂在针对暴露和未暴露区域之间的蚀刻选择性进行了优化的条件下暴露于远程等离子体。可以优化条件以产生温和的等离子体,其中温和的等离子体可以以高压和低功率为特征。可以调节室压强,其中室压强可能会影响显影期间暴露区域和未暴露区域之间的蚀刻选择性。在一些实施方案中,室压强可以等于或大于约5毫托,或等于或大于约15毫托。在一些实施方案中,室压强可以相对较高,具有高流量并伴随稀释,其中室压强可以在约100托和约760托之间或在约200托和约760托之间。RF功率电平可以调整,其中RF功率可能影响蚀刻选择性、粗糙度、去渣和其他显影特性。在一些实施方案中,RF功率可以等于或小于约1000W、等于或小于约800W、或等于或小于约500W。可以调节温度,其中温度可以影响显影的各个方面,例如蚀刻选择性。在一些实施方案中,温度可以介于约-60℃和约300℃之间、介于约0℃和约300℃之间、或介于约30℃和约120℃之间。可以调节气体流率,其中气体流量可能会影响显影期间暴露区域和未暴露区域之间的蚀刻选择性。在一些实施方案中,气体流率介于约50sccm和约2000sccm之间,介于约100sccm和约2000sccm之间,或介于约200sccm和约1000sccm之间,例如约500sccm。暴露的持续时间可以在等离子体显影过程中进行调整。暴露的持续时间可能取决于希望去除多少抗蚀剂、显影化学品、抗蚀剂中的交联量以及抗蚀剂的组成和性质,以及其他因素。在一些实施方案中,暴露持续时间可以介于约1秒至约50分钟之间、介于约3秒至约20分钟之间、或介于约10秒至约6分钟之间。
等离子体显影工艺可以将光图案化的含金属EUV抗蚀剂暴露于某些含卤化物气体的自由基。在一些实施方案中,自由基由远程等离子体源产生。例如,等离子体显影可以将光图案化的含金属EUV抗蚀剂暴露于从远程等离子体源产生的氢自由基和卤化物气体。在一些实施方案中,含卤化物气体包括卤化氢、氢气和卤素气体、三氯化硼、有机卤化物、酰卤、羰基卤、亚硫酰卤或它们的混合物。卤化氢可以包括但不限于氟化氢(HF)、氯化氢(HCl)、溴化氢(HBr)和碘化氢(HI)。例如,卤化氢可以是HCl或HBr。氢气和卤素气体可以包括但不限于与氟气(F2)、氯气(Cl2)、溴气(Br2)或碘气(I2)混合的氢气(H2)。有机卤化物可以包括但不限于CxHyFz、CxHyClz、CxHyBrz和CxHyIz,其中x、y和z是等于或大于0的值。酰卤可以包括但不限于CH3COF、CH3COCl、CH3COBr和CH3COI。碳酰卤可包括但不限于COF2、COCl2、COBr2和COI2。亚硫酰卤可以包括但不限于SOF2、SOCl2、SoBr2和SOI2。在一些实施方案中,含卤化物的气体可以在有或没有惰性/载气例如He、Ne、Ar、Xe和N2的情况下流动。
除渣/平滑/固化
在某些情况下,可能存在浮渣(显影后在EUV抗蚀剂的例如未暴露的区域的开放部分中的材料,可能具有高金属浓度,如簇)或粗糙度(相同的组成,但在显影的图案中蚀刻的特征的侧壁上)。这两个挑战主要归因于随机性和光的非最佳高斯分布,导致在抗蚀剂应保持未暴露的区域中部分或完全暴露的材料,反之亦然。
可以在显影期间或之后控制除渣和平滑操作的工艺条件。在一些实施方案中,反应物流量可以介于约50sccm和约1000sccm之间或介于约100sccm和约500sccm之间,例如约500sccm的He。在一些实施方案中,温度可介于约-60℃和约120℃之间、介于约-20℃和约60℃之间、或介于约20℃和约40℃之间,例如约20℃。在一些实施方案中,室压强可以介于约1毫托和约300毫托之间、介于约5毫托和约100毫托之间、介于约5毫托和约20毫托之间,例如约10毫托。具有高离子能量的等离子体功率可能相对较低。在一些实施方案中,等离子体功率可以介于约50W和约1000W之间、介于约100W和约500W之间、或介于约100W和约300W之间,例如约300W。在一些实施方案中,晶片偏压是介于约10V和约500V之间,介于约50V和约300V之间,例如约200V。等离子体可以使用高RF频率产生。在一些实施方案中,RF频率是13.56MHz。暴露于惰性气体等离子体的持续时间可以相对较短,以避免在等离子体暴露期间过度暴露于UV辐射。在一些实施方案中,暴露的持续时间介于约0.5秒至约5秒之间、介于约1秒至约3秒之间,例如约2秒。
He解吸除渣和清洁未暴露的抗蚀剂残留物可以具有附带益处,即固化暴露的抗蚀剂以使其硬化,从而增强其在随后的蚀刻下伏衬底的操作中的硬掩模功能。这种抗蚀剂硬化是通过将经暴露于EUV的抗蚀剂暴露于由He等离子体产生的UV辐射来实现的,这可以在除渣/平滑完成后在偏置关闭的情况下继续进行。如果不需要或不进行除渣/平滑处理,则可替代地进行He等离子体固化。
在一些实施方案中,He等离子体除渣/平滑处理可以与干式显影一起循环,如上所述,以获得增强的结果。通过这种方式,图案的例如未暴露的区域的大部分有机成分被干式显影去除,然后短时间的He等离子体操作可以去除表面的一些浓缩金属,打开通往剩余的下伏有机材料的通道,然后可以将其在随后的干式显影操作/循环中去除。He等离子体的另一个循环可用于去除任何剩余的金属,以留下干净和光滑的特征表面。可以继续循环直到所有或基本上所有浮渣和粗糙残留物被去除以留下干净和光滑的特征表面。
在一些实施方案中,He解吸除渣和平滑处理可以与湿式显影工艺一起使用。湿式显影具有非常高的选择性,并显示出清晰的开/关行为,导致湿式显影工艺无法去除错误地部分或完全暴露的区域。然后在湿式显影工艺之后留下剩余的残留物,导致浮渣和高线边缘和宽度粗糙度。有趣的是,由于干式显影工艺的可调节性,其中蚀刻速率和选择性可以基于多个旋钮(例如时间、温度、压力、气体/流量)进行调节,因此它可以进一步通过去除这些部分暴露的残留物而应用于除渣和使含金属的抗蚀剂线光滑。
装置
当前的EUV抗蚀剂涂覆技术通常使用在大气中涂敷的旋涂抗蚀剂。该技术不允许对大气进行控制或影响,并且仅允许将单一化学混合物施加于整个膜堆叠件。
如下图#AW和#AX所示的室包含许多元件以实现EUV抗蚀剂的干式沉积,其包括四区静电卡盘以将晶片衬底的温度控制在+/-0.5℃,以及连接到双充气室气体分配板的四前体蒸汽输送***。
在多种实施方案中,室、气体分配板和相关的输送管道都被加热以防止任何前体的冷凝。
在多种实施方案中,还结合有气箱以将所需的气体成分输送到室。
在多种实施方案中,ESC是RF供电的,以增强室的清洁能力。
在多种实施方案中,闸阀和所有内部室部件被加热以防止或最小化晶片表面以外的沉积。
在多种实施方案中,在晶片的周边周围使用气体排除环,以防止在背面和顶部边缘斜面上沉积。
这些设计的创新之处在于将不同的技术元件耦合到一个室中,以实现所需的沉积性能。晶片温度的均匀性、化学成分通过气体分配板的梯度分布、沉积排除环和加热的室表面在各种实施方案中协同工作,以实现卓越的薄膜性能。
在一些实施方案中,可在本文所述的操作期间使用双充气室分形(DPF)喷头。本文所讨论的双室分形喷头可以被配置为将处理气体均匀地分配到位于半导体处理室中的半导体晶片上方的处理体积中。这可能有助于促进更均匀的晶片加工,因为整个晶片表面通常会同时暴露于这种处理气体,这与例如其中处理气体在流出喷头中心一段时间后才从喷头的***流出的喷头相反。DPF喷头的更多细节在名称为DUAL PLENUM SHOWERHEAD的美国专利No.62/914,616,其公开内容至少与处理室的配置有关地并入此处。
图4描绘了处理站400的实施方案的示意图,处理站400具有处理室主体402,用于维持适合进行所述的干式显影、清洁、返工、除渣和平滑处理实施方案的低压环境。多个处理站400可包含在共同的低压处理工具环境中。例如,图5描绘了多站式处理工具500的实施方案,例如可购自Lam Research Corporation(Fremont,CA)的处理工具。在一些实施方案中,处理站400的一或更多硬件参数(包含以下所详细讨论者)可通过一或更多计算机控制器450而以编程方式调整。
处理站可配置为集群工具中的模块。图7描绘了具有真空整合式沉积以及图案化模块的半导体处理集群工具架构,适用于进行本文所述的实施方案。这样的集群处理工具架构可包含抗蚀剂沉积、抗蚀剂暴露(EUV扫描机)、抗蚀剂干式显影以及蚀刻模块,如以上所述以及下文参照图6及7进一步描述。
在一些实施方案中,一些处理功能可在同一模块中连续地执行,例如干式显影和蚀刻。本公开内容的实施方案涉及方法和装置,其用于在EUV扫描机中进行光图案化之后,接收晶片(包括配置在待蚀刻层或层堆叠件上的已光图案化的EUV抗蚀剂薄膜层)至干式显影/蚀刻室;干式显影已光图案化的EUV抗蚀剂薄膜层;接着使用已图案化的EUV抗蚀剂作为掩模来蚀刻下伏层,如本文所述。
回到图4,处理站400与反应物输送***401a流体连通,用于将处理气体输送至分配喷头406。反应物输送***401a可选地包括混合容器404,其用于混合和/或调节处理气体以输送至喷头406。一或更多混合容器入口阀420可控制处理气体至混合容器404的引入。当使用等离子体暴露时,也可将等离子体输送至喷头406或可在处理站400中产生等离子体。如上所述,在至少某些实施方案中,非等离子体的热暴露是有利的。
图4包括可选的汽化点403,用于将待供应至混合容器404的液体反应物汽化。在一些实施方案中,液体流量控制器(LFC)可设置在汽化点403上游,以控制用于汽化及输送至处理站400的液体的质量流量。例如,LFC可包括位于LFC下游的热质量流量计(MFM)。接着,可调整LFC的柱塞阀,以响应于由比例-积分-微分(PID)控制器(与MFM电气连接)所提供的反馈控制信号。
喷头406将处理气体朝向衬底412分配。在图4所示的实施方案中,衬底412位于喷头406下方,并且显示为置于基座408上。喷头406可具有任何适当的形状,并且可具有任何适当数目和配置的通口,以将处理气体分配至衬底412。
在一些实施方案中,基座408可以升高或降低以暴露衬底412给衬底412和喷头406之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器450通过编程方式进行调节。
在某些实施方案中,基座408可通过加热器410来控制温度。在一些实施方案中,如所公开的实施方案所述,在已光图案化的抗蚀剂的非等离子体的热暴露至干式显影化学品(例如,HBr、HCl或BCl3)期间,可将基座408加热至大于0℃且上达300℃或更高的温度,例如50至120℃,例如约65至80℃。
此外,在一些实施方案中,对于处理站400的压力控制可以由蝶形阀418提供。如在图4的实施方案中所示,蝶形阀418对由下游真空泵(未示出)提供的真空进行调节。然而,在一些实施方案中,对处理站400的压力控制还可以通过改变引入至处理站400的一种或多种气体的流率来调节。
在一些实施方案中,喷头406的位置可以相对于基座408调节以改变衬底412和喷头406之间的体积。此外,应当理解的是,基座408和/或喷头406的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座408可包含用于旋转衬底412的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器450以编程方式执行。
在可使用等离子体时,例如在温和的基于等离子体的干式显影实施方案和/或在相同室中实施的蚀刻操作中,喷头406和基座408电连接射频(RF)功率源414和匹配网络416来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源414和匹配网络416可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适功率的示例上达约500W。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器450的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,用于设定干式显影化学品反应物气体(例如HBr或HCl)的流率的指令、以及用于配方阶段的时间延迟指令。在一些实施方案中,控制器450可包括下述关于图5的***控制器550的任何特征。
如上所述,一个或更多个处理站可以包含在多站处理工具中。图5示出了多站式处理工具500的实施方案的概要视图,其具有入站装载锁502和出站装载锁504,入站装载锁502和出站装载锁504的一者或者两者可以包含远程等离子体源。处于大气压的机械手506被配置为将晶片从通过舱508装载的盒经由大气端口510移动至入站装载锁502内。晶片由机械手506放置在入站装载锁502中的基座512上,关闭大气端口510,且抽空装载锁。当入站装载锁502包含远程等离子体源时,晶片在被引入处理室514之前,可以暴露至装载锁中的远程等离子体处理以处理氮化硅表面。此外,晶片另外也可以在入站装载锁502中加热,例如以移除湿气和吸附的气体。接下来,通向处理室514的室传输端口516被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图5中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室514包含4个处理站,图5所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为518)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在干式显影与蚀刻处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室514可以包含一个或多个干式显影与蚀刻处理站的匹配对。尽管绘出的处理室514包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图5描绘了用于在处理室514内传输晶片的晶片搬运***590的一些实施方案。在一些实施方案中,晶片搬运***590可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运***。非限制性示例包含晶片转盘和搬运晶片的机械手。图5还绘出了采用来控制处理工具500的处理条件和硬件状态的***控制器550的实施方案。***控制器550可以包含一个或多个存储器设备556、一个或多个海量存储设备554和一个或多个处理器552。处理器552可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,***控制器550控制处理工具500的所有活动。***控制器550执行存储在海量存储设备554、载入存储器设备556、并由处理器552执行的***控制软件558。可替代地,控制逻辑可以在控制器550中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。***控制软件558可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具500执行的特定处理的其它参数的指令。***控制软件558可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。***控制软件558可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,***控制软件558可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与***控制器550关联的、存储在海量存储设备554和/或存储器设备556的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座518,并控制衬底和处理工具500的其它部分之间的间隔。
处理气体控制程序可包含用于控制各种气体组成(例如,如本文所述的HBr或HCl气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放***中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与***控制器550相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如点击设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由***控制器550调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由***控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
***控制器550可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作干式显影和/或蚀刻处理。
***控制器550将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的加工操作的指令的机器可读的介质可以耦合到***控制器550。
在一些实现方式中,***控制器550是***的一部分,该***可以是上述示例的一部分。这种***可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流***等)。这些***可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个***的各种元件或子部件。根据处理要求和/或***的类型,***控制器550可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体***连接或通过接口连接的装载锁。
广义而言,***控制器550可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到***控制器550的指令,该设置定义用于在半导体晶片或***上或针对半导体晶片或***执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,***控制器550可以是与***集成、耦合、以其它方式联网到***或其组合的计算机的一部分或者与该计算机耦合。例如,***控制器550可以在“云端”或者是晶片厂(fab)主机***的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到***。在一些实施例中,***控制器550接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,***控制器550被配置成连接或控制该工具类型。因此,如上所述,***控制器550可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的***可以包含等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描机)或模块、干式显影室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,***控制器550可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
现在描述感应耦合式等离子体(ICP)反应器,在某些实施方案中,其可适用于蚀刻操作,蚀刻处理适用于某些实施方案的实施。虽然本文描述ICP反应器,但应理解,在一些实施方案中,还可使用电容耦合式等离子体反应器。
图6概要地显示感应耦合式等离子体装置600的横截面图,其适合实行某些实施方案或实施方案的方面(例如干式显影和/或蚀刻),该装置的示例为由Lam Research Corp.,Fremont,CA所生产的反应器。在其他实施方案中,可使用具有执行本文所述的干式显影和/或蚀刻处理的功能的其他工具或工具类型以供实施。
感应耦合式等离子体装置600包括整体处理室624,其在结构上由室壁601和窗611限定。室壁601可以由不锈钢或铝制成。窗611可以由石英或其他介电材料制成。任选的内部等离子体栅格650将总处理室分为上副室602和下副室603。在大多数的实施方案中,等离子体栅格650可以被移除,从而利用由副室602和603两者构成的室空间。卡盘617定位在下副室603中在底部内表面附近。卡盘617被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片619。卡盘617可以是当晶片619存在时用于支撑晶片619的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘617,并具有大致与晶片619(当晶片存在于卡盘617上方时)的顶面在同一平面的上表面。卡盘617还包括用于夹紧和松开晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制***用于提升晶片619使其离开卡盘617。卡盘617可以用RF电源623充电。RF电源623通过连接件627被连接到匹配电路621。匹配电路621通过连接件625连接到卡盘617。以这种方式,RF电源623被连接到卡盘617上。在多种实施方案中,可将静电卡盘的偏压电源设定为约50V,或取决于依据所公开的实施方案所执行的处理而设定为不同的偏压电源。例如,偏压电源可在约20Vb与约100V之间、或在约30V与约150V之间。
用于等离子体产生的元件包括位于窗611上方的线圈633。在一些实施方案中,所公开的实施方案中未使用线圈。线圈633由导电材料制成,并包括至少一整匝。在图6中所示的线圈633的示例包括三匝。线圈633的横截面用符号示出,具有“X”符号的线圈表示线圈旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈633的RF电源641。一般地,RF电源641通过连接件645被连接到匹配电路639。匹配电路639通过连接件643连接到线圈633。以这种方式,RF电源641被连接到线圈633。任选的法拉第屏蔽件649a被定位在线圈633和窗611之间。法拉第屏蔽件649a可以以相对于线圈633成隔开的关系被保持。在一些实施方案中,法拉第屏蔽件649a被设置在窗611的正上方。在一些实施方案中,法拉第屏蔽件649b是在窗部611与卡盘617之间。在一些实施方案中,法拉第屏蔽件649b与线圈633并非维持相隔开的关系。例如,法拉第屏蔽件649b可直接在窗611下方而没有间隙。线圈633、法拉第屏蔽件649a、以及窗611中的每一者被配置为彼此实质上平行。法拉第屏蔽件649a可防止金属或其它物质沉积于处理室624的窗611上。
处理气体可以通过位于上副室602中的一个或多个主气体流入口660和/或通过一个或多个侧气体流入口670流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵640,可用于将处理气体从处理室624抽出并维持处理室600内的压强。例如,该真空泵可用于在ALD清扫操作过程中排空下副室603。阀控制的导管可用于使真空泵流体连接在处理室624上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置600的操作过程中,一种或多种处理气体可通过气体流入口660和/或670供给。在某些实施方案中,处理气体可以仅通过主气体流入口660供给,或者仅通过侧气体流入口670供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件649a和/或任选的栅格650可以包括使处理气体能输送至室的内部通道和孔。法拉第屏蔽件649和任选的栅格650中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送***可位于处理室624的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口660和/或670引入到室中。
射频功率从RF电源641供给到线圈633以使RF电流流过线圈633。流过线圈633的RF电流产生围绕线圈633的电磁场。该电磁场产生在上副室602内的感应电流。所生成的各离子和自由基与晶片619的物理和化学相互作用蚀刻晶片的特征并且选择性地在晶片619上沉积层。
如果使用等离子体栅格650使得存在上副室602和下副室603二者,则感应电流作用于存在于上副室602中的气体上以在上副室602中产生电子-离子等离子体。任选的内部等离子体栅格650限制下副室603中的热电子的量。在一些实施方案中,设计和操作所述装置600使得存在于下副室603中的等离子体是“离子-离子”等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口622从下副室603去除。本文所公开的卡盘617可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
装置600当安装在超净室或制造厂中时可耦合到设施(未示出)。这样的设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到装置600。此外,装置600可耦合在传送室上,从而允许使用例如典型的自动化由机械手传送半导体晶片进出装置600。
在一些实施方案中,***控制器630(其可以包括一个或多个物理或逻辑控制器)控制处理室624的操作中的一些或全部。***控制器630可以包括一个或多个存储器设备和一个或多个处理器。在一些实施方案中,该装置600包括在执行所公开的实施方案时用于控制流率和持续时间的切换***。在一些实施例中,该装置600可具有高达约600ms或高达约750ms的切换时间。切换时间可以取决于流动化学品组成、配方选择、反应器架构以及其他因素。
在一些实现方式中,***控制器或控制器630是***的一部分,该***可以是上述示例的一部分。这种***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以集成到控制器630中,其可以控制一个或多个***的各种部件或子部件。根据处理参数和/或***类型,***控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定***或与特定***接口的装载锁的晶片输送。
广义而言,控制器630可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或***执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造或去除期间完成一个或多个处理步骤。
在一些实现方式中,***控制器630可以是与***集成、耦合、以其它方式联网到***或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机***的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对***的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向***提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到***。在一些示例中,***控制器630接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,***控制器630可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例性的***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描仪)或模块、干式光刻室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、集群工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
EUVL图案化的实施可利用任何合适的工具,其通常被称为扫描机,例如由ASML(Veldhoven,NL)所提供的TWINSCAN NXE:平台。EUVL图案化工具可以是独立的设备,衬底被移入其中或自其移出以用于本文所述的沉积与蚀刻。替代地,如下所述,EUVL图案化工具可以是在较大的多构件工具上的模块。图7描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积、EUV图案化、及干式显影蚀刻模块,适用于进行本文所述的处理。虽然可在缺少这样的真空整合装置的情况下实施这些处理,但这样的装置在某些实现方案中可能是有利的。
图7描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积和图案化模块,适用于进行本文所述的处理。用于在多个储存装置与处理模块之间“传送”晶片的传送模块的配置可称为“集群工具架构”***。根据特定处理的需求,沉积以及图案化模块是真空整合式的。在该集群上还可包括其它模块(例如用于蚀刻)。
真空传送模块(VTM)738与四个处理模块720a-720d对接,其可各自进行优化以执行各种制造处理。作为一示例,处理模块720a-720d可用于执行沉积、蒸发、ELD、干式显影、蚀刻、剥除、和/或其它半导体处理。例如,模块720a可以是ALD反应器,其可操作以执行本文所述的非等离子体的热原子层沉积中,例如可购自Lam Research Corporation(Fremont,CA)的Vector工具。模块720b可以是PEALD工具(例如Lam)。应理解,图未必按比例绘制。
气锁742及746(亦称为装载锁或传送模块)与VTM 738以及图案化模块740对接。例如,如上所述,合适的图案化模块可为TWINSCAN NXE:平台(由ASML(Veldhoven,NL)提供)。此工具架构容许工件(例如半导体衬底或晶片)在真空下传送,以便不在暴露之前反应。沉积模块与光刻工具的整合通过以下事实促成:考虑到环境气体(例如H2O、O2等)对于入射光子的强烈光学吸收性,EUVL还需要大幅降低的压力。
如上所述,该整合架构仅为用于实行所述处理的工具的一可能实施方案。这些处理的实行也可使用更为常规的独立EUVL扫描机以及沉积反应器(例如Lam Vector工具)作为模块,其为独立的或与其它工具(例如蚀刻、剥除等(例如Lam Kiyo或Gamma工具))一同整合于集群架构中,例如参考图7所述的(但没有整合的图案化模块)。
气锁742可以是“输出”装载锁,代表将衬底从供沉积模块720a使用的VTM 738传出至图案化模块740,而气锁746可以是“输入”装载锁,表示将衬底从图案化模块740传送回VTM 738。输入装载锁746也可作为至工具外部的接合部,以用于衬底的进出。每一处理模块具有将该模块对接至VTM 738的小面(facet)。例如,沉积处理模块720a具有小面736。在每一小面内,传感器(例如,图中所示的传感器1-18)用于,当晶片726在相应的站与站之间移动时,检测晶片的通过。图案化模块740及气锁742、746可类似地装配有额外的小面以及传感器(未显示)。
主要VTM机械手722在模块(包括气锁742及746)之间传送晶片726。在一实施方案中,机械手722具有一个臂,而在另一实施方案中,机械手722具有两个臂,其中每一个臂具有末端效应器724以拾取晶片(例如晶片726)而进行输送。前端机械手744用于将晶片726从输出气锁742传送至图案化模块740中、从图案化模块740传送至输入气锁746中。前端机械手744也可在输入装载锁与工具外部之间输送晶片726,以用于衬底的进出。由于输入气锁模块746能够匹配在大气与真空之间的环境,所以晶片726能在这两个压力环境之间移动而不会受损。
应当注意,相比于沉积工具,EUVL工具通常在较高的真空下操作。如果情况是如此,则期望在由沉积传送至EUVL工具期间增加衬底的真空环境,以容许衬底在进入图案化工具之前进行除气。输出气锁742可提供此功能,通过将所传送的晶片维持在较低压力(不高于图案化模块740中的压力)一段时间并抽空任何离去气体(off-gassing),使得图案化工具740的光学组件不会被来自衬底的离去气体所污染。输出离去气体气锁的合适压力不超过1E-8Torr。
图8呈现图7的替代半导体工艺集群工具架构,其不具有图案化模块740。相反,在大气传送模块(ATM)840中,前端机械手832用于将晶片826从装载端口模块(LPM)842中的盒或正面开口标准盒(FOUP)834传送到气锁830。处理模块820a-820d内的模块中心828是用于放置晶片826的一个位置。ATM 840中的对准器844用于对准晶片。
在示例性处理方法中,晶片被放置在LPM 842中的FOUP 834中的一个内。前端机械手832将晶片从FOUP 834传送到对准器844,这使得晶片826能够在被蚀刻或处理之前适当地居中。在对准之后,晶片826被前端机械手832移动到气锁830中。由于气锁模块具有匹配ATM和VTM之间的环境的能力,所以晶片826能够在两个压力环境之间移动而不被损坏。晶片826从气锁模块由机械手822经由VTM 838移动并且进入处理模块820a-820d中的一个内。为了实现该晶片移动,机械手822使用位于其每个臂上的端部执行器824。一旦晶片826已经被处理,其就被机械手822从处理模块820a-820d移动到气锁模块830。从这里,晶片826可以由前端机械手832移动到FOUP 834中的一个或移动到对准器844。
如上所述,一个或多个处理站可被包括在多站处理工具中。图9示出了具有入站装载锁902和出站装载锁904的多站处理工具900的实施方案的示意图,所述入站装载锁902和出站装载锁904中的任一个或两个可以包括远程等离子体源。在大气压力下的机械手906被配置为将衬底或晶片从通过晶舟(pod)908装载的盒子经由大气端口910移动到入站装载锁902中。在入站装载锁902中机械手906将衬底放置在基座912上,大气端口910关闭,并且装载锁被抽空。在入站装载锁902包括远程等离子体源的情况下,在被引入到处理室914A–C中之前,可以将衬底暴露于装载锁中的远程等离子体处理。此外,衬底还可以在入站装载锁902中加热,例如用于去除湿气和吸附的气体。接下来,打开通向处理室914A–C中的一者的室输送端口916,另一机械手(未示出)将衬底放入反应器中在反应器中示出的第一站的基座上以进行处理。虽然图9中描绘的实施方案包括装载锁,但是应该理解,在一些实施方案中,可以使衬底直接进入处理站。在多种实施方案中,当通过机械手906将衬底放置在基座912上时,将浸泡气体引入到站。
所描绘的处理室914B包括四个处理站,在图9所示的实施方案中编号为1到4。每个站具有加热的基座(针对站1以918示出)和气体管线入口。应该理解,在一些实施方案中,每个处理站可以用于不同的或多个目的。例如,在一些实施方案中,处理站可以在ALD和PEALD处理模式之间切换。另外地或替代地,在一些实施方案中,处理室914可以包括一个或多个匹配的成对的ALD和等离子体增强的ALD处理站。虽然所描绘的处理室914包括四个站,但应理解,根据本发明的处理室可具有任何合适数目的站。例如,在一些实施方案中,处理室可具有五个或更多个站,而在其他实施方案中,处理室可具有三个或更少的站。此外,虽然图9描绘了具有三个处理室的多站处理工具900,但是在一些实施方案中,多站处理工具可以具有四个处理室,例如图10E(i)中所描绘的。在一些实施方案中,多站处理工具可以具有四个或更多个处理室,而在其他实施方案中,多站处理工具可以具有一个、两个或三个处理室。
图9描绘了用于在处理室914内传送衬底的晶片搬运***990的实施方案。在一些实施方案中,晶片搬运***990可以在各个处理站之间和/或在处理站与装载锁之间传送衬底。应理解,可以采用任何合适的晶片处理***。非限制性示例包括晶片传送带和晶片处理机械手。图9还描绘了用于控制处理工具900的工艺条件和硬件状态的***控制器950的实施方案。***控制器950可以包括一个或多个存储器装置956、一个或多个大容量存储装置954以及一个或多个处理器952。处理器952可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。在一些实施方案中,***控制器950包括用于执行诸如此处所描述的那些操作之类的操作的机器可读指令。
在一些实施方案中,***控制器950控制处理工具900的活动。***控制器950执行存储在大容量存储装置954中、装载到存储装置956中并在处理器952上执行的***控制软件958。或者,控制逻辑可以在***控制器950中被硬编码。专用集成电路、可编程逻辑器件(例如现场可编程门阵列或FPGA)等等可以用于这些目的。在下面的讨论中,凡是使用“软件”或“编码”的地方,都可以在其中使用功能上可比较的硬编码逻辑。***控制软件958可以包括用于控制时序、气体混合物、气体流量、室和/或站压力、室和/或站温度、衬底温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或感测器位置以及由处理工具900执行的特定处理的其他参数。***控制软件958可以以任何适当的方式来配置。例如,可以写入各种处理工具组件子例程或控制对象来控制用于执行各种处理工具处理的处理工具组件的操作。***控制软件958可以用任何合适的计算机可读编程语言编码。
在多种实施方案中,室914A-C可以执行相同或不同的操作。此外,虽然显示了三个室914A-C,但在一些实施方案中,可以有四个或更多室,或者三个或更少的室。在一些实施方案中,室914A-C中的每一个可以执行干式沉积工艺以沉积光刻层。在一些实施方案中,室914A-C中的一个或多个可用于干式沉积工艺,而室914A-C中的不同的一个或多个可用于如本文所述的干式显影工艺。在一些实施方案中,一个室可以用于干式沉积工艺,一个室可以用于PAB工艺,并且一个室可以用于干式显影工艺。在一些实施方案中,用于PAB工艺的室也可以用于PEB工艺或替代地用于PEB工艺。在有四个室的实施方案中,一个室可以用于干式沉积工艺,一个室可以用于PAB工艺,一个室可以用于PEB工艺,而一个室可以用于干式显影工艺。在一些实施方案中,可以通过不同的模块比率来解决不相等的处理时间(例如,如果PR沉积时间是PAB时间的两倍,那么工具可以配置等于PAB模块的两倍的PR沉积模块)。
在一些实施方案中,多站处理工具900可以在每个室具有不同的模块以促进本文讨论的实施方案。例如,处理模块可用于沉积工艺,而/>处理模块可用于PAB、PEB或干式显影工艺。在一些实施方案中,每种类型的模块可能有不同的数量。例如,可以有一个VECTOR模块和一个或多个KIYO模块。
在一些实施方案中,可以在同一工具的同一模块内的不同基座上实施多个操作。在一些实施方案中,VECTOR模块可以集成在与KIYO模块相同的模块中。例如,室914的基座1和3可用于干式沉积工艺,而基座2和4可用于不同的工艺,例如晶片清洁、PAB、PEB或干式显影工艺。可以在一个基座上处理晶片以沉积PR膜,然后可以配置机械手以将晶片移动到室内的另一个基座以用于本文所述的后续工艺。以这种方式,可以保持真空并且在基座与基座之间转位晶片比在工艺模块之间转移晶片更快。因此,效率(生产量)在不显著牺牲技术性能的情况下得到提高,并且交叉污染得到控制或最小化,从而产生出色的膜性能。
此外,每个站/基座可以针对每个操作进行配置或优化,并且基座可以彼此隔离以减少或最小化沉积、清洁、烘烤、辐射或显影工艺之间的交叉污染/串扰,例如,通过气幕或其他站特定的屏障(例如气体密封件)实现,例如在美国专利申请公开No.2015/0004798和美国专利申请公开No.2017/0101710中描述的,其公开内容在这方面在此通过引用并入本文。在一定范围内,每个基座工艺可以处于不同的温度和压力下。并且每个站的基座、喷头、壁等的温度都可以独立针对每个工艺优化设置,无需循环。到每个站点的气体分配可以保持分开。通过添加多个节流阀,可以独立维持压力。在一些实施方案中,每个站的排放可以是本地的而不是共享的,使得排放可以保持独立而没有气体的交叉混合/污染。
在一些实施方案中,可以在相同的基座上实施集成操作。例如,晶片清洁和PAB/PEB操作可以在同一个基座上实施。这可以通过减少晶片清洁和烘烤操作之间的转移或排队时间来提供提高的吞吐量。
图10A-E示出了可以在工具的不同室内执行加工操作的多种实施方案,以及示出可以在工具上或工具外执行的操作的工艺流程图。在多种实施方案中,图10A-E中所示的操作可以与上面图1中描述的那些相同(如使用相同的附图标记所暗示的)。虽然图10A-E中未示出清洁操作,但在一些实施方案中可以执行清洁操作。还应该理解,一些操作可能不被执行,如参考图1进一步解释的那样。图10A(i)说明了一种实施方案,其中集群工具的每个室执行干式沉积工艺,如在图10A(ii)中所呈现的工艺流中所显示的。光刻工艺中的其他操作,例如PAB、EUV暴露、PEB和显影工艺,可以在不同的工具或集群上执行。
图10B(i)说明了一种实施方案,其中干式沉积和干式显影工艺可以在单个工具内进行,如图10B(ii)所呈现的工艺流程所示的。在多种实施方案中,两个室可用于干式沉积工艺,而单个室用于干式显影工艺。可以在不同的工具上执行其他操作。
图10C(i)说明了一种实施方案,其中干式沉积、PAB和干式显影各自在单个工具内进行,如图10C(ii)所呈现的工艺流程所示的。在多种实施方案中,每个工艺可以使用一个室。在一些实施方案中,衬底可以在工艺之间具有排队时间。在一些实施方案中,排队时间会在工具之外花费,例如在FOUP或其他受控环境中花费。在其他实施方案中,晶片可以直接从干式EUV沉积室移动到PAB室而无需排队时间,或者可以在任一处理室中花费排队时间。这可能有利于减少排队时间和/或保持真空,这两者都可以提高所得PR膜的质量。
图10D(i)说明了一种实施方案,其中干式沉积、PEB和干式显影工艺在单个工具内进行,如图10D(ii)所呈现的工艺流程所示的。在一些实施方案中,PAB室和PEB室可以是相同的室,而在其他实施方案中它们是不同的室。如上所述,在一些实施方案中,排队时间可以在受控环境中在工具外花费,而在其他实施方案中,晶片可以直接从PEB室转移到显影室。这可能有利于减少排队时间和/或保持真空,这两者都可以提高所得PR膜的质量。
图10E(i)说明了一种实施方案,其中干式沉积、PAB、PEB和干式显影在单个工具内进行,如图10E(ii)中呈现的工艺流程所示的。在一些实施方案中,辐射暴露可以在单独的扫描仪室中在工具外进行。如上所述,在一些实施方案中,排队时间可以在受控环境中在工具外花费,而在其他实施方案中,衬底可以直接从干式沉积室移动到PAB室,或从PEB室移动到干式显影室。在这样的实施方案中,排队时间可以花费在工具上。针对不同操作在同一工具上的室之间转移衬底可能有利于减少排队时间并保持真空/环境控制。
图10F(i)说明了一种实施方案,其中扫描仪可以与沉积室和/或湿式或干式显影室集成。有机金属锡前体安瓿1000和EUV沉积模块1010可用于干式沉积EUV PR。EUV暴露模块1020可用于将EUV PR的部分暴露于辐射以化学改变暴露部分。EUV显影模块1030可以使用湿式或干式工艺来去除EUV PR的部分,这可以将PR视为负形抗蚀剂或正形抗蚀剂。图案转移模块1040然后可以将EUV PR的图案转移到下伏层,例如,通过基于显影的EUV PR图案形成线的蚀刻工艺进行。在一些实施方案中,来自各种加工环境的副产品可以被共享或流向单个或多个废气减排***1050。在一些实施方案中,可以在图10F(i)中所示的工具中执行如图10F(ii)所呈现的工艺流程。
在一些实施方案中,***控制器(其可包括一或更多实体或逻辑控制器)控制集群工具和/或其分开的模块的一些或所有操作。应当注意,控制器可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。***控制器可包括一或更多存储器设备以及一或更多处理器。处理器可包括中央处理单元(CPU)或计算机、模拟和/或数字输入/输出连接、步进马达控制板、及其它类似构件。在处理器上执行用于实施合适的控制操作的多个指令。这些指令可存储于与控制器相连的存储器设备上、或可通过网络而提供。在某些实施方案中,***控制器执行***控制软件。
***控制软件可包括用于控制任何工具或模块操作的方面的应用与规模的时序的指令。***控制软件可以任何适当的方式配置。例如,可编写各种处理工具构件子程序或控制对象,以控制实施各种处理工具程序所需的处理工具构件的操作。***控制软件可以任何合适的计算器可读程序语言进行编码。在一些实施方案中,***控制软件包括输入输出控制(IOC)序列指令,以控制上述的各种参数。例如,半导体制造处理的每一阶段可包括由***控制器所执行的一或更多指令。例如,用于设定凝结、沉积、蒸发、图案化和/或蚀刻阶段的处理条件的指令可包括在相对应的配方阶段中。
在多种实施方案中,提供用于形成负形图案掩模的装置。该装置可包括用于图案化、沉积以及蚀刻的处理室、以及包括用于形成负形图案掩模的指令的控制器。指令可包括用于,在处理室中,执行下列处理的程序代码:通过EUV暴露使衬底表面暴露,图案化在半导体衬底上的化学放大抗蚀剂(CAR)中的特征;使已光图案化的抗蚀剂进行干式显影;以及使用已图案化的光致抗蚀剂作为掩模以蚀刻下伏层或层堆叠件。
应当注意,控制晶片移动的计算机可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。如上关于图6或图7描述的控制器可以在图4、5、6、7、8、9或10A-F的任何一个中以及图11、12和13中描述的工具架构中实现。
图11、12和13A-B提供了替代处理工具架构的配置,例如可以至少部分在可以从Lam研究公司(Fremont,CA)获得的EOS或Sens.i产品中实施,这些产品可以在一些实施方案中使用。
从图11开始,多站处理工具1100可以具有用于接收衬底的设备前端模块(EFEM),可选地通过FOUP。如图12所示,它是多站处理工具1100的剖视图,EFEM机械手1204可以将衬底从EFEM 1104移动通过装载端口1206。衬底可以通过一个或多个穿梭装置1208移动,穿梭装置1208可以在线性轨道1214或升降器1212上移动衬底。晶片搬运机械手1210然后可以将衬底移动到处理室1108中(在图12中,描绘了8个室,多站处理工具1100中的总共16个处理室)。如本文所讨论的,每个处理室可以在各种处理模式之间切换。
返回到图11,多站处理工具1100还可以具有流体输送***(FDS)1112以将处理气体和其他流体输送到每个处理室,以及FDS控制器1114。风扇过滤器单元1102和1106可以分别用于确保处理室和走廊部分的清洁环境。在一些实施方案中,如图11和12所示的抗蚀剂处理轨道可以用于实施干式沉积EUV PR的湿式显影。
图13A了另一个多站处理工具1300的向下视图,该工具具有机械手1304,该机械手1304被配置为将晶片从通过晶舟1308装载的盒中移动。第二机械手1306可以将晶片移动到各种处理室1302中的一个用于执行如本文所述的操作。图13B呈现多站处理工具1300的侧视图。本文描述的各种实施方案可以使用如图11、12和13所示的集群架构来执行。
结论
尽管为了清楚理解的目的已经对前述实施方案进行了一些详细的描述,但显然可以在所附权利要求的范围内实践某些改变和修改方案。可以在没有这些具体细节中的一些或全部的情况下实践本文公开的实施方案。在其他情况下,没有详细描述众所周知的工艺操作,以免不必要地使所公开的实施方案难以理解。此外,虽然将结合具体实施方案来描述所公开的实施方案,但应当理解,具体实施方案并不旨在限制所公开的实施方案。应该注意,有许多替代方式来实现所呈现的实施方案的工艺、***和装置。因此,所呈现的实施方案被认为是说明性的而非限制性的,并且实施方案不受限于这里给出的细节。

Claims (10)

1.一种集成光刻***,其包括:
集群内的多个反应室,所述多个反应室包括:
光致抗蚀剂(PR)沉积室;
涂敷后烘烤(PAB)室;
暴露后烘烤(PEB)室;
显影室;以及
衬底清洁室;
控制器,其包括一个或多个处理器和一个或多个存储器设备,其中,所述一个或多个存储器设备存储计算机可执行指令,所述计算机可执行指令用于控制所述一个或多个处理器以:
在所述PR沉积室中接收衬底;
在所述PR沉积室内的所述衬底的表面上沉积PR;
在所述PAB室中接收具有所述PR的衬底;
在所述PAB室内处理所述PR以修改所述PR的材料特性;
在处理所述PR之后,在所述PEB室中接收所述衬底,其中所述PR的部分已经通过暴露于辐射而发生化学变化,以产生图案化的PR;
在所述PEB室内处理所述图案化的PR以修改所述图案化的PR的材料特性;和
通过以下方式在所述显影室内干式显影所述图案化的PR:通过包括暴露于化合物的干式显影工艺去除所述图案化的PR的暴露部分或未暴露部分,以形成PR掩模;
其中所述计算机可执行指令还包括用于在所述计算机可执行指令用于在所述PAB室中接收具有所述PR的所述衬底之前控制所述一个或多个处理器以执行以下操作的指令:
在所述清洁室中接收具有所述PR的所述衬底;以及
执行干式清洁工艺以从所述衬底的斜边和/或背面去除PR。
2.根据权利要求1所述的***,其中,所述PR是含金属的PR。
3.根据权利要求1所述的***,其中,所述PR是EUV PR。
4.根据权利要求1所述的***,其中所述多个反应室还包括底层沉积室,并且所述计算机可执行指令还包括用于在所述计算机可执行指令用于沉积PR之前控制所述一个或多个处理器以执行以下操作的指令:
在所述衬底的所述表面上沉积底层,其中所述底层增加所述PR对所述衬底的粘附性。
5.根据权利要求4所述的***,其中,所述底层通过干式工艺沉积。
6.根据权利要求1所述的***,其中所述多个反应室还包括预处理室,并且所述计算机可执行指令还包括用于在所述计算机可执行指令用于沉积所述PR之前控制所述一个或多个处理器以执行下述操作的指令:
通过干式工艺处理所述衬底的所述表面,以使所述衬底的所述表面上产生更多的化学官能团,从而提高PR对所述衬底的粘附性。
7.根据权利要求1所述的***,其中,所述计算机可执行指令还包括用于控制所述一个或多个处理器来执行干式清洁工艺以从所述PAB室内的所述衬底的斜边和/或背面去除PR的指令。
8.根据权利要求1所述的***,其中所述多个反应室还包括光刻扫描仪,并且所述计算机可执行指令还包括用于在所述计算机可执行指令用于将所述衬底接收到PEB室之前控制所述一个或多个处理器以执行下述操作的指令:
在所述光刻扫描仪中接收所述衬底;以及
将所述PR的部分暴露于辐射以创建图案化的PR。
9.根据权利要求1所述的***,其中,所述多个反应室中的反应室被配置为执行晶片清洁工艺、涂敷后烘烤工艺、暴露后烘烤工艺、干式显影工艺或其任意组合。
10.根据权利要求1所述的***,其中,所述显影室和所述PR沉积室、所述PAB室、所述PEB室、所述衬底清洁室、或其任意组合为相同的室。
CN202310318757.4A 2020-07-07 2021-07-02 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺 Pending CN116626993A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062705616P 2020-07-07 2020-07-07
US62/705,616 2020-07-07
PCT/US2021/040381 WO2022010809A1 (en) 2020-07-07 2021-07-02 Integrated dry processes for patterning radiation photoresist patterning
CN202180009838.5A CN115004110A (zh) 2020-07-07 2021-07-02 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN202180009838.5A Division CN115004110A (zh) 2020-07-07 2021-07-02 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺

Publications (1)

Publication Number Publication Date
CN116626993A true CN116626993A (zh) 2023-08-22

Family

ID=79552053

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202180009838.5A Pending CN115004110A (zh) 2020-07-07 2021-07-02 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
CN202310318757.4A Pending CN116626993A (zh) 2020-07-07 2021-07-02 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202180009838.5A Pending CN115004110A (zh) 2020-07-07 2021-07-02 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺

Country Status (6)

Country Link
US (3) US20230045336A1 (zh)
EP (2) EP4235757A3 (zh)
JP (3) JP7382512B2 (zh)
KR (3) KR102601038B1 (zh)
CN (2) CN115004110A (zh)
WO (1) WO2022010809A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190352776A1 (en) * 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic Layer Self Aligned Substrate Processing And Integrated Toolset
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58108744A (ja) * 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6112653U (ja) * 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS642330A (en) 1987-06-25 1989-01-06 Nippon Mining Co Ltd Film carrier and manufacture thereof
JPH0637050A (ja) * 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) * 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
JPH1041206A (ja) * 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
KR100265766B1 (ko) * 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
WO2000003058A1 (en) * 1998-07-10 2000-01-20 Ball Semiconductor, Inc. Cvd photo resist and deposition
JP2002015971A (ja) * 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP2002100558A (ja) * 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
DE10138105A1 (de) 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
KR100443509B1 (ko) 2001-12-21 2004-08-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US6911067B2 (en) 2003-01-10 2005-06-28 Blue29, Llc Solution composition and method for electroless deposition of coatings free of alkali metals
JP2004259786A (ja) * 2003-02-24 2004-09-16 Canon Inc 露光装置
US6902605B2 (en) 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
US6794288B1 (en) 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
JP4459666B2 (ja) * 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
JP2006310681A (ja) * 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
US7691559B2 (en) * 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
JP4428717B2 (ja) * 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
JP4966922B2 (ja) * 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP5572560B2 (ja) * 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
WO2013078211A1 (en) 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
KR102306612B1 (ko) * 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9451614B2 (en) 2014-07-21 2016-09-20 Qualcomm Incorporated System and methods for improving performance of a multi-SIM wireless device operating in single-SIM or multi-SIM standby mode
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
JP6805244B2 (ja) 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
NL2018040A (en) * 2015-12-23 2017-06-28 Asml Netherlands Bv Method for removing photosensitive material on a substrate
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10096477B2 (en) * 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
JP7101036B2 (ja) * 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
KR20200144580A (ko) * 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
FI129480B (en) * 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190352776A1 (en) * 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic Layer Self Aligned Substrate Processing And Integrated Toolset
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
US20220020584A1 (en) * 2018-12-20 2022-01-20 Lam Research Corporation Dry development of resists

Also Published As

Publication number Publication date
CN115004110A (zh) 2022-09-02
US20230045336A1 (en) 2023-02-09
JP7502545B2 (ja) 2024-06-18
JP7382512B2 (ja) 2023-11-16
KR20220122745A (ko) 2022-09-02
KR20230159618A (ko) 2023-11-21
KR20230052991A (ko) 2023-04-20
JP2023507677A (ja) 2023-02-24
EP4235757A3 (en) 2023-12-27
US20230290657A1 (en) 2023-09-14
TW202215162A (zh) 2022-04-16
EP4078292A1 (en) 2022-10-26
US20240145272A1 (en) 2024-05-02
WO2022010809A1 (en) 2022-01-13
JP2023093567A (ja) 2023-07-04
EP4235757A2 (en) 2023-08-30
JP2024010120A (ja) 2024-01-23
EP4078292A4 (en) 2023-11-22
KR102601038B1 (ko) 2023-11-09

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20220342301A1 (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
JP2022538554A (ja) フォトレジスト膜のチャンバ乾式洗浄
US20230290657A1 (en) Integrated dry processes for patterning radiation photoresist patterning
US20240036483A1 (en) Process tool for dry removal of photoresist
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
WO2022125388A1 (en) Photoresist development with organic vapor
TWI845848B (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
KR102676684B1 (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
TW202422244A (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
KR20240095473A (ko) 금속 함유 포토레지스트로부터 금속성 오염의 제어
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
WO2023215136A1 (en) Post-development treatment of metal-containing photoresist
CN118159914A (zh) 用于阻止蚀刻停止的金属氧化物基光致抗蚀剂的循环显影
WO2023009364A1 (en) Rework of metal-containing photoresist

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination