TWI805644B - 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法 - Google Patents

針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法 Download PDF

Info

Publication number
TWI805644B
TWI805644B TW107139989A TW107139989A TWI805644B TW I805644 B TWI805644 B TW I805644B TW 107139989 A TW107139989 A TW 107139989A TW 107139989 A TW107139989 A TW 107139989A TW I805644 B TWI805644 B TW I805644B
Authority
TW
Taiwan
Prior art keywords
layer
depositing
substrate
hard mask
metal
Prior art date
Application number
TW107139989A
Other languages
English (en)
Other versions
TW201929051A (zh
Inventor
法亞茲 謝赫
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201929051A publication Critical patent/TW201929051A/zh
Application granted granted Critical
Publication of TWI805644B publication Critical patent/TWI805644B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一種沉積硬遮罩層於基板上的方法,包含氮化基板第一層。該第一層選自由二氧化矽與氮化系組成的群組。一非晶碳層以電漿輔助化學氣相沉積(PECVD)沉積於氮化後的第一層。一單分子層用混合氣體在無電漿的情況下沉積於非晶碳層之上,該混合氣體包含帶有還原劑的金屬前驅氣體。大塊金屬摻雜碳硬遮罩層沉積於該單分子層之上。

Description

針對PECVD金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
此處揭露內容與基板製程系統與方法相關,且更特別相關於沉積金屬摻雜碳硬遮罩於基板上的系統與方法。
此處所提供的先前技術描述是為了一般性呈現本揭露內容的背景。本案列名發明人的工作成果,在此先前技術段落中所述範圍以及不適格為申請時先前技術的實施態樣,不明示或暗示承認為對抗本揭露內容的先前技術。
在如半導體晶圓之基板的製程過程中,會執行各種基板處理,包含沉積、圖案化、以及/或者蝕刻。執行沉積的基板製程系統一般包含具有底座的一製程腔室。一基板(如一半導體晶圓)可被配置在該底座上。包含一或多種前驅氣體的製程氣體混合物可引入製程腔室以沉積薄膜於基板之上。電漿可用於活化化學反應。
蝕刻可藉引入蝕刻混合氣體進入製程腔室中以在該製程腔室中進行。電漿亦可在蝕刻期間使用。在蝕刻過程中,可能期望蝕刻基板的某些區 域而不蝕刻基板的其他區域。在蝕刻前,可沉積並圖案化硬遮罩膜於基板之上,以防止位在硬遮罩膜底下的特定基板區域被蝕刻。該硬遮罩膜必須堅硬且緻密以抵抗蝕刻製程。執行蝕刻後,該硬遮罩膜需用不會損壞基板的硬遮罩去除製程來除去。
基於非晶碳與多晶矽的薄膜可作為用於蝕刻高深寬比(HAR,High Aspect Ratio)結構的硬遮罩。在VNAND與動態隨機存取記憶體(DRAM,Dynamic Random Access Memory)的應用中,硬遮罩膜必須對其底下的介電層有高度蝕刻選擇性。因此,該硬遮罩膜必須堅硬且緻密(同時平衡去除的容易度及蝕刻選擇性))並且提供針對孔洞蝕刻均勻性調節的控制。
金屬摻雜碳硬遮罩亦可用於先進記憶體圖案化應用。當該硬遮罩底下的介電層包含二氧化矽(SiO2)以及/或者氮化矽(Si3N4 or SiN),金屬摻雜碳硬遮罩可加以使用。金屬摻雜碳硬遮罩可在電漿輔助化學氣相沉積(PECVD)腔室中以前驅氣體混合物進行沉積,該前驅氣體包括含金屬鹵化物(如氟化物(F)及氯化物(Cl))以及碳氫化物(CxHy)前驅氣體,其中x與y為大於零的整數。以包含F或Cl物種之前驅氣體沉積的硬遮罩膜不能與SiO2或SiN膜很好地結合。於下方介電層中的氫氧化物(-OH)基團亦無法與含金屬之化合物結合。此外,基於F與Cl的自由基會產生在製程過程中被抽走的揮發性化合物。
一些黏合層/介面層,如碳化硼(B4C)、碳(C)、硼(B)、矽化鎢(WSi2),亦被評估使用。這些材料在金屬摻雜碳硬遮罩與二氧化矽或氮化矽之間的介面提供好的黏著性。然而,這些材料不同於基板(SiO2或SiN)及 該硬遮罩(金屬摻雜碳),這可能會在柱蝕刻完成後去除遮罩時造成問題,或是需要額外卸除介面層的步驟。
一種沉積硬遮罩層於基板上的方法,包含氮化基板的第一層。該第一層從以二氧化矽與氮化矽組成的群組中選擇。一非晶碳層以電漿輔助化學氣相沉積(PECVD)沉積於氮化後的第一層。一單分子層用包含帶有還原劑的金屬前驅氣體之混合氣體在無電漿的情況下沉積於非晶碳層之上。沉積一大塊金屬摻雜碳硬遮罩層於該單分子層之上。
在其他特徵中,該非晶碳層厚度範圍為2nm至10nm。該還原劑包括基於鹵化物的還原劑。該第一層包含二氧化矽,而且第一層的氮化產生出氮氧化矽介面。該第一層包含氮化矽層。該氮化矽層包含自然二氧化矽層。第一層的氮化形成氮氧化矽介面。
在其他特徵中,沉積非晶碳層包含供給一含有碳氫前驅氣體與惰性氣體之混合氣體。該金屬前驅氣體包含從鎢(W)、鈦(Ti)、鉬(Mo)及鋁(Al)組成之群組中選擇之金屬。
在其他特徵中,上述大塊金屬摻雜碳硬遮罩層包含該金屬。
在其他特徵中,氮化步驟包含加熱該基板至一範圍為350℃至550℃之預定溫度,並且供給包含分子氮的惰性氣體混合物。
一種沉積硬遮罩層於基板上的方法,包含氮化基板的第一層。該第一層從以二氧化矽與氮化矽組成的群組中選擇。氮化步驟包含加熱該基板至一範圍為350℃至550℃之預定溫度,並且供給包含分子氮的惰性氣體混合物。 該方法包含以電漿輔助化學氣相沉積(PECVD)沉積非晶碳層於氮化後的第一層。該方法包含使用混合氣體在無電漿的情況下沉積單分子層於厚度範圍在2nm至10nm的非晶碳層之上,該混合氣體包含帶有基於鹵化物的還原劑的金屬前驅氣體。該方法包含沉積大塊金屬摻雜碳硬遮罩於單分子層之上。
在其他特徵中,該第一層包含二氧化矽,而且第一層的氮化形成氮氧化矽介面。該第一層包含氮化矽層,其中該氮化矽層包含自然二氧化矽層,且其第一層的氮化形成氮氧化矽介面。
在其他特徵中,該方法包含沉積非晶碳層,該步驟包括:供給包含碳氫前驅氣體與惰性氣體之混合氣體。該金屬前驅氣體包含從鎢(W)、鈦(Ti)、鉬(Mo)與鋁(Al)組成之群組中選擇之金屬。上述大塊金屬摻雜碳硬遮罩層包含該金屬。
在其他特徵中,此方法在PECVD腔室中執行。
從隨後之細節描述、專利申請範圍與附圖將能更明白本揭露的其他應用領域。該細節描述及特定案例之目的僅是用以說明而非為了限制本揭露之範疇。
10:基板
20:底層
24:SiO2或SiN層
24’:氮化SiO2或SiN層24’
26:非晶碳層
28:金屬單分子層
30:均質介面
100:基板製程系統
102:製程腔室
104:上電極
106:底座
107:下電極
108:基板
109:噴頭
110:射頻產生系統
111:射頻電壓產生器
112:匹配與分配網路
130:氣體輸送系統
132-1、132-2......和132-N:供氣源
134-1、134-2......和134-N:氣閥
136-1、136-2......和136-N:質流控制器
140:歧管
142:加熱器
150:氣閥
152:泵
160:控制器
從詳細描述與其中附圖將更全面地理解此處所揭露內容:圖1為包含二氧化矽或氮化矽層的基板的側剖面圖;圖2為根據此處所揭露內容,在氮化二氧化矽或氮化矽層、沉積一非晶碳層及一金屬單分子層、及沉積一大塊硬遮罩薄膜之後的基板例子之側剖面圖; 圖3A-圖6說明二氧化矽或氮化矽層的氮化以及非晶矽碳層與金屬單分子層的沉積的例子;圖7為一功能方塊圖,說明基板製程腔室例子,用於根據此揭露內容氮化二氧化矽或氮化矽層、沉積非晶碳層與金屬單分子層、以及沉積大塊硬遮罩層;以及圖8為一流程圖,說明根據此揭露內容氮化二氧化矽或氮化矽層、沉積非晶碳層與金屬單分子層以及沉積大塊硬遮罩層之流程。
在圖例中,索引號碼可能被重複使用以指出相似以及/或者相同元件。
根據此處所揭露的系統與方法係用於製造一個於SiN及SiO2層與大塊金屬摻雜碳硬遮罩層之間的均質介面。根據此處所揭露的該均質介面具有相對於大塊金屬摻雜碳硬遮罩而言均質元素的組成。該均質介面可用於針對DRAM、VNSND以及/或者後段製程(BEOL)應用所設計的整合製造流程。
該均質介面包含與大塊金屬摻雜碳硬遮罩相同的元素。舉例而言,該均質介面包含碳(C)、氫(H)以及金屬(如鎢(W)、鈦(Ti)、鉬(Mo)或鋁(Al))。在某些例子中,該均質介面在與大塊金屬摻雜碳硬遮罩相同的製程腔室中產生。在某些例子中,該大塊金屬摻雜碳硬遮罩包含碳化鎢(WC)、碳化鈦(TiC),或其他大塊金屬摻雜碳硬遮罩材料。沉積大塊金屬摻雜碳硬遮罩薄膜流程的例子可於共同授讓的美國專利第9,520,295號(“Metal Doping of Amorphous Carbon and Silicon Films Used as Hardmasks in Substrate Processing Systems”)找到,該文獻以引用的方式整體併入本文。
該均質介面可被用於基板,包含裸露的SiO2或SiN薄膜。一氮氧化矽(SiOrNs,其中r與s為整數)介面是藉由將SiO2或SiN層氮化而製成。基板必須被加熱並浸於一或多種惰性氣體以氮化SiO2或SiN層。在某些例子中,惰性氣體包含分子氮(N2)以及可能包含一或多種其他惰性氣體,如氬(Ar)、氦(He)或是上述氣體的組合。
在某些例子中,在浸泡/加熱的過程中該基板的溫度維持在350℃至550℃之間。在一些例子中,製程腔室的壓力在浸泡/加熱過程期間維持在0.8至9托的範圍。
在某些例子中,基板是以包含N2與Ar的氣體混合物處理。在某些例子中,氮氣以100sccm(每分鐘標準立方公分)至20000sccm的流量供給,而氬氣則是以100sccm至35000sccm的流量供給。在某些例子中,氮氣是以5000sccm的流量供給而氬氣則是以10000sccm的流量供給。應知悉該流量取決於製程腔室的體積大小。
在初始的浸泡/加熱導致裸露的SiO2或SiN層表面氮化並產生SiON介面。對於包含裸露SiN的基板,當SiN暴露於空氣時,一般會生成自然SiO2層。該浸泡/加熱步驟會氮化自然SiO2層。
一非晶碳層沉積於氮化SiO2或SiN層之後。在某些例子中,非晶碳層是藉由在PECVD腔室中供應碳氫化合物前驅氣體與載氣及點燃電漿而沉積。在某些例子中,前驅氣體是在一個在0.5到1.5秒範圍的預定週期中供給。
在某些例子中,該碳氫化合物前驅氣體包含CxHy,其中x與y為大於或等於一的整數。在某些例子中,電漿的射頻功率範圍為頻率13.56MHz下400W到9kW,及在頻率430kHz下400W到5kW。在某些例子中,該腔室壓力維持在一預定為0.8托到9托的壓力範圍。在某些例子中,該基板溫度維持在150℃至550℃的溫度範圍。在某些例子中,C3H6與CH4氣體流量為50sccm到1000sccm。
在某些例子中,該載氣包含He、Ar、N2、分子氫(H2)以及/或者上述氣體組合。非晶碳層的厚度與元素組成可基於整合製造流程設計。在某些例子中,非晶碳層的厚度範圍為2nm到10nm。
該非晶碳層亦可設計以還原薄膜中的氫(H)含量。非晶碳層中有越多的氫,則在後續步驟中越多金屬可擴散進非晶碳層中。因此,非晶碳層中氫的多寡可以用以調整在非晶碳薄膜中的金屬摻雜。舉例而言,若非晶碳膜中有約32%的氫原子百分比,則約10-20%鎢金屬可被摻雜。然而,若是非晶碳薄膜非常緻密(如氫原子百分比小於10%)則只有約5%鎢金屬可被摻雜。
在將非晶碳層沉積且熄滅電漿後,製程腔室中的製程氣體會被抽空。惰性氣體會被送進製程腔室。在某些例子中,由於Ar與N2可能將此均質介面改質,因此該惰性氣體包含He。在不在製程腔室中點燃電漿的情況下,將一氣體混合物供給至製程腔室達一預定時段,該氣體混合物包含帶有基於鹵化物的還原劑之含金屬前驅氣體。此過程重複原子層沉積(ALD)並製造出金屬單分子層。下層的非晶碳層包含由基於鹵化物的金屬前驅氣體流動所還原的H。
在此例中,該均質介面含有與大塊金屬摻雜碳硬遮罩層成分相同的金屬摻雜碳。該均質介面允許使用圖案化依需要調製垂直結構,並且針對基板提供優質的黏著性。
根據此處所揭露的基板製作製程請參考圖1至圖2。在沉積大塊金屬摻雜碳硬遮罩層前的基板10如圖1所示。該基板10包含一或多層底層20及一SiO2或SiN層24,該SiO2或SiN層24排列於該一或多層底層20之上。圖2所示為在SiO2或SiN層氮化(如圖中所指出的24’)之後的基板。一非晶碳層26與一金屬單分子層28沉積在氮化SiO2或SiN層24’之上,將在後續有更深入描述。該氮化SiO2或SiN層24’、該非晶碳層26及該金屬單分子層28形成均質介面30。接著,一大塊硬遮罩層32沉積於該均質介面30之上。
現在參照圖3A至圖6,顯示在沉積大塊硬遮罩層前的SiO2層製程的例子。圖3A至圖3B中顯示,在SiO2層24的Si-H成分受到氮化而形成如圖3B中氮化SiO2層24’所示之氮氧化矽(SiON)介面。在圖4中,一非晶碳層26沉積於氮化SiO2層24’之上。在圖5中,帶有基於鹵化物還原劑的鎢(W)前驅氣體供給入製程腔室而不點燃電漿。該金屬單分子層28(在此例中為鎢)沉積如圖6所示。接著,該大塊金屬摻雜碳硬遮罩層沉積於金屬單分子層之上。
現在參照圖7,根據此處所揭露內容,顯示一基板製程系統100,用以氮化SiO2或SiN層、沉積非晶碳層與金屬單分子層、以及沉積大塊硬遮罩層。儘管前述例子與PECVD系統相關,其他基於電漿的基板製程腔室亦可使用。儘管此所揭露內容描述於同一製程腔室中執行氮化、非晶碳層與金屬單分子層沉積以及大塊硬遮罩層沉積,亦可由兩個或以上獨立的製程腔室執行。
此基板製程系統100包含一製程腔室102,其將基板製程系統100的其他元件封入並在使用時容納射頻電漿。該基板製程系統100包含一上電極104與包含下電極107的一底座106。一基板108排列在底座106之上,在上電極104與下電極107之間。
僅供舉例,該上電極104可能包含引入並擴散製程氣體的噴頭109。另一種情況,該上電極104可能包含一導板而製程氣體可能由其他方式導入。該下電極107可能安置於一非導電底座之中。另一種情況,該底座106可能包含靜電夾頭,其具有一導電盤作為下電極107。
當使用電漿時,射頻產生系統110產生並輸出射頻電壓至上電極及下電極其中之一。另一電極可能為直流電接地、交流電接地或是浮動電壓。僅供舉例,該射頻產生系統110可包含一射頻電壓產生器111,其產生射頻電壓,該射頻電壓藉由匹配與分配網路112饋送至上電極104或下電極107。
氣體輸送系統130的例子如圖7所示。一氣體輸送系統130包含一或多個供氣源132-1、132-2......和132-N(合稱供氣源132),其中N為大於零的整數。該供氣源提供一或多種前驅物及其混合物,汽化前驅物亦可能加以使用。該供氣源132由氣閥134-1、134-2......和134-N(合稱氣閥134)與質流控制器136-1、136-2......和136-N(合稱質流控制器136)連接至一歧管140。該歧管之輸出饋至製程腔室102。僅供舉例,該歧管之輸出饋至噴頭109。
加熱器142可能連接至一置於底座106內的加熱線圈(未顯示於圖上)以加熱底座106。該加熱器142可用於控制底座106以及基板108的溫度。氣閥150及泵152可用於從製程腔室102中抽空反應物。控制器160可用於控制基板製程系統100中的各種部件。僅供舉例,該控制器160可用於控制製程氣體、載氣與前驅氣體之氣流、點燃與熄滅電漿、移除反應物、監測腔室參數等等。
現在參照圖8,顯示根據此揭露內容的一方法,用於氮化SiO2或SiN層、沉積非晶碳層與金屬單分子層以及沉積大塊金屬摻雜硬遮罩層。在流程204,包含裸露SiO2或SiN層的一基板置於製程腔室中的底座之上。在流程208, 一基板在一惰性氣體環境中被加熱至預定溫度。該惰性氣體環境包含分子氮並可能包含一或多種其他惰性氣體。在流程216,該基板被氮化以產生SiON介面。
在流程220,在製程腔室中以包含碳氫前驅氣體與惰性氣體的電漿混合氣體沉積非晶碳。在某些例子中,如下所描述的基於金屬的前驅物並未在沉積期間供給入製程腔室。在某些例子中,該非晶碳層沉積達厚度介於2nm至10nm之間。在流程222,製程腔室以氣沖沖淨。在流程226,金屬前驅氣體伴隨有基於鹵化物的還原劑加以供應,以沉積一或多層金屬單分子層於非晶碳層上。在某些例子中,該碳氫前驅氣體並未在此沉積階段期間供給。在某些例子中,該金屬前驅氣體包含六氟化鎢(WF6)、四(二甲胺基)鈦(TDMAT,tetrakis(dimethylamino)titanium)、氯化鎢(WCl)、氯化鈦(TiCl)或任何其他含鹵化物還原劑的金屬前驅物。在某些例子中,選用性的還原劑包含F或Cl氣體物種。在流程228中,大塊硬遮罩層(如金屬摻雜碳層)沉積於一或多層金屬單分子層之上。
前述本質僅是用以說明性描述,而非意欲限制此處揭露內容、其應用或用途。此揭露之廣泛教示可以多種形式實行。因此,儘管此揭露包含特定例子,然而由於經由研讀附圖、說明書以及以下專利申請範圍,其他調整將變得顯而易見,因此此揭露之真實範疇不應僅限於此。在此處所使用之用語「至少為A、B或C其中之一」應被解釋為使用非排他性的「或者」表示邏輯「A或B或C」,並且不應解釋為「至少A其中之一、至少B其中之一以及至少C其中之一」。應知悉在不改變此揭露的原理之下,一個方法中的一或多個步驟可以不同順序(或同時)執行。
在某些實例中,控制器是系統的一部分,其可能是上述例子中的一的部分。該系統可包括半導體製程設備,包含製程工具、腔室、平台以及/或者特定製程部件(晶圓底座、氣流系統等等)。這些系統可能整合電子產品以控制半導體晶圓或基板製程前、中、後的作業。該電子產品可稱為「控制器」,可控制各種系統的部件或子部件。該控制器可能被設計用以控制任何此處所揭露的製程,包含製程氣體輸送、溫度設定(例如加熱以及/或者冷卻)、壓力設定、真空設定、功率設定、射頻產生器設定、射頻匹配電路設定、頻率設定、流量設定、流體輸送設定、位置與操作設定、晶圓輸送進出工具與其他輸送工具以及/或者連接到特定系統的負載鎖,端看製程設備以及/或者系統的類型。
廣泛地說,控制器可被定義為具有各種積體電路、邏輯、記憶體以及/或者軟體,可接受、發送指令、控制操作、啟用清潔操作、啟用端點量測等等的電子產品。該積體電路可能包含韌體形式儲存程式指令的晶片、數位訊號處理器(DSPs)、定義為特殊用途積體電路(ASICs)的晶片以及/或者一或多個執行程式指令(例如軟體)的微處理器或微控制器。程式指令可能以各種單獨設定(或程式文件)的形式傳達指令至控制器,定義在半導體晶圓或系統上執行的特定製程之操作參數。在一些實施例中,該操作參數可能是在由製程工程師定義於製造晶圓的一或多層結構、金屬層、氧化層、矽晶層、二氧化矽層、表面、電路以及/或者晶粒的過程中,用以完成一或多個製程步驟的程式庫的一部分。
在某些實例中,該控制器可能為一與系統整合、與系統耦合要不然就是與系統聯網或者結合以上方式的電腦的一部分或是與之耦合。舉例而言,該控制器可能在「雲端」或是工廠主機電腦的一部分或全部,可允許遠端 存取晶圓製程。該電腦可能可以遠端連接至系統以監控現行製造作業進程、查看過去製造作業之歷史紀錄、查看多個製造作業的趨勢與性能矩陣、修改現行製程參數、設定製程步驟以接續現行製程,或是開始新製程。在某些例子中,遠端電腦(例如伺服器)可透過可能為區域網路或網際網路的聯網提供製程程式庫至系統。該遠端電腦可能包含可以進入或設計參數以及/或者設定的使用者介面,這些設定會從遠端電腦連接至系統。在某些例子中,控制器收到資料形式的指令,該資料指定在一或多個操作過程中每個製程步驟的執行參數。應知悉,參數可以特定針對執行製程的類型以及控制器配置介面或控制的工具類型。因此如上所述,控制器可能是分散的,一如經由組合一或多個個別控制器透過聯網合作並朝一個共同目的工作,正如此處描述的製程與控制。一個用於此目的的分散式控制器例子可以是在一個腔室上一或多個積體電路連接一或多個位於遠端的積體電路(例如在平台水平或是遠端電腦的一部分)兩者結合以控制該腔室的製程。
不受限地,舉例系統可能包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、徑跡腔室或模組,或任何其他可能相關聯或用於生產或製造半導體晶圓的半導體製程系統。
如上所述,控制器可聯絡一或多個其他工具電路或模組、其他工具部件、群組工具、其他工具介面、毗連工具、相鄰工具、遍布工廠的工具、 主電腦、其他控制器,或將晶圓容器傳送出或傳送至半導體製造工廠中工具位置以及/或者裝載端口的材料輸送工具,視工具執行的製程步驟而定。

Claims (17)

  1. 一種沉積一硬遮罩層於基板上的方法,包含: 氮化該基板的第一層,其中該第一層選自以二氧化矽與氮化矽組成之群組; 以電漿輔助化學氣相沉積(PECVD)沉積一非晶碳層於氮化後的該第一層上; 使用混合氣體在無電漿的情況下沉積一單分子層於該非晶碳層之上,該混合氣體包含帶有還原劑的金屬前驅氣體;以及 沉積一大塊金屬摻雜碳硬遮罩層於該單分子層之上。
  2. 如申請專利範圍第1項之沉積一硬遮罩層於基板上的方法,其中該非晶碳層厚度範圍為2 nm至10 nm。
  3. 如申請專利範圍第1項之沉積一硬遮罩層於基板上的方法,其中該還原劑包含一基於鹵化物的還原劑。
  4. 如申請專利範圍第1項之沉積一硬遮罩層於基板上的方法,其中該第一層包含二氧化矽,而氮化該第一層形成氮氧化矽介面。
  5. 如申請專利範圍第1項之沉積一硬遮罩層於基板上的方法,其中該第一層包含氮化矽,其中該氮化矽層包含自然二氧化矽層,且其中氮化該第一層的步驟形成氮氧化矽介面。
  6. 如申請專利範圍第1項之沉積一硬遮罩層於基板上的方法,其中沉積該非晶碳層的步驟包含:供給包含碳氫前驅氣體與惰性氣體之混合氣體。
  7. 如申請專利範圍第1項之沉積一硬遮罩層於基板上的方法,其中該金屬前驅氣體包含選自由鎢(W)、鈦(Ti)、鉬(Mo)及鋁(Al)組成之群組之金屬。
  8. 如申請專利範圍第7項之沉積一硬遮罩層於基板上的方法,其中該大塊金屬摻雜碳硬遮罩層包含該金屬。
  9. 如申請專利範圍第1項之沉積一硬遮罩層於基板上的方法,其中該方法執行於一電漿輔助化學氣相沉積(PECVD)腔室。
  10. 如申請專利範圍第1項之沉積一硬遮罩層於基板上的方法,其中該氮化步驟包含: 加熱該基板至一範圍為350 ºC至550 ºC之預定溫度;以及 供給包含分子氮的惰性氣體混合物。
  11. 一種沉積一硬遮罩層於基板上的方法,包含: 氮化該基板的第一層, 其中該第一層從以二氧化矽與氮化矽組成之群組中加以選擇,且 其中,該氮化步驟包含:加熱該基板至一範圍為350 ºC至550 ºC之預定溫度,及供給包含分子氮的惰性氣體混合物; 以電漿輔助化學氣相沉積(PECVD)沉積一非晶碳層於氮化後的該第一層上; 使用混合氣體在無電漿的情況下沉積單分子層於厚度範圍在2 nm至10 nm的非晶碳層之上,該混合氣體包含帶有基於鹵化物之還原劑的金屬前驅氣體;以及 沉積一大塊金屬摻雜碳硬遮罩層於該單分子層之上。
  12. 如申請專利範圍第11項之沉積一硬遮罩層於基板上的方法,其中該第一層包含二氧化矽,而氮化該第一層形成氮氧化矽介面。
  13. 如申請專利範圍第11項之沉積一硬遮罩層於基板上的方法,其中該第一層包含氮化矽,且其中該氮化矽層包含自然二氧化矽層,且其中氮化該第一層的步驟形成氮氧化矽介面。
  14. 如申請專利範圍第11項之沉積一硬遮罩層於基板上的方法,其中沉積該非晶碳層包含:供給包含碳氫前驅氣體與惰性氣體之混合氣體。
  15. 如申請專利範圍第11項之沉積一硬遮罩層於基板上的方法,其中該金屬前驅氣體包含從鎢(W)、鈦(Ti)、鉬(Mo)及鋁(Al)組成之群組中選擇之金屬。
  16. 如申請專利範圍第15項之沉積一硬遮罩層於基板上的方法,該大塊金屬摻雜碳硬遮罩層包含該金屬。
  17. 如申請專利範圍第11項之沉積一硬遮罩層於基板上的方法,其中該方法執行於一PECVD腔室。
TW107139989A 2017-11-17 2018-11-12 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法 TWI805644B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/816,268 US10096475B1 (en) 2017-11-17 2017-11-17 System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
US15/816,268 2017-11-17

Publications (2)

Publication Number Publication Date
TW201929051A TW201929051A (zh) 2019-07-16
TWI805644B true TWI805644B (zh) 2023-06-21

Family

ID=63685239

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107139989A TWI805644B (zh) 2017-11-17 2018-11-12 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法

Country Status (6)

Country Link
US (1) US10096475B1 (zh)
JP (1) JP7296378B2 (zh)
KR (1) KR20200076757A (zh)
CN (1) CN111357082A (zh)
TW (1) TWI805644B (zh)
WO (1) WO2019099233A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
CN115702474A (zh) * 2021-05-14 2023-02-14 朗姆研究公司 高选择性掺杂硬掩模膜
TW202343574A (zh) * 2022-01-11 2023-11-01 美商蘭姆研究公司 碳遮罩沉積

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070207628A1 (en) * 2006-03-02 2007-09-06 Chua Thai C Method for forming silicon oxynitride materials
TW201339349A (zh) * 2012-01-19 2013-10-01 Applied Materials Inc 用於間隔物與間隔物保護應用之共形非晶碳
US20160027614A1 (en) * 2014-07-22 2016-01-28 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
TW201637093A (zh) * 2015-04-02 2016-10-16 應用材料股份有限公司 用於圖案化的遮罩蝕刻

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
KR20150123194A (ko) * 2014-04-24 2015-11-03 이근수 산화텅스텐 또는 텅스텐을 포함하는 하드 마스크를 식각 마스크로 이용하는 반도체 소자의 제조방법
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070207628A1 (en) * 2006-03-02 2007-09-06 Chua Thai C Method for forming silicon oxynitride materials
TW201339349A (zh) * 2012-01-19 2013-10-01 Applied Materials Inc 用於間隔物與間隔物保護應用之共形非晶碳
US20160027614A1 (en) * 2014-07-22 2016-01-28 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
TW201637093A (zh) * 2015-04-02 2016-10-16 應用材料股份有限公司 用於圖案化的遮罩蝕刻

Also Published As

Publication number Publication date
CN111357082A (zh) 2020-06-30
JP2021503551A (ja) 2021-02-12
KR20200076757A (ko) 2020-06-29
JP7296378B2 (ja) 2023-06-22
US10096475B1 (en) 2018-10-09
TW201929051A (zh) 2019-07-16
WO2019099233A1 (en) 2019-05-23

Similar Documents

Publication Publication Date Title
CN105845551B (zh) 衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
CN106024596B (zh) 减少无定形碳硬掩模膜的碳-氢含量的方法
US20170314128A1 (en) Chamber undercoat preparation method for low temperature ald films
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
CN108642474B (zh) 一种用于在基片上沉积膜的基片处理***
KR102500931B1 (ko) 하드마스크들을 위한 금속 유전체 막의 증착
US20240136153A1 (en) Depositing a carbon hardmask by high power pulsed low frequency rf
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
CN107578982B (zh) 用于沉积阻挡层以防止光致抗蚀剂中毒的衬底处理方法
US20220235464A1 (en) Selective carbon deposition
TW202208657A (zh) 用於先進半導體應用的可膨脹摻雜氧化物膜