CN110034103A - 半导体结构 - Google Patents

半导体结构 Download PDF

Info

Publication number
CN110034103A
CN110034103A CN201811440519.6A CN201811440519A CN110034103A CN 110034103 A CN110034103 A CN 110034103A CN 201811440519 A CN201811440519 A CN 201811440519A CN 110034103 A CN110034103 A CN 110034103A
Authority
CN
China
Prior art keywords
tube core
bonding pad
sealing ring
metal
pad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811440519.6A
Other languages
English (en)
Other versions
CN110034103B (zh
Inventor
胡致嘉
陈宪伟
陈明发
詹森博
郭峻江
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110034103A publication Critical patent/CN110034103A/zh
Application granted granted Critical
Publication of CN110034103B publication Critical patent/CN110034103B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • H01L2224/05096Uniform arrangement, i.e. array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05551Shape comprising apertures or cavities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05554Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05555Shape in top view being circular or elliptic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05687Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0605Shape
    • H01L2224/06051Bonding areas having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06132Square or rectangular array being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/06136Covering only the central area of the surface to be connected, i.e. central arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0651Function
    • H01L2224/06515Bonding areas having different functions
    • H01L2224/06517Bonding areas having different functions including bonding areas providing primarily mechanical bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/802Applying energy for connecting
    • H01L2224/80201Compression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80907Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06593Mounting aids permanently on device; arrangements for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本发明实施例公开一种半导体结构,包括第一管芯及第二管芯。所述第一管芯包括其中设置有第一多个结合垫的第一氧化物结合层及设置在所述第一氧化物结合层中的第一密封环。所述第一氧化物结合层在所述第一密封环之上延伸。所述第二管芯包括其中设置有第二多个结合垫的第二氧化物结合层。所述第一多个结合垫结合到所述第二多个结合垫。所述第一氧化物结合层结合到所述第二氧化物结合层。夹置在所述第一密封环与所述第二氧化物结合层之间的区域不含结合垫。

Description

半导体结构
技术领域
本发明实施例涉及一种半导体结构。
背景技术
在晶片-晶片结合技术(wafer-to-wafer bonding technology)中,已开发出各种方法来将两个封装组件(例如晶片)结合在一起。可用的结合方法包括熔融结合(fusionbonding)、共熔结合(eutectic bonding)、直接金属结合(direct metal bonding)、混合结合(hybrid bonding)等。在熔融结合中,晶片的氧化物表面结合到另一晶片的氧化物表面或硅表面。在共熔结合中,两种共熔材料被放置在一起并被施加高压力及高温度。因此,共熔材料被熔化。当熔化的共熔材料凝固时,晶片被结合在一起。在直接金属-金属结合中,两个金属垫在高温下被压靠在一起,且金属垫的相互扩散引起金属垫的结合。在混合结合中,两个晶片的金属垫通过直接金属-金属结合而彼此结合,且两个晶片中的一者的氧化物表面结合到另一晶片的氧化物表面或硅表面。
发明内容
根据本发明的实施例,一种半导体结构包括第一管芯以及第二管芯。所述第一管芯包括:第一氧化物结合层,其中设置有第一多个结合垫,以及第一密封环,设置在所述第一氧化物结合层中,其中所述第一氧化物结合层在所述第一密封环之上延伸。所述第二管芯包括:第二氧化物结合层,其中设置有第二多个结合垫,其中所述第一多个结合垫结合到所述第二多个结合垫,其中所述第一氧化物结合层结合到所述第二氧化物结合层,且其中夹置在所述第一密封环与所述第二氧化物结合层之间的区域不含结合垫。
根据本发明的实施例,一种形成半导体结构的方法,包括:通过将第一管芯的有源结合垫与第二管芯的对应有源结合垫对准来确定所述第一管芯与所述第二管芯的对准方案,其中所述第一管芯的第一区域及所述第二管芯的第二区域与所述第二管芯的密封环对准;移除所述第一管芯的所述第一区域中的所有结合垫;移除所述第二管芯的所述第二区域中的所有结合垫;以及根据所述对准方案将所述第一管芯结合到所述第二管芯。
根据本发明的实施例,一种形成半导体结构的方法,包括:确定设置在第一装置的表面处的第一装置结合垫布局;确定设置在第二装置的表面处的第二装置结合垫布局,所述第二装置具有密封环;确定将所述第一装置的第一有源结合垫与所述第二装置的第二有源结合垫对准的对准方案,其中所述对准方案使所述密封环与所述第一管芯的第一区对准;从所述第一装置结合垫布局中移除所述第一区中的第一结合垫;移除所述第二装置的第二区中的第二结合垫,其中所述第二结合垫根据所述对准方案而与所述第一结合垫对准;将所述第一有源结合垫结合到所述第二有源结合垫;以及将所述第一区结合到所述第二区。
附图说明
结合附图阅读以下详细说明,会最佳地理解本发明的各方面。应注意,根据本行业中的标准惯例,各种特征并非按比例绘制。事实上,为使论述清晰起见,可任意增大或减小各种特征的尺寸。
图1示出根据一些实施例的晶片的示意性俯视图。
图2a至图2c示出根据一些实施例的管芯的各种视图。
图3示出根据一些实施例的晶片的示意性俯视图。
图4a至图4c示出根据一些实施例的管芯的各种视图。
图5示出根据一些实施例的两个被结合管芯的剖视图。
图6示出根据一些实施例的晶片的示意性俯视图。
图7a至图7c示出根据一些实施例的管芯的各种视图。
图8示出根据一些实施例的晶片的示意性俯视图。
图9a至图9c示出根据一些实施例的管芯的各种视图。
图10a至图10c及图11示出根据一些实施例的两个被结合管芯的各种视图。
图12示出根据一些实施例的晶片的示意性俯视图。
图13a至图13c示出根据一些实施例的管芯的各种视图。
图14示出根据一些实施例的两个被结合管芯的剖视图。
图15示出根据一些实施例的两个被结合管芯的剖视图。
图16示出根据一些实施例的两个被结合管芯的剖视图。
图17是根据一些实施例用于变更管芯上的结合垫设计布局的流程图。
图18是根据一些实施例用于变更管芯上的结合垫设计布局的流程图。
具体实施方式
以下公开内容提供用于实作本发明的不同特征的许多不同的实施例或实例。以下阐述组件及构造的具体实例以简化本发明。当然,这些仅为实例且不旨在进行限制。例如,以下说明中将第一特征形成在第二特征“之上”或第二特征“上”可包括其中第一特征与第二特征被形成为直接接触的实施例,且也可包括其中第一特征与第二特征之间可形成有额外特征、进而使得所述第一特征与所述第二特征可能不直接接触的实施例。另外,本发明可能在各种实例中重复使用参考编号及/或字母。这种重复使用是出于简洁及清晰的目的,而不是自身表示所论述的各种实施例及/或配置之间的关系。
此外,为易于说明,本文中可能使用例如“在...下方(beneath)”、“在...下面(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空间相对性用语来阐述图中所示出的一个元件或特征与另一(些)元件或特征的关系。所述空间相对性用语旨在除图中所绘示的取向外还囊括装置在使用或操作中的不同取向。设备可具有其他取向(旋转90度或其他取向),且本文中所用的空间相对性描述语可同样相应地进行解释。
本文中所述的实施例实现两个不同管芯的混合结合。管芯可设计有结合垫,从而提供用以将另一管芯或装置结合到所述管芯以形成封装的电性及机械附接点。这些结合垫中的一些可为“有源”的(即,电耦合到管芯内的组件)或“虚拟”的(即,与管芯内的任何组件电解耦(例如,浮动))。当管芯被混合结合到另一管芯或组件时,每一管芯的表面处的介电材料被对准并熔融结合在一起,且每一管芯中的金属结合垫被对准并直接结合在一起。用以为混合结合实现适合配合表面的管芯形成及处理具有提高的容差,以有助于实现更强或更可靠的结合。因此,为避免由管芯处理所造成的容差变化(例如碟形凹陷(dishing)),通常在管芯的整个表面之上实施结合垫图案,以使得化学机械抛光(Chemical MechanicalPolishing,CMP)工艺等均匀地影响管芯的表面,以得到可预测且均匀的结果。然而,在本发明的一些实施例中,管芯的设计被变更成提供其中虚拟结合垫被移除的区,以与密封环结构之上的区域配合。从布局中移除虚拟结合垫已被证明提高结合良率,尤其是在图案中的结合垫的间隔为小的情况下。在其他实施例中,并非移除虚拟结合垫,而是设计被变更成将虚拟结合垫机械及电性地耦合到密封环。本文中所述的实施例可用于管芯上管芯(die-on-die)混合结合工艺、晶片上晶片(wafer-on-wafer,WoW)混合结合工艺、芯片上芯片(chip-on-chip,CoC)混合结合工艺、或晶片上芯片(chip-on-wafer,CoW)混合结合工艺中,在这些混合结合工艺中,虚拟结合垫被从管芯上原本均匀的接触垫布局设计中移除,虚拟结合垫耦合到密封环,或存在这两种情况的组合。
参照所示图式,在提供多个视图的图中,以“a”结尾的图表示立体图,以“b”结尾的图表示剖视图,且以“c”结尾的图表示俯视图或平面图。图1至图5示出根据一些实施例的混合结合技术的中间阶段。根据一些实施例,图1至图2c示出管芯112,图3至图4c示出另一管芯212,且图5示出管芯112与管芯212的混合结合。
图1示出根据一些实施例的晶片100的示意性俯视图。晶片100包括管芯112以及与管芯112邻接的切割道114及切割道116,其中切割道114及切割道116将各管芯112彼此分隔开。切割道114具有与X方向平行的纵向方向,且切割道116具有与Y方向平行的纵向方向,Y方向垂直于X方向。在管芯112中的每一者中,可存在一个或多个密封环(例如,在图2a中被示出为136),其中密封环单元136的外边界界定管芯112的外边界。切割道114中的每一者位于两行管芯112之间并邻接所述两行管芯112,且切割道116中的每一者位于两列管芯112之间并邻接所述两列管芯112。应注意,晶片100旨在仅为实例,且管芯112、切割道114及切割道116等的大小可基于管芯设计而变化。
图2a示出管芯112的示意性立体图。图2a所示管芯112可从晶片100被单体化出或仍可与晶片100成整体。密封环单元136(以虚线示出)在管芯112的表面之下设置在所述管芯的周边处,且可包括一个或多个不同的密封环(以下参照图2b及图2c更详细地阐述)。在一些实施例中,管芯112包括在管芯112的装置区域118之上及周围设置的结合垫142所形成的阵列。在一些实施例中,装置区域118可包括位于密封环单元136内的管芯112整个区域。在其他实施例中,装置区域118可包括位于密封环单元136内的管芯112区域的一部分,例如图2a中所示。在一些实施例中,装置区域118可包含管芯上的所有可用结合垫142或可用结合垫142的子集。可以除了阵列图案之外的图案来实现结合垫142的排列。视管芯112上的结合垫142的设计而定,各结合垫142可具有相似或不同的大小。
管芯112可包括逻辑装置、微机电***(micro-electro-mechanical system,MEMS)装置、集成式无源装置(integrated passive device,IPD)、驱动器、或存储器装置(例如包括但不限于以下的存储器单元:静态随机存取存储器(Static Random AccessMemory,SRAM)单元、动态随机存取存储器(Dynamic Random Access Memory,DRAM)单元、磁阻性随机存取存储器(Magmeto-Resistive Random Access Memory,MRAM)单元等)。管芯112可包括其他类型的装置。
管芯112可例如在X方向上具有第一宽度w1,第一宽度w1可介于约2000μm与约26000μm之间,例如约10000μm。管芯112可例如在Y方向上具有第二宽度(或长度)w2,第二宽度(或长度)w2可介于约2000μm与约33000μm之间,例如约10000μm。可对管芯112使用其他尺寸。
图2b示出管芯112的剖视图。图2b所示剖面是沿着图2c所示线A-A所截取的剖面的一部分,然而,为便于论述,在各视图间细节可有所变化。管芯112包括衬底122,衬底122可为半导体衬底,例如硅衬底、硅锗衬底、碳化硅衬底、III-V族化合物半导体衬底等。装置区域118形成在衬底122的表面处或内部。装置区域118可包括有源装置或无源装置,例如晶体管、电阻器、电容器、二极管等。在一些实施例中,装置区域118可包括包封型管芯(encapsulated die)。
内连结构126可形成在衬底122之上。内连结构126可包括使用任何适合的方法在衬底122之上以交替层的形式形成的绝缘层128(例如层间电介质(inter-layerdielectric,ILD)及/或金属间介电层(inter-metal dielectric layer,IMD))与导电特征(例如,金属线127及通孔129)。内连结构126可连接衬底122的装置区域118中的各种有源装置及/或无源装置,以形成功能电路。绝缘层128可包含介电常数值例如低于约4.0或甚至2.8的低介电常数介电材料。在一些实施例中,绝缘层128可包含未掺杂硅酸盐玻璃(undoped silicate glass,USG)、旋涂碳等。内连结构126的厚度t1可介于约0.1μm与约6μm之间,例如约4μm。可使用其他厚度。
在其中装置区域118是衬底122的用于形成各种有源装置及无源装置的区域的一些实施例中,可使用双重镶嵌工艺(dual damascene process)来形成内连结构126。双重镶嵌工艺可例如包括沉积绝缘层128(其可被形成为单个层或者由一个或多个刻蚀停止层分隔开的多个层)、在绝缘层中形成沟槽及通孔开口以暴露出金属线127及通孔129的一些部分、以及以导电材料来填充沟槽及通孔开口以形成更多的金属线127及通孔129。可以与形成金属线127相似的方式在内连结构126的最顶层中形成可选金属垫131。随后,执行化学机械抛光,以移除过量的导电材料。因此,导电材料的对绝缘层128中的沟槽进行填充的部分分别变为金属线127,而导电材料的对通孔开口进行填充的部分变为通孔129。
在其中装置区域118是包封型管芯的实施例中,在用以形成内连结构126的实例中,首先可在衬底122及装置区域118之上沉积绝缘层128。在一些实施例中,绝缘层128由聚合物形成,所述聚合物可为可使用光刻掩模而被图案化的例如聚苯并恶唑(polybenzoxazole,PBO)、聚酰亚胺、苯环丁烷(benzocyclobuten,BCB)等感光性材料。在其他实施例中,绝缘层128由氮化物(例如氮化硅)、氧化物(例如氧化硅)、磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼硅酸盐玻璃(borosilicate glass,BSG)、硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)等形成。可通过旋转涂布、积层、化学气相沉积(chemical vapor deposition,CVD)等或其组合来形成绝缘层128。绝缘层128可由低介电常数材料形成。
随后,将绝缘层128图案化。所述图案化形成开口,以将衬底122的及装置区域118的包括触点(图中未示出)的部分暴露给装置。所述图案化可通过可接受的工艺来进行,例如当绝缘层128是感光性材料时通过将绝缘层128暴露于光、或者通过使用例如各向异性刻蚀法来进行刻蚀。如果绝缘层128是感光性材料,则在曝光之后可将绝缘层128显影。
为形成金属线127及通孔129的第一层,在绝缘层128之上以及在穿过绝缘层128的开口中形成晶种层(图中未示出)。在一些实施例中,晶种层是金属层,所述金属层可为单个层或包括由不同材料形成的多个子层的复合层。在一些实施例中,晶种层包括钛层及钛层之上的铜层。可使用例如物理气相沉积(physical vapor deposition,PVD)等来形成晶种层。随后,在晶种层上形成光刻胶,并将光刻胶图案化。光刻胶可通过旋转涂布等来形成,且可被暴露于光以进行图案化。光刻胶的图案对应于金属线127的图案。所述图案化穿过光刻胶形成开口以暴露出晶种层。在光刻胶的开口中以及在晶种层的被暴露部分上形成导电材料。可通过镀覆(例如电镀或无电镀覆)等来形成所述导电材料。所述导电材料可包括金属,如铜、钛、钨、铝等。随后,移除光刻胶并移除晶种层的上面未形成导电材料的部分。可通过可接受的灰化工艺或剥除工艺(例如使用氧等离子等)来移除光刻胶。一旦光刻胶被移除,便移除晶种层的被暴露部分,例如通过使用可接受的刻蚀工艺,例如通过湿刻蚀或干刻蚀。晶种层的剩余部分及导电材料形成金属线127及通孔129的图案。通孔129形成在穿过绝缘层128的开口中以通向例如衬底122或装置区域118。
随后,可在金属线127及通孔129之上沉积下一绝缘层128,且视需要重复所述过程以形成多层式内连线,所述多层式内连线形成电路并提供通向衬底122及装置区域118的输入/输出。可以与形成金属线127相似的方式在内连结构126的最顶层中形成可选金属垫131。
内连结构126还包括密封环结构132A,密封环结构132A是由金属线与绝缘材料的交替层(其中金属线通过通孔耦合)以与内连结构126的其他层相似的方式形成。包括密封环结构132A可有助于防止内连结构126中的各层发生脱层。在一些实施例中,可包括额外密封环结构134A。可以与内连结构126的其他层及密封环结构132A相似的方式来形成密封环结构134A。密封环结构134A可比密封环结构132A宽,比密封环结构132A窄,或为与密封环结构132A相同的宽度。
钝化层138可形成在内连结构126之上并被图案化以在钝化层138中形成开口,从而暴露出内连结构126的顶部金属层且暴露出密封环结构132A的顶部金属层及密封环结构134A的顶部金属层。可通过沉积绝缘层并将其图案化来形成钝化层138。在一些实施例中,钝化层138由聚合物形成,所述聚合物可为可使用光刻掩模而被图案化的例如聚苯并恶唑(PBO)、聚酰亚胺、苯环丁烷(BCB)等感光性材料。在其他实施例中,钝化层138由氮化物(例如氮化硅)、氧化物(例如氧化硅)、磷硅酸盐玻璃、硼硅酸盐玻璃、硼磷硅酸盐玻璃等形成。可通过旋转涂布、积层、化学气相沉积等、或其组合来形成钝化层138。钝化层138的厚度可介于约0.01μm与2μm之间,例如约0.9μm。可使用其他厚度。
钝化层138被图案化以形成开口,从而暴露出内连结构126的顶部金属层的部分。所述图案化可通过可接受的工艺来进行,例如当钝化层138是感光性材料时通过将钝化层138暴露于光、或者通过使用例如各向异性刻蚀法来进行刻蚀。如果钝化层138是感光性材料,则在曝光之后可将钝化层138显影。
密封环结构132A可穿过钝化层138及在钝化层138上方延伸。可在钝化层138中形成通孔132B,且可在钝化层138之上形成上覆密封环金属132C。因此,所得的密封环132可包括内连结构126中的密封环结构132A、穿过钝化层138的密封环通孔132B、及密封环金属132C。在一些实施例中,可包括额外密封环。在具有一个或多个其他密封环(例如密封环134)的实施例中,此类密封环可相似地包括密封环结构(例如内连结构126中的密封环结构134A)、通孔(例如穿过钝化层138的密封环通孔134B)及密封环金属(例如密封环金属134C)。密封环单元136可包括环绕管芯周边的所有密封环(例如,密封环132、密封环134等等)。在俯视图中,密封环金属132C及密封环金属134C可围绕管芯的周边形成连续环圈。
可通过与以上所述的内连结构126的金属线及通孔的形成相似的工艺来形成密封环通孔132B及134B。可将钝化层138图案化以在其中形成与密封环通孔132B及密封环通孔134B对应的开口。随后,可在钝化层之上及开口中沉积晶种层(图中未示出)。可在晶种层之上沉积下一掩模,并将所述下一掩模图案化以根据密封环金属132C及密封环金属134C形成开口。随后,可通过以下来形成密封环通孔132B及密封环金属132C:在首先被沉积在开口中的晶种层上沉积通过镀覆工艺(例如无电镀覆、电镀等)形成的金属材料(例如铜、钛等、或其组合),并继续镀覆直至密封环金属132C达到所需高度为止。所得的密封环金属132C及密封环金属134C可具有约0.1μm至约2.8μm(例如约2.8μm)的高度h1。可对密封环金属132C及密封环金属134C使用其他高度。举例来说,在一些实施例中,h1可小于0.1μm或大于2.8μm。密封环通孔134B及密封环金属134C可与密封环通孔132B及密封环金属132C同时形成。在形成密封环132及密封环134之后,可通过适合的工艺(例如通过灰化)来移除掩模,且剩余的晶种层被剥除。
可使用与以上参照密封环132及密封环134所述的工艺及材料相似的工艺及材料而围绕管芯形成止裂件(crack stopper)130,只不过止裂件130的最顶层并非被形成为连续环而是被形成为不连续金属层(例如,参见图2c所示止裂件130的示例性顶部轮廓)。在一些实施例中,止裂件130可以与止裂件130的最顶层对准的不连续段的形式延伸到内连结构126中。在一些实施例中,与以上所述的密封环结构132a相似,止裂件130的位于内连结构126中的部分可被形成为连续环。在一些实施例中,可使用单行或多行止裂件130(例如,参见图2c),包括一行、两行、三行、四行、五行、或六行等等。可使用额外行的止裂件130。各止裂件130可相对于彼此偏移,以抑制裂缝进入管芯112的内部分。举例来说,可从管芯112的外边缘开始且朝管芯112的内部分前进的裂缝可被止裂件130中的一者止住且停在那里而非侵入管芯112的内部分中。每一止裂件130被设计成终止任何此种裂缝的传播,而非容许裂缝经过止裂件130前进到管芯112的内部分。止裂件130在俯视图(参见图2c)中可显现为圆形、正方形、矩形、或任何适合的形状。
结合介电层140可形成在钝化层138之上。在一些实施例中,结合介电层140是可包含氧化硅的氧化物层。在其他实施例中,结合介电层140包含其他含硅材料及/或含氧材料(例如SiON、SiN等),且可通过任何适合的沉积技术来形成。结合介电层140可被沉积成约1.5μm至约7μm(例如约6μm)的厚度t2。可使用其他厚度。密封环金属132C的及密封环金属134C的顶表面可由结合介电层140覆盖。例如密封环金属132C的顶表面与结合介电层140的顶表面之间的距离d3可为约1.2μm至约1.4μm,例如约1.3μm。可针对距离d3使用其他距离。
结合垫142形成在结合介电层140中,且可经由金属线及通孔(包括结合垫通孔144)电耦合到装置区域118。结合垫142可由铜、铝、镍、钨、或其合金形成。结合介电层140的顶表面及结合垫142的顶表面彼此齐平,这是通过在形成结合垫142期间执行的平面化而实现。平面化可包括化学机械抛光(CMP)。
对于每一结合垫142,结合垫142可通过对应的结合垫通孔144电连接到金属线127及通孔129。在一些实施例中,在结合垫142与金属线127的顶部金属之间不形成结合垫通孔144。因此,结合垫可与金属线127的顶部金属直接实体接触。在一些实施例中,一个或多个结合垫通孔144可延伸到结合介电层140的顶部,且对应的结合垫142可被省略。
可通过将结合介电层140图案化以形成开口来形成结合垫通孔144,所述开口暴露出内连结构126的金属线127或可选金属垫131。可通过在首先被沉积在开口中的可选晶种层上进行适合的沉积工艺(例如通过镀覆工艺,例如无电镀覆、电镀等)而在开口中沉积金属材料(例如铜、钛等、或其组合)。在一些实施例中,在形成结合垫通孔144之后,可在结合垫通孔144之上沉积结合介电层140的额外层,且再次将结合介电层140图案化以提供与结合垫142对应的开口。随后,可通过在首先被沉积在开口中的可选晶种层上沉积通过镀覆工艺(例如无电镀覆、电镀等)形成的金属材料(例如铜、钛等、或其组合)来形成结合垫142。在一些实施例中,可代替或结合镀覆工艺而使用其他沉积工艺,例如原子层沉积(atomiclayer deposition,ALD)、化学气相沉积等。可通过平面化(例如化学机械抛光)来移除结合垫142的过量材料。
如图2a、图2b及图2c中所示,结合垫142是均匀地或实质上均匀地分布(例如,具有小于约10%的图案密度变动)。(实质上)均匀地分布的结合垫142可遍及管芯112的整体或实质上整体(例如,大于90%或95%)而分布。然而,并非使(实质上)均匀地分布的结合垫142一直延伸到管芯112的边缘(包括在密封环单元136之上延伸),而是可通过移除能位于密封环单元136之上的结合垫(假如容许相邻的图案在密封环单元136之上延续)来变更设计。此外,遍及整个管芯112的所有或实质上所有(例如多于90%)结合垫142可具有相同的俯视图形状、相同的俯视图大小、及/或相同的间距。因此,除密封环单元136之上的区域之外,结合垫142可遍及管芯112具有均匀的图案密度。在一些实施例中,距离d1可介于约2μm与约10μm之间,例如约9μm。可对间距使用其他距离。在一些实施例中,密封环单元136与止裂单元130的宽度d4可介于约20μm与约22μm之间,例如约22μm。在一些实施例中,密封环单元136与止裂单元130的宽度d4可小于20μm(例如约4μm)或可大于22μm(例如约30μm)。可使用其他距离。
移除能位于密封环单元136之上的结合垫会产生阻进区(keep out zone)150。阻进区150可比密封环单元136进一步朝管芯112的内部分延伸。举例来说,阻进区150可包括位于止裂件130之上的区域。在一些实施例中,阻进区150可包括位于内连结构126的金属线127、通孔129或可选金属垫131的一部分之上的区域。结合垫142的图案设计最初可包括位于阻进区150中的结合垫。随后,所述图案设计可在制造之前被变更,以从设计中管芯112上定位有阻进区150之处移除结合垫142。举例来说,如图2b中所示,阻进区150对应于密封环单元136的位置且在止裂件130之上及在内连结构126的金属线127的一部分之上延伸到管芯112的内部分中。在其他实施例中,阻进区可位于管芯的其他区域中(例如,参见图7b及其说明),以下会在论述其他实施例时更详细地对此进行阐述。阻进区150的位置是至少部分地基于第二管芯将在何处结合到管芯112。当第二管芯具有相同的占用区尺寸时,阻进区150将对应于密封环单元136的区域而位于管芯的周边处。当第二管芯具有较小的占用区时,阻进区150将至少部分地位于管芯的内部分中。以下会参照图6至图11更详细地阐述此种实施例的实例。
在一些实施例中,结合垫142可包括多个有源金属垫142A及多个虚拟金属垫142B。虚拟金属垫142B不具有电功能。有源金属垫142A可经由结合垫通孔144及内连结构126电连接到装置区域118。虚拟金属垫142B与管芯112中的装置断开电连接,其中符号“x”表示不存在用以将虚拟金属垫142B连接到装置区域118的电连接。因此,虚拟金属垫142B可为电浮动的。在一些实施例中,有源金属垫142A与虚拟金属垫142B具有相同的俯视图形状、相同的俯视图大小,且包含相同的材料。此外,有源金属垫142A与虚拟金属垫142B是同时形成的。在替代实施例中,有源金属垫142A与虚拟金属垫142B具有不同的俯视图形状及/或不同的俯视图大小。
有源金属垫142A与虚拟金属垫142B可具有相同的俯视图形状及/或相同的俯视图大小。因此,结合垫142是用作有源金属垫142A还是虚拟金属垫142B是由其电连接(例如其是否连接到装置区域118)决定。应理解,视管芯设计而定,在各图中所提到的结合垫142涵盖有源金属垫142A及虚拟金属垫142B。对管芯112进行设计的设计者可使结合垫142遍及管芯112及/或晶片100均匀地分布,且视金属布线的方便性而定,形成从装置区域118到结合垫142的电连接。举例来说,当需要形成用以连接到装置区域118一部分的电连接时,选择最方便的结合垫142来作为有源金属垫142A,最方便的结合垫142可为距装置区域118的所述部分最近的一者或为最容易进行布线的一者。因此,未被选择的结合垫142变为虚拟金属垫142B。
图2c示出管芯112的隅角部分的俯视图。虽然密封环单元136及止裂件130在俯视图中理应为看不见的,然而为了上下文参考其已被示出且设置有虚线外边缘。包括密封环132及密封环134的密封环单元136被示出为围绕管芯112的周边延续。所有结合垫142均被围阻在密封环单元136内。密封环单元136的阻进区150在密封环单元136之上提供不含结合垫142的区域。阻进区150可围绕管芯112的整个边缘延续。密封环单元136的顶表面位于结合介电层140的表面下面。因此,阻进区150提供不含金属特征的结合介电层区域,所述结合介电层区域从阻进区的一个边缘连续地延伸到阻进区的其他边缘以在不受任何金属特征阻碍的同时熔融结合到另一管芯。当阻进区150与另一管芯上的阻进区对准并结合到所述另一管芯上的阻进区时,结合良率得以提高。阻进区150的宽度d4(参见图2b)可介于约20μm与约21.6μm之间,例如约21.6μm。可对阻进区150的宽度使用其他距离。阻进区150的长度可基于将被结合到管芯112的管芯的大小而变化。
图2c还示出:一些结合垫142可为具有与结合垫通孔144(以虚线示出)耦合的有源金属垫142A的有源结合垫。图案中的其他结合垫142可为具有不与结合垫通孔144耦合的虚拟结合垫142B的虚拟结合垫。
图3至图4c示出根据一些实施例的另一管芯212。图3示出根据一些实施例的晶片200的示意性俯视图。晶片200包括管芯212以及与管芯212邻接的切割道214及216,其中切割道214及216将各管芯212彼此分隔开。切割道214具有与X方向平行的纵向方向,且切割道216具有与Y方向平行的纵向方向,Y方向垂直于X方向。在管芯212中的每一者中,可存在一个或多个密封环(例如,在图4a中被示出为236),其中密封环的外边界界定管芯212的外边界。切割道214中的每一者位于两行管芯212之间并邻接所述两行管芯212,且切割道216中的每一者位于两列管芯212之间并邻接所述两列管芯212。应注意,晶片200旨在仅为实例,且管芯212、切割道214及216等的大小可基于管芯设计而变化。
图4a示出管芯212的示意性立体图。图4a所示管芯212可从晶片200被单体化出或仍可与晶片200成整体。密封环单元236(以虚线示出)在管芯212的表面之下设置在管芯212的周边处,且可包括一个或多个不同的密封环(以下参照图4b及图4c更详细地阐述)。在一些实施例中,管芯212包括在管芯212的装置区域218之上及周围设置的结合垫242所形成的阵列。在一些实施例中,装置区域218可包括位于密封环单元236内的管芯212整个区域。在其他实施例中,装置区域218可包括位于密封环单元236内的管芯212区域的一部分,例如图4a中所示。在一些实施例中,装置区域218可包含管芯上的所有可用结合垫242或可用结合垫242的子集。可以除了阵列图案之外的图案来实现结合垫242的排列。视管芯212上的结合垫242的设计而定,各结合垫242可具有相似或不同的大小。
在一些实施例中,管芯212可为与管芯112相似或相同的装置,且可具有与管芯112相似的尺寸(包括层的厚度等等)。在一些实施例中,管芯212可不同于管芯112,且可包括逻辑装置或存储器装置(例如包括但不限于以下的存储器单元:静态随机存取存储器(SRAM)单元、动态随机存取存储器(DRAM)单元、磁阻性随机存取存储器(MRAM)单元等)。管芯212可包括其他类型的装置。
图4b示出管芯212的剖视图。图4b所示剖面是沿着图4c所示线A-A所截取的剖面的一部分,然而,为便于论述,在各视图间细节可有所变化。管芯212包括衬底222,衬底222可为半导体衬底,例如硅衬底、硅锗衬底、碳化硅衬底、III-V族化合物半导体衬底等。装置区域218形成在衬底222的表面处或内部。装置区域218可包括有源装置或无源装置,例如晶体管、电阻器、电容器、二极管等。在一些实施例中,装置区域218可包括包封型管芯。
内连结构226可形成在衬底222之上。内连结构226可包括使用例如以上参照图2b所示内连结构126所述的工艺及材料等的工艺及材料(不再对此予以赘述)在衬底222之上以交替层的形式形成的绝缘层228(例如层间电介质(ILD)及/或金属间介电层(IMD))与导电特征(例如,金属线227、通孔229及可选金属垫231)。内连结构226可连接衬底222的装置区域218中的各种有源装置及/或无源装置,以形成功能电路。
内连结构226还包括密封环结构232A,密封环结构232A是由金属线与绝缘材料的交替层(其中金属线通过通孔耦合)以与内连结构226的其他层相似的方式形成。包括密封环结构232A可有助于防止内连结构226中的各层发生脱层。在一些实施例中,可包括额外密封环结构234A。可以与内连结构226的其他层及密封环结构232A相似的方式来形成密封环结构234A。密封环结构234A可比密封环结构232A宽,比密封环结构232A窄,或为与密封环结构232A相同的宽度。
钝化层238可形成在内连结构226之上并被图案化以在钝化层238中形成开口,从而暴露出内连结构226(或可选金属垫231)的顶部金属层且暴露出密封环结构232A的顶部金属层及密封环结构234A的顶部金属层。可使用例如以上参照钝化层138所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成钝化层238。
密封环结构232A可穿过钝化层238及在钝化层238上方延伸。可在钝化层238中形成通孔232B,且可在钝化层238之上形成上覆密封环金属232C。因此,所得的密封环232可包括内连结构226中的密封环结构232A、穿过钝化层238的密封环通孔232B、及密封环金属232C。在一些实施例中,可包括额外密封环。在具有另一密封环(例如密封环234)的实施例中,此类密封环可相似地包括密封环结构(例如内连结构226中的密封环结构234A)、通孔(例如穿过钝化层238的通孔234B)及密封环金属(例如密封环金属234C)。密封环单元236可包括环绕管芯周边的所有密封环(例如,密封环232、密封环234等等)。在俯视图中,密封环金属232C及密封环金属234C可围绕管芯的周边形成连续环圈。
可使用例如以上参照密封环通孔132B及密封环通孔134B所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成密封环通孔232B或密封环通孔234B。相似地,可使用例如以上参照密封环金属132C及密封环金属134C所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成密封环金属232C及234C。
可围绕管芯形成止裂件230。可使用例如以上参照止裂件130所述的工艺及材料(不再对此予以赘述)来形成止裂件230。
结合介电层240可形成在钝化层238之上。在一些实施例中,结合介电层240是可包含氧化硅的氧化物层。在其他实施例中,结合介电层240包含其他含硅材料及/或含氧材料(例如SiON、SiN等)。结合垫242形成在结合介电层240中,且可经由金属线及通孔(包括结合垫通孔244)电耦合到装置区域218。结合垫242可由铜、铝、镍、钨、或其合金形成。密封环金属232C的及密封环金属234C的顶表面可由结合介电层240覆盖。结合介电层240的顶表面及结合垫242的顶表面彼此齐平,这是通过在形成结合垫242期间执行的平面化而实现。平面化可包括化学机械抛光工艺。
对于每一结合垫242,结合垫242可通过对应的结合垫通孔244电连接到金属线227及通孔229。在一些实施例中,在结合垫242与金属线227的顶部金属之间不形成结合垫通孔244。因此,结合垫可与金属线227的顶部金属直接实体接触。在一些实施例中,一个或多个结合垫通孔244可延伸到结合介电层240的顶部,且对应的结合垫242可被省略。可使用例如以上参照图2b所示结合垫通孔144及结合垫142所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成结合垫通孔244及结合垫242。
在一些实施例中,结合垫242可包括与图2b所示有源金属垫142A及虚拟金属垫142B相似的多个有源金属垫242A及多个虚拟金属垫242B。
现在参照图4c,图4c示出管芯212的隅角部分的俯视图。包括密封环232及密封环234的密封环单元236被示出为围绕管芯212的周边延续。所有结合垫242均被围阻在密封环单元236内。密封环单元236的阻进区250在密封环单元236之上提供不含结合垫242的区域。阻进区250在大小及形状上与管芯112的阻进区150相似。阻进区250具有适于与管芯112的阻进区150对准的大小且具有介电结合区域,所述介电结合区域从阻进区的一个边缘连续地延伸到阻进区的其他边缘以在不受任何金属特征阻碍的同时熔融结合到另一管芯。密封环单元236的顶表面位于结合介电层240的表面下面。因此,阻进区250提供用于熔融结合到另一管芯(例如管芯112)的介电结合区域。可使用与以上参照图2b及图2c所示阻进区150所述的工艺相似的工艺来定位出阻进区250。
参照图5,图5示出包括以下内容的剖面:管芯112被结合到管芯212以形成管芯封装体213。结合可为其中两个晶片被直接结合在一起的晶片-晶片结合、其中两个被单体化的芯片(或管芯)被直接结合在一起的芯片-芯片结合、或其中一个或多个芯片(或管芯)与晶片直接结合在一起的芯片-晶片结合,其中一个管芯的介电层被熔融结合到另一管芯且一个管芯的金属层与另一管芯的金属层在不使用任何共熔材料(例如焊料)的情况下被结合在一起。举例来说,在晶片-晶片结合中,晶片100与晶片200的结合是通过混合结合来实现,其中在被单体化之前,管芯112结合到管芯212。在晶片100与200的混合结合中,结合介电层140通过熔融结合而结合到结合介电层240,且结合垫142的金属通过金属-金属结合而结合到结合垫242的金属。除将各芯片对准或将芯片与晶片对准所使用的方法之外,芯片-芯片结合或芯片-晶片结合相似地进行。
结合可包括预结合及退火。在预结合期间,施加小的挤压力以使晶片100与200彼此压靠。预结合可在室温(例如,约21℃与约25℃之间)下执行,然而可使用更高的温度。在预结合之后,将结合介电层140及240彼此结合。结合强度在后续退火步骤中得以提高,在所述后续退火步骤中被结合的晶片100及200在例如介于约300℃与约400℃之间的温度下被退火。
退火可被执行介于约1小时与2小时之间的时间段。当温度上升时,结合介电层140与结合介电层240中的OH键断裂以形成强Si-O-Si键,且因此,晶片100及200通过熔融键(及通过范德华力(Van Der Waals force))彼此结合。另外,在退火期间,结合垫142及结合垫242中的金属(例如铜)向彼此中扩散,以便也形成金属-金属键。因此,晶片100与200之间的所得键是混合键。在结合之后,可将被结合的晶片100及200锯切成多个封装,其中所述封装中的每一者包括被结合的管芯112及管芯212。
如图5中所示,结合垫142及结合垫242是以一一对应性(one-to-onecorrespondence)而彼此结合。在一些实施例中,结合垫142中的每一者具有对应的将与之结合的结合垫242,且结合垫242中的每一者具有对应的将与之结合的结合垫142。有源金属垫142A结合到有源金属垫242A,且虚拟金属垫142B结合到虚拟金属垫242B。在一些实施例中,虚拟金属垫可结合到有源金属垫。举例来说,虚拟金属垫142B可结合到有源金属垫242A。在一些实施例中,在一个晶片与另一晶片的图案中或对准中可能会发生不对准,且结合垫142中的一者或多者的一部分可在结合垫242中的对应一者之上或之下微微外伸。
从密封环单元136及236之上移除虚拟金属垫142B及242B会为结合介电层140与240提供更可靠的结合,从而提高结合良率且形成较不易于发生键失效的键。此种结果是出乎意料的,因为在此区域中包含虚拟金属垫可提供较均匀的图案密度,这在平面化之后理应得到较平整的表面。
当各管芯大小是相同的以使得两个管芯的边缘对准时,以上所述的实施例适用。可使用相似的工艺来针对具有两种不同大小的管芯提供结合。图6至图7c示出根据一些实施例的另一管芯312。图6示出根据一些实施例的晶片300的示意性俯视图。晶片300包括管芯312以及与管芯312邻接的切割道314及316,切割道314及316与图1所示切割道114及116相似。
图7a示出管芯312的示意性立体图。图7a所示管芯312可从晶片300被单体化出或仍可与晶片300成整体。密封环单元336设置在管芯312的周边处,且可包括一个或多个不同的密封环(以下参照图7b及图7c更详细地阐述)。在一些实施例中,管芯312包括在管芯312的装置区域318之上及周围设置的结合垫342所形成的阵列。在一些实施例中,装置区域318可包括位于密封环单元336内的管芯312整个区域。在其他实施例中,装置区域318可包括位于密封环单元336内的管芯312区域的一部分,例如图7a中所示。在一些实施例中,装置区域318可包含管芯上的所有可用结合垫342或可用结合垫342的子集。可以除阵列图案之外的图案来实现结合垫342的排列。视管芯312上的结合垫342的设计而定,各结合垫342可具有相似或不同的大小。
在一些实施例中,管芯312可为与管芯112相似的装置,且可具有与管芯112相似的尺寸(包括层的厚度等等)。在一些实施例中,管芯312可包括逻辑装置或存储器装置(例如包括但不限于以下的存储器单元:静态随机存取存储器(SRAM)单元、动态随机存取存储器(DRAM)单元、磁阻性随机存取存储器(MRAM)单元等)。管芯312可包括其他类型的装置。
图7b示出管芯312的剖视图。图7b所示剖面是沿着图7c所示线A-A所截取的剖面的一部分,然而,为便于论述,在各视图间细节可有所变化。管芯312包括与衬底122相似的衬底322及与装置区域118相似的装置区域318。
内连结构326可形成在衬底322之上。内连结构326可包括绝缘层328及导电特征(例如,金属线327、通孔329及可选金属垫331)。内连结构326可连接衬底322的装置区域318中的各种有源装置及/或无源装置,以形成功能电路。可使用与以上参照图2b所示内连结构126所述的材料及工艺相似的材料及工艺(不再对此予以赘述)来形成内连结构326。
内连结构326还包括与图2b所示密封环结构132A相似的密封环结构332A。其他密封环结构(例如密封环结构334A)也可形成在内连结构326中。可以与内连结构326的其他层相似的方式来形成密封环结构332A及密封环结构334A。
钝化层338可形成在内连结构326之上并被图案化以在钝化层338中形成开口,从而暴露出内连结构326(或可选金属垫331)的顶部金属层且暴露出密封环结构332A的顶部金属层及密封环结构334A的顶部金属层。可使用例如以上参照钝化层138所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成钝化层338。
密封环结构332A可穿过钝化层338及在钝化层338之上延伸。可在钝化层338中形成通孔332B,且可在钝化层338之上形成上覆密封环金属332C。因此,所得的密封环332可包括内连结构326中的密封环结构332A、穿过钝化层338的密封环通孔332B、及密封环金属332C。在一些实施例中,可包括额外密封环。在具有额外密封环(例如密封环334)的实施例中,此类密封环可相似地包括密封环结构(例如内连结构326中的密封环结构334A)、通孔(例如穿过钝化层338的通孔334B)及密封环金属(例如密封环金属334C)。密封环单元336可包括环绕管芯周边的所有密封环(例如,密封环332、密封环334等等)。在俯视图中,密封环金属332C及密封环金属334C可围绕管芯的周边形成连续环圈。
可使用例如以上参照密封环通孔132B及密封环通孔134B所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成密封环通孔332B及密封环通孔334B。相似地,可使用例如以上参照密封环金属132C及密封环金属134C所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成密封环金属332C及334C。
可围绕管芯形成止裂件330。可使用例如以上参照止裂件130所述的工艺及材料(不再对此予以赘述)来形成止裂件430。
结合介电层340可形成在钝化层338及密封环单元336之上。可使用与以上参照结合介电层140所述的工艺及材料相似的工艺及材料(不再对此予以赘述)来形成结合介电层340。
结合垫342形成在结合介电层340中,且可经由金属线及通孔(包括结合垫通孔344)电耦合到装置区域318。可使用例如以上参照结合垫142及结合垫通孔144所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成结合垫342及结合垫通孔344。结合垫342可包括与图2b所示有源金属垫142A及虚拟金属垫142B相似的多个有源金属垫342A及多个虚拟金属垫342B。
仍参照图7b,可从设计图案中待结合管芯的密封环将与管芯312介接之处移除虚拟金属垫342B。阻进区350示出结合介电层340中具有包括不含结合垫342的连续介电界面层的表面的区域。如图7b中所示,作为结合垫342的实质上均匀图案的一部分,可在密封环单元336之上包含虚拟金属垫342B。在其他实施例中,虚拟金属垫342B是可选的且可从密封环单元336之上被省略。视是否使用结合垫通孔344将结合垫342耦合到内连层326中的金属特征而定,可遍及设计图案而散置其他虚拟金属垫342B。在一些实施例中,例如图7b中所示,位于阻进区350与密封环单元336之间的结合垫342中的一些可为有源金属垫342A,且可用于结合到其他装置或用于在所述其他装置上形成连接件。在未具体示出的其他实施例中,阻进区350与密封环单元336之间的所有结合垫342均可为虚拟金属垫342B。
管芯312的区域将与将被结合到管芯312的另一管芯的阻进区介接。在将与另一管芯的阻进区结合的此区域中移除结合垫342会产生阻进区350。阻进区350可包括结合介电层340的位于管芯312的内部分中的一部分,例如位于内连结构326的金属线327、通孔329或可选金属垫331的一部分之上的区域。阻进区350还可包括结合介电层340的位于密封环单元336之上的一部分(例如,参见图7c)。结合垫342的图案设计最初可包括位于阻进区350中的结合垫。随后,所述图案设计可在制造之前被变更,以从设计中管芯312上定位有阻进区350之处移除结合垫342。举例来说,如图7b中所示,阻进区350部分地对应于将与另一管芯的阻进区结合之处的位置。因此,阻进区350的位置是基于第二管芯将在何处结合到管芯312。当第二管芯具有比管芯312小的占用区尺寸时,阻进区350将至少部分地位于结合介电层340的内部分处。在一些实施例中,两个管芯的一个或多个边缘可被对准,以使得阻进区350的一部分位于密封环单元336之上且阻进区350的一部分位于管芯312的内部分之上。在一些实施例中,还可从设计中移除与被移除的结合垫342对应的结合垫通孔344,而在其他实施例中,可在设计中保留所述结合垫通孔344中的一些。一些实施例可具有这两种情况的组合,即,使所述结合垫通孔344中的一些保留且使一些被移除,例如图7b中所示。
现在参照图7c,图7c示出管芯312的隅角部分的俯视图。虽然密封环单元336及止裂件330在俯视图中理应为看不见的,然而为了上下文参考其已被示出且设置有虚线外边缘。阻进区350在管芯312之上提供不含结合垫342的区域。在一些实施例中,阻进区350可在密封环单元336的一部分之上沿着管芯312的边缘延续。在其他实施例中,阻进区350可完全位于密封环单元336的轮廓内,使得阻进区350不与密封环单元336的任何部分交叠。密封环单元336的顶表面位于结合介电层340的表面下面。因此,阻进区350提供介电结合区域,所述介电结合区域从阻进区的一个边缘连续地延伸到阻进区的其他边缘以在不受任何金属特征阻碍的同时熔融结合到另一管芯的阻进区。当阻进区350与另一管芯上的阻进区对准并结合到所述另一管芯上的阻进区时,结合良率得以提高。阻进区350提供用于熔融结合到另一管芯(例如管芯412(以下参照图10a至图10c论述))的介电结合区域。
图8至图10c示出根据一些实施例的另一管芯412。图8示出根据一些实施例的晶片400的示意性俯视图。晶片400包括管芯412以及与管芯412邻接的切割道414及416,切割道414及416与图1所示切割道114及116相似。管芯412的占用区可被设计成具有比管芯312的占用区小的面积。在一些实施例中,管芯412可具有与管芯312的占用区一样的尺寸(例如,具有相同的宽度但不同的长度,或具有相同的长度但不同的宽度),而在其他实施例中,管芯412可具有比管芯312小的宽度及长度。
图9a示出管芯412的示意性立体图。图9a所示管芯412可从晶片400被单体化出或仍可与晶片400成整体。密封环单元436(以虚线示出)在管芯412的表面之下设置在管芯412的周边处,且可包括一个或多个不同的密封环(以下参照图9b及图9c更详细地阐述)。在一些实施例中,管芯412包括在管芯412的装置区域418之上及周围设置的结合垫442所形成的阵列。在一些实施例中,装置区域418可包括位于密封环单元436内的管芯412整个区域。在其他实施例中,装置区域418可包括位于密封环单元436内的管芯412区域的一部分,例如图9a中所示。在一些实施例中,装置区域418可包含管芯上的所有可用结合垫442或可用结合垫442的子集。可以除阵列图案之外的图案来实现结合垫442的排列。视管芯412上的结合垫442的设计而定,各结合垫442可具有相似或不同的大小。
在一些实施例中,管芯412可为与管芯112相似的装置,且可具有与管芯112相似的尺寸(包括层的厚度等等)。管芯412在至少一个横向尺寸上具有比管芯312小的占用区,使得在与管芯312结合时,管芯312在一个或多个边缘上与管芯412交叠。管芯412可例如在X方向上具有第一宽度w3,第一宽度w3可介于约2000μm与约26000μm之间,例如约10000μm。管芯412可例如在Y方向上具有第二宽度(或长度)w4,第二宽度(或长度)w4可介于约2000μm与约33000μm之间,例如约10000μm。可对管芯412使用其他尺寸。在一些实施例中,管芯412可包括逻辑装置或存储器装置(例如包括但不限于以下的存储器单元:静态随机存取存储器(SRAM)单元、动态随机存取存储器(DRAM)单元、磁阻性随机存取存储器(MRAM)单元等)。管芯412可包括其他类型的装置。
图9b示出管芯412的剖视图。图9b所示剖面是沿着图9c所示线A-A所截取的剖面的一部分,然而,为便于论述,在各视图间细节可有所变化。管芯412包括与衬底122相似的衬底422及与装置区域118相似的装置区域418。
内连结构426可形成在衬底422之上。内连结构426可包括绝缘层428及导电特征(例如,金属线427、通孔429及可选金属垫431)。内连结构426可连接衬底422的装置区域418中的各种有源装置及/或无源装置,以形成功能电路。可使用与以上参照图2b所示内连结构126所述的材料及工艺相似的材料及工艺(不再对此予以赘述)来形成内连结构426。
内连结构426还包括与图2b所示密封环结构132A相似的密封环结构432A。其他密封环结构(例如密封环结构434A)也可形成在内连结构426中。可以与内连结构426的其他层相似的方式来形成密封环结构432A及密封环结构434A。
钝化层438可形成在内连结构426之上并被图案化以在钝化层438中形成开口,从而暴露出内连结构426的顶部金属层且暴露出密封环结构432A的顶部金属层及密封环结构434A的顶部金属层。可使用例如以上参照钝化层138所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成钝化层438。
密封环结构432A可穿过钝化层438及在钝化层438之上延伸。可在钝化层438中形成通孔432B,且可在钝化层438之上形成上覆密封环金属432C。因此,所得的密封环432可包括内连结构426中的密封环结构432A、穿过钝化层438的密封环通孔432B、及密封环金属432C。在一些实施例中,可包括额外密封环,例如具有与密封环432相似的层的密封环434。密封环单元436可包括环绕管芯周边的所有密封环(例如,密封环432、密封环434等等)。在俯视图中,密封环金属432C及密封环金属434C可围绕管芯的周边形成连续环圈。
可使用例如以上参照密封环通孔132B及密封环通孔134B所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成密封环通孔432B及密封环通孔434B。相似地,可使用例如以上参照密封环金属132C及密封环金属134C所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成密封环金属432C及434C。
可围绕管芯形成止裂件430。可使用例如以上参照止裂件130所述的工艺及材料(不再对此予以赘述)来形成止裂件430。
结合介电层440可形成在钝化层438及密封环单元436之上。可使用与以上参照结合介电层140所述的工艺及材料相似的工艺及材料(不再对此予以赘述)来形成结合介电层440。
结合垫442形成在结合介电层440中,且可经由金属线及通孔(包括结合垫通孔444)电耦合到装置区域418。可使用例如以上参照结合垫142及结合垫通孔144所述的工艺及材料等的工艺及材料(不再对此予以赘述)来形成结合垫442及结合垫通孔444。结合垫442可包括与图2b所示有源金属垫142A及虚拟金属垫142B相似的多个有源金属垫442A及多个虚拟金属垫442B。
仍参照图9b,可从设计图案中结合介电层440中定位有管芯412的密封环单元436之处移除虚拟金属垫442B。结合介电层440将在此区域中与管芯312的结合介电层340熔融结合。阻进区450示出结合介电层440的不含结合垫442的区域。可以与以上参照图2b所述的方式相似的方式(不再对此予以赘述)来完成将管芯(例如管芯412)的设计提供成包括阻进区450。视是否使用结合垫通孔444将结合垫442耦合到内连结构426中的金属特征而定,可遍及设计图案而散置其他虚拟金属垫442B。
现在参照图9c,图9c示出管芯412的隅角部分的俯视图。虽然密封环单元436及止裂件430在俯视图中理应为看不见的,然而为了上下文参考其已被示出且设置有虚线外边缘。包括密封环432及密封环434的密封环单元436被示出为围绕管芯412的周边延续。所有结合垫442均被围阻在密封环单元436内。密封环单元436的阻进区450在密封环单元436之上提供不含结合垫442的区域。阻进区450与图2c所示阻进区150相似,且包括不含结合垫442的连续介电表面层,所述连续介电表面层从阻进区450的一个边缘通向阻进区450的其他边缘。密封环单元436的顶表面位于结合介电层440的表面下面。因此,阻进区450提供用于熔融结合到另一管芯(例如管芯312)的介电结合区域。
参照图10a,示出被结合的管芯312及管芯412的示意性立体图。管芯412已被翻转且与管芯312面对面地结合。管芯412的密封环单元436是以虚线示出且理应为看不见的。如在图10a中所见,管芯412具有与管芯312相同的长度,但比管芯312窄。管芯412已在管芯312上被定位成使得每一管芯的边缘中的两者与对置管芯的对应边缘对准。在其他实例中,管芯412可在管芯312上被定位成使得每一管芯的第三边缘与对置管芯的对应边缘对准。在其他实例中,管芯412可在长度及宽度上均小于管芯312,且可在管芯312上被定位成使得每一管芯的零个或一个边缘与对置管芯的对应边缘对准。
现在参照图10b,图10b示出包括以下内容的剖面:管芯312被结合到管芯412以形成管芯封装体413。结合可为其中两个被单体化的芯片(或管芯)被直接结合在一起的芯片-芯片结合、或其中一个或多个芯片(或管芯)与晶片(例如,晶片300)直接结合在一起的芯片-晶片结合。直接结合可通过混合结合来实现,以上参照图5阐述了混合结合的示例性工艺。
如图10b中所示,结合垫342及结合垫442是以一一对应性而彼此结合。在一些实施例中,结合垫342中的每一者具有对应的将与之结合的结合垫442,且结合垫442中的每一者具有对应的将与之结合的金属垫342。在一些实施例中,位于管芯412的占用区之外(从管芯412暴露出)的结合垫342可保持不被结合。在一些实施例中,可使用和与管芯412的结合相似的工艺及材料将位于管芯412的占用区之外的结合垫342结合到另一管芯(图中未示出)。有源金属垫342A结合到有源金属垫442A,且虚拟金属垫342B结合到虚拟金属垫442B。在一些实施例中,一个管芯或另一管芯的虚拟金属垫可结合到对置管芯的有源金属垫。举例来说,虚拟金属垫342B可结合到有源金属垫442A。在一些实施例中,在一个晶片与另一晶片的图案中或对准中可发生轻微不对准,且结合垫342中的一者或多者的一部分可在结合垫442中的对应一者之上或之下微微外伸。
图10c示出被结合的管芯312及管芯412的隅角部分的俯视图。如图10c中所示,阻进区350及450被对准,以在所述区域中实现结合介电层340与结合介电层440的直接熔融结合。如图10c中所示,在一些实施例中,管芯312的边缘可与管芯412的边缘对准。管芯412被翻转且结合到管芯312。密封环单元436、止裂件430及结合垫442理应为看不见的,然而为了上下文参考已被示出。
参照图11,与图10c所示视图相似,图11示出其中管芯312结合到具有较小占用区的管芯412(参见图9a及其随附说明)的另一实施例。如图11中所示,管芯412的两个或更多个相邻的边缘未与管芯312的对应的相邻的边缘对准。在一些实施例中,一些边缘可对准,而在其他实施例中,任何边缘均不对准。
图12至图13c示出密封环设计的另一实施例,所述密封环设计包括位于密封环单元之上的结合垫,但将位于密封环单元之上的结合垫耦合到密封环。参照图12,图12示出上面设置有管芯512的晶片500。除以下所述之外,晶片500及管芯512均与晶片100及管芯112实质上相似。因此,为简洁起见,省略管芯512的细节。参照图13a,管芯512含有与管芯112相似的特征及结构,包括密封环单元536、装置区域518及结合垫542。另外,管芯512包括额外环状结合垫552。环状结合垫552包括设置在密封环单元536的每一部分之上且耦合到所述每一部分的环状结构。
参照图13b,示出根据一些实施例的管芯512的剖面。管芯512含有与管芯112相似的特征及结构,包括衬底522、内连结构526、钝化层538、结合介电层540、及密封环单元536,密封环单元536可包括一个或多个密封环532及534,所述一个或多个密封环532及534各自包括内连结构526中的密封环结构532A(及534A)、密封环通孔532B(及534B)以及密封环金属532C(及534C)。管芯512还可包括止裂件530。管芯512还包括结合垫542,结合垫542包括通过结合垫通孔544与内连结构526中的金属特征耦合的有源金属垫542A及未耦合到内连结构526的虚拟金属垫542B。除这些共同的特征以外,如上所述,管芯512还包括与密封环单元536中的密封环结构中的每一者耦合的一个或多个环状结合垫552。环状结合垫552可围绕管芯512的周边形成为设置在密封环结构之上的连续环。环状结合垫552通过一个或多个结合垫通孔554耦合到密封环。在一些实施例中,结合垫通孔554可围绕环状结合垫552以规律的间隔设置。在其他实施例中,所述一个或多个结合垫通孔554也可为环状的,且形成在完全围绕管芯512周边的沟槽中。非有源区551位于管芯512的周边处。非有源区551与图2b所示阻进区150相似,只不过其可包括环状结合垫552、结合垫通孔554及可选虚拟金属垫542/542B。虽然环状结合垫552为非有源的,然而其耦合到下伏密封环单元536。在一些实施例中,在非有源区551中也可包括未耦合到任何下伏特征的其他虚拟金属垫542/542B。
在一些实施例中,可以与结合垫通孔544相似的方式(不再对此予以赘述)来形成结合垫通孔554。在其他实施例中,可在与结合垫通孔544的形成分开的处理步骤中形成结合垫通孔554。举例来说,可将第一光刻胶层图案化以暴露出介电结合层540的与结合垫通孔554对应的部分。随后,可在介电结合层540中形成开口以暴露出密封环单元536。随后,可在开口中沉积导电材料以形成结合垫通孔554。可使用第二光刻胶层来重复所述过程以形成结合垫通孔544。可反转结合垫通孔544与结合垫通孔554的形成次序。在一些实施例中,如上所述,可分别地形成结合垫通孔544及结合垫通孔554中的每一者的开口,且随后,同时沉积导电材料。
可以与结合垫542相似的方式(不再对此予以赘述)来形成环状结合垫552。通过在密封环之上形成附接到密封环的结合垫,会相对于仅具有虚拟结合垫而改善管芯512与另一管芯的结合。
可以与图2b所示阻进区150相似的方式来形成非有源区551。结合垫542的图案设计最初可包括位于非有源区551中的结合垫。随后,所述图案设计可被变更以从设计中管芯512上定位有非有源区551之处移除结合垫542。举例来说,如图13b中所示,非有源区551对应于密封环单元536的位置且在止裂件530之上及在内连结构526的金属线的一部分之上延伸到管芯512的内部分中。在其他实施例中,非有源区可位于管芯的其他区域中(例如,参见图7b)。非有源区551的位置是基于第二管芯将在何处结合到管芯512。当第二管芯具有相同的占用区尺寸时,非有源区551将对应于密封环单元536的区域而位于管芯的周边处。当第二管芯具有较小的占用区时,非有源区551将至少部分地位于管芯512的内部分中。以下会参照图15及图16更详细地阐述此种实施例的实例。
现在参照图14,根据一些实施例,管芯512结合到以相似方式形成的管芯612。管芯612具有与管芯512相似的特征,其中对应的元件以相似方式被标记,只不过元件是以“6”而非“5”开头。管芯512及管芯612可依据晶片-晶片工艺、芯片-芯片工艺或芯片-晶片工艺被结合。可例如使用例如以上参照图5所述的混合结合工艺来将管芯512及管芯612彼此混合结合。
现在参照图15,根据一些实施例,管芯512结合到另一管芯712。管芯712具有与管芯512相似的特征,其中对应的元件以相似方式被标记,只不过元件是以“7”而非“5”开头。在一些实施例中,除包括阻进区之外,可使用与以上参照管芯312所述的工艺相一致的工艺来形成管芯712。然而,与以上参照图10b所述内容相似,管芯512小于管芯712,且在俯视图中,管芯712及512的边缘中的至少一者不对准(例如,参见图10c及图11)。与以上所述的管芯312相似,在一些实施例中,设置在密封环单元736之上的虚拟金属垫742B可被省略。如上所述,管芯712也可包括与环状结合垫552相似的环状结合垫752。可例如使用例如以上参照图5所述的混合结合工艺来将管芯512及管芯712彼此混合结合。管芯712中与管芯512的非有源区551介接的环状结合垫752可结合到管芯512的环状结合垫552。
现在参照图16,根据一些实施例,管芯512结合到另一管芯812。管芯812具有与管芯512相似的特征,其中对应的元件被相似地标记,只不过元件是以“8”而非“5”开头。管芯812可被形成为与管芯712实质上相似,只不过并非包括阻进区,而是管芯812包括非有源区851,非有源区851包括与环状结合垫552对准的环状结合垫852(及结合垫通孔854)以及与密封环836的密封环832及密封环结构834对准并耦合的环状结合垫852(及结合垫通孔854)。可使用与以上参照环状结合垫552(及结合垫通孔554)所述的工艺及材料相似的工艺及材料来形成环状结合垫852(及结合垫通孔854)。可例如使用例如以上参照图5所述的混合结合工艺来将管芯512及管芯812彼此混合结合。所属领域中的技术人员应理解,在另一实施例中,可将图15及图16所示的不同特征组合。
图17示出根据一些实施例用于将结合垫设计变更成容纳阻进区的流程图。在步骤1710中,形成第一管芯的实质上均匀的结合垫布局。实质上均匀的结合垫布局可包括由图案大小及/或结合垫大小的变动不大于约10%的结合垫形成的布局。在步骤1720处,确定第一管芯中的界面,第二管芯将在所述界面处结合到第一管芯。第二管芯及第一管芯将根据每一相应管芯中的结合垫是如何被配置成耦合在一起而配合。一旦界面被确定,便可从设计中移除在第一管芯或第二管芯的周边周围的虚拟金属结合垫。在其中第一管芯与第二管芯具有相同大小的一些实施例中,第一管芯将跨越其整个表面与第二管芯介接。在其中第一管芯或第二管芯小于另一者的一些实施例中,两个管芯之间的界面将小于管芯中所述一者的整个表面积。
在步骤1730处,从布局设计中第一管芯及第二管芯中移除与第一管芯或第二管芯的密封环单元正对齐的虚拟金属结合垫。换句话说,从布局设计中第一管芯中理应与第二管芯的密封环正对齐之处移除虚拟金属结合垫。同样地,从布局设计中第二管芯中移除与第二管芯的密封环正对齐的虚拟金属结合垫。这使得每一管芯中的对应虚拟金属结合垫被从设计中移除。
图18示出根据一些实施例用于将结合垫设计变更成提供与密封环耦合的环状虚拟结合垫的流程图。在步骤1810中,形成第一管芯的实质上均匀的结合垫布局。实质上均匀的结合垫布局可包括由图案大小及/或结合垫大小的变动不大于约10%的结合垫形成的布局。在步骤1820处,确定第一管芯中的界面,第二管芯将在所述界面处结合到第一管芯。第二管芯及第一管芯将根据每一相应管芯中的结合垫是如何被配置成耦合在一起而配合。在其中第一管芯与第二管芯具有相同大小的一些实施例中,第一管芯将跨越其整个表面与第二管芯介接。在其中第一管芯或第二管芯小于另一者的一些实施例中,两个管芯之间的界面将小于管芯中所述一者的整个表面积。
在步骤1830处,在界面被确定之后,从布局设计中第一管芯及第二管芯中移除与第一管芯或第二管芯的密封环单元正对齐的虚拟金属结合垫。换言之,从布局设计中第一管芯中理应与第二管芯的密封环正对齐之处移除虚拟金属结合垫。同样地,从布局设计中第二管芯中移除与第二管芯的密封环正对齐的虚拟金属结合垫。这使得每一管芯中的对应虚拟金属结合垫被从设计中移除。
在步骤1840处,以环状结合垫来替换被移除的结合垫,在步骤1850中,将所述环状结合垫耦合到下伏密封环单元。在一些实施例中,所述耦合可通过沿着环状结合垫设置的单独结合垫通孔来完成。在其他实施例中,所述耦合可通过设置在环状结合垫之下的环状结合垫通孔来完成,所述环状结合垫通孔在管芯的周边处实体地及电性地耦合到环状结合垫及密封环单元。在一些实施例中,结合垫通孔可耦合到管芯的内连层。
各实施例提供一种通过提供较佳的混合结合界面以实现两个管芯中氧化物材料的熔融结合及两个管芯中金属材料的直接金属-金属结合而提高结合良率的方式。移除位于密封环之上的虚拟结合垫会提供用于将氧化物结合层进行熔融结合的较佳结合界面。在一些实施例中,在从设计中移除虚拟结合垫之后,可使用与密封环或与管芯的内连线耦合的环状结合垫。各实施例包括晶片上晶片结合、芯片上芯片结合、及晶片上芯片结合,其中管芯大小可相匹配或可为不同的。
一个实施例是一种半导体结构,其包括第一管芯。所述第一管芯包括:第一氧化物结合层,其中设置有第一多个结合垫;以及第一密封环,设置在所述第一氧化物结合层中,其中所述第一氧化物结合层在所述第一密封环之上延伸。所述结构进一步包括第二管芯。所述第二管芯包括:第二氧化物结合层,其中设置有第二多个结合垫,其中所述第一多个结合垫结合到所述第二多个结合垫,其中所述第一氧化物结合层结合到所述第二氧化物结合层,且其中夹置在所述第一密封环与所述第二氧化物结合层之间的区域不含结合垫。
根据本发明的一些实施例,所述第一多个结合垫是以不含共熔材料的金属-金属键直接结合到所述第二多个结合垫,且其中所述第一氧化物结合层是熔融结合到所述第二氧化物结合层。
根据本发明的一些实施例,所述第二氧化物结合层在第二密封环之上延伸,且与所述第二密封环正对准的区域不含所述第二多个结合垫。
根据本发明的一些实施例,沿着所述第一管芯的第一边缘的所述第一密封环与沿着所述第二管芯的第一边缘的所述第二密封环是对准的。
根据本发明的一些实施例,沿着所述第一管芯的第二边缘的所述第一密封环与沿着所述第二管芯的第二边缘的所述第二密封环是偏移的。
根据本发明的一些实施例,所述第一管芯的每一边缘相对于所述第二管芯的每一相应边缘而偏移。
根据本发明的一些实施例,所述第一管芯进一步包括半导体衬底及设置在所述半导体衬底上的内连线,其中所述第一密封环包括:第一部分,位于所述内连线中,耦合到所述衬底;以及第二部分,嵌置在所述第一氧化物结合层中,耦合到所述第一部分。
根据本发明的一些实施例,在所述第一氧化物结合层与所述半导体衬底之间夹置有钝化层,其中所述第一密封环的所述第一部分通过所述第一密封环的第三部分耦合到所述第一密封环的所述第二部分,所述第一密封环的所述第三部分延伸穿过所述钝化层。
另一实施例是一种形成半导体结构的方法,其包括:通过将第一管芯的有源结合垫与第二管芯的对应有源结合垫对准来确定所述第一管芯与所述第二管芯的对准方案,其中所述第一管芯的第一区域及所述第二管芯的第二区域与所述第二管芯的密封环对准。移除所述第一管芯的所述第一区域中及所述第二管芯的所述第二区域中的所有结合垫。根据所述对准方案将所述第一管芯结合到所述第二管芯。
根据本发明的一些实施例,所述结合包括:将所述第一管芯的所述第一区域熔融结合到所述第二管芯的所述第二区域,其中所述第一区域与所述第二区域的界面不含金属特征。
根据本发明的一些实施例,所述的方法进一步包括:在所述第一管芯上形成第一结合垫,所述第一结合垫包括所述第一管芯的所述有源结合垫及所述第一管芯的虚拟结合垫;以及在所述第二管芯上形成第二结合垫,所述第二结合垫包括所述第二管芯的所述有源结合垫及所述第二管芯的虚拟结合垫。
根据本发明的一些实施例,所述第一管芯的所述第一结合垫被形成为图案,且其中所述第一管芯的所述第一区域的宽度大于所述第一管芯的所述第一结合垫的间距间隔。
根据本发明的一些实施例,所述第二管芯的所述第二区域围绕所述第二管芯的周边延伸。
根据本发明的一些实施例,所述第一管芯的所述第一区域对应于所述第二管芯的所述第二区域,所述方法进一步包括在所述第一管芯的所述第一区域之外形成一个或多个结合垫。
根据本发明的一些实施例,所述确定所述对准方案进一步包括将所述第二管芯的所述密封环与所述第一管芯的密封环的一部分对准。
根据本发明的一些实施例,所述的方法进一步包括:在所述第二管芯的所述密封环之上形成与所述第二管芯的所述密封环耦合的环状结合界面。
另一实施例是一种形成半导体结构的方法,其包括:确定设置在第一装置的表面处的第一装置结合垫布局。确定设置在第二装置的表面处的第二装置结合垫布局,所述第二装置具有密封环。确定将所述第一装置的第一有源结合垫与所述第二装置的第二有源结合垫对准的对准方案,其中所述对准方案使所述密封环与所述第一管芯的第一区对准。从所述第一装置结合垫布局中移除所述第一区中的第一结合垫。从所述第二装置的第二区中移除根据所述对准方案而与所述第一结合垫对准的第二结合垫。将所述第一有源结合垫结合到所述第二有源结合垫。将所述第一区结合到所述第二区。
根据本发明的一些实施例,所述第一区与所述第二区的界面实质上不含金属特征。
根据本发明的一些实施例,所述的方法进一步包括在所述第一区中形成第一环形金属;在所述第二区中形成第二环形金属;以及将所述第一环形金属结合到所述第二环形金属。
根据本发明的一些实施例,所述的方法进一步包括:将所述第二环形金属耦合到所述密封环。
以上内容概述了若干实施例的特征以使所属领域中的技术人员可更好地理解本发明的各方面。所属领域中的技术人员应了解,他们可易于使用本发明作为基础来设计或修改其他工艺及结构以施行本文所介绍实施例的相同目的及/或实现本文所介绍实施例的相同优点。所属领域中的技术人员还应认识到,此种等效构造并不背离本发明的精神及范围,且在不背离本发明的精神及范围的条件下,他们可对本文作出各种改变、替代、及变更。

Claims (1)

1.一种半导体结构,其特征在于,包括:
第一管芯,所述第一管芯包括:
第一氧化物结合层,其中设置有第一多个结合垫;以及
第一密封环,设置在所述第一氧化物结合层中,其中所述第一氧化物结合层在所述第一密封环之上延伸;以及
第二管芯,所述第二管芯包括:
第二氧化物结合层,其中设置有第二多个结合垫,其中所述第一多个结合垫结合到所述第二多个结合垫,其中所述第一氧化物结合层结合到所述第二氧化物结合层,且其中夹置在所述第一密封环与所述第二氧化物结合层之间的区域不含结合垫。
CN201811440519.6A 2017-11-30 2018-11-29 半导体结构、形成其的方法及用于半导体的装置 Active CN110034103B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592856P 2017-11-30 2017-11-30
US62/592,856 2017-11-30
US16/003,654 US10312201B1 (en) 2017-11-30 2018-06-08 Seal ring for hybrid-bond
US16/003,654 2018-06-08

Publications (2)

Publication Number Publication Date
CN110034103A true CN110034103A (zh) 2019-07-19
CN110034103B CN110034103B (zh) 2022-05-10

Family

ID=66633562

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811440519.6A Active CN110034103B (zh) 2017-11-30 2018-11-29 半导体结构、形成其的方法及用于半导体的装置

Country Status (3)

Country Link
US (5) US10312201B1 (zh)
CN (1) CN110034103B (zh)
TW (1) TWI768158B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112530899A (zh) * 2019-09-17 2021-03-19 台湾积体电路制造股份有限公司 半导体器件及其制造方法
WO2021068225A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Methods and structures for die-to-die bonding

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10312201B1 (en) * 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US20190287872A1 (en) 2018-03-19 2019-09-19 Intel Corporation Multi-use package architecture
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
CN111033728A (zh) 2019-04-15 2020-04-17 长江存储科技有限责任公司 具有可编程逻辑器件和动态随机存取存储器的键合半导体器件及其形成方法
WO2020210928A1 (en) * 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Integration of three-dimensional nand memory devices with multiple functional chips
CN110870062A (zh) 2019-04-30 2020-03-06 长江存储科技有限责任公司 具有可编程逻辑器件和nand闪存的键合半导体器件及其形成方法
US10840190B1 (en) * 2019-05-16 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11367628B2 (en) * 2019-07-16 2022-06-21 Advanced Micro Devices, Inc. Molded chip package with anchor structures
CN110323181B (zh) * 2019-07-17 2021-08-24 武汉新芯集成电路制造有限公司 一种半导体器件的制造方法
US11362069B2 (en) * 2019-08-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional stacking structure and manufacturing method thereof
US11088041B2 (en) * 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with shortened talking path
US20210125910A1 (en) * 2019-10-25 2021-04-29 Nanya Technology Corporation Semiconductor structure
US11239203B2 (en) 2019-11-01 2022-02-01 Xilinx, Inc. Multi-chip stacked devices
US11599299B2 (en) * 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
TWI808292B (zh) * 2019-12-30 2023-07-11 聯華電子股份有限公司 半導體元件封裝結構
US11387204B2 (en) * 2020-01-16 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US20210257290A1 (en) * 2020-02-19 2021-08-19 Nanya Technology Corporation Semiconductor device with connecting structure and method for fabricating the same
US11282798B2 (en) * 2020-02-20 2022-03-22 Globalfoundries U.S. Inc. Chip corner areas with a dummy fill pattern
JP2021136320A (ja) * 2020-02-26 2021-09-13 キオクシア株式会社 半導体装置およびその製造方法
WO2021174415A1 (en) * 2020-03-03 2021-09-10 Yangtze Memory Technologies Co., Ltd. Protection structures in semiconductor chips and methods for forming the same
US11322466B2 (en) 2020-05-20 2022-05-03 Sandisk Technologies Llc Semiconductor die containing dummy metallic pads and methods of forming the same
US11894319B2 (en) * 2020-07-30 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Extended seal ring structure on wafer-stacking
KR20220029987A (ko) * 2020-09-02 2022-03-10 에스케이하이닉스 주식회사 3차원 구조의 반도체 장치
KR20220033619A (ko) * 2020-09-08 2022-03-17 삼성전자주식회사 반도체 패키지
US20220189861A1 (en) * 2020-12-14 2022-06-16 Intel Corporation Hermetic sealing structures in microelectronic assemblies having direct bonding
US20220192042A1 (en) * 2020-12-14 2022-06-16 Intel Corporation Hermetic sealing structures in microelectronic assemblies having direct bonding
JP2022131445A (ja) * 2021-02-26 2022-09-07 キオクシア株式会社 半導体装置及びその製造方法
KR20220126539A (ko) 2021-03-09 2022-09-16 삼성전자주식회사 반도체 패키지
US20220310527A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor devices and methods of manufacture
US11756842B2 (en) * 2021-04-16 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Daisy-chain seal ring structure
CN115472494A (zh) 2021-06-11 2022-12-13 联华电子股份有限公司 用于晶片级接合的半导体结构及接合半导体结构
US20220415839A1 (en) * 2021-06-24 2022-12-29 Intel Corporation Universal hybrid bonding surface layer using an adaptable interconnect layer for interface disaggregation
US11837594B2 (en) 2021-06-30 2023-12-05 Micron Technology, Inc. Microelectronic devices and electronic systems
US11776925B2 (en) 2021-06-30 2023-10-03 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11810838B2 (en) 2021-06-30 2023-11-07 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
US11842990B2 (en) 2021-06-30 2023-12-12 Micron Technology, Inc. Microelectronic devices and electronic systems
US11996377B2 (en) 2021-06-30 2024-05-28 Micron Technology, Inc. Microelectronic devices and electronic systems
US11930634B2 (en) 2021-06-30 2024-03-12 Micron Technology, Inc. Methods of forming microelectronic devices
US11785764B2 (en) 2021-06-30 2023-10-10 Micron Technology, Inc. Methods of forming microelectronic devices
US20230026785A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Seal structures including passivation structures
US20230025094A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11751383B2 (en) 2021-08-31 2023-09-05 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
WO2024145257A1 (en) * 2022-12-28 2024-07-04 Adeia Semiconductor Bonding Technologies Inc. Semiconductor element with bonding layer having functional and non-functional conductive pads

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101501855A (zh) * 2005-10-18 2009-08-05 飞思卡尔半导体公司 具有保护性***区的半导体管芯以及形成方法
CN101615598A (zh) * 2008-06-26 2009-12-30 台湾积体电路制造股份有限公司 用于防止管芯切割引起的应力的保护密封环
TW201539725A (zh) * 2014-03-28 2015-10-16 Taiwan Semiconductor Mfg Co Ltd 積體電路結構
CN107046007A (zh) * 2016-02-05 2017-08-15 台湾积体电路制造股份有限公司 集成电路结构和密封环结构
TW201729371A (zh) * 2015-12-29 2017-08-16 台灣積體電路製造股份有限公司 三維積體電路晶粒與其形成方法
US9748206B1 (en) * 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7750488B2 (en) * 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US8766453B2 (en) * 2012-10-25 2014-07-01 Freescale Semiconductor, Inc. Packaged integrated circuit having large solder pads and method for forming
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8802504B1 (en) 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9806119B2 (en) * 2014-01-09 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC seal ring structure and methods of forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9761522B2 (en) * 2016-01-29 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wireless charging package with chip integrated in coil center
US10573590B2 (en) 2016-10-20 2020-02-25 UTAC Headquarters Pte. Ltd. Multi-layer leadless semiconductor package and method of manufacturing the same
US9881833B1 (en) * 2016-10-26 2018-01-30 International Business Machines Corporation Barrier planarization for interconnect metallization
US10312201B1 (en) * 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101501855A (zh) * 2005-10-18 2009-08-05 飞思卡尔半导体公司 具有保护性***区的半导体管芯以及形成方法
CN101615598A (zh) * 2008-06-26 2009-12-30 台湾积体电路制造股份有限公司 用于防止管芯切割引起的应力的保护密封环
TW201539725A (zh) * 2014-03-28 2015-10-16 Taiwan Semiconductor Mfg Co Ltd 積體電路結構
TW201729371A (zh) * 2015-12-29 2017-08-16 台灣積體電路製造股份有限公司 三維積體電路晶粒與其形成方法
CN107046007A (zh) * 2016-02-05 2017-08-15 台湾积体电路制造股份有限公司 集成电路结构和密封环结构
US9748206B1 (en) * 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112530899A (zh) * 2019-09-17 2021-03-19 台湾积体电路制造股份有限公司 半导体器件及其制造方法
WO2021068225A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Methods and structures for die-to-die bonding
US11798914B2 (en) 2019-10-12 2023-10-24 Yangtze Memory Technologies Co., Ltd. Methods and structures for die-to-die bonding

Also Published As

Publication number Publication date
TWI768158B (zh) 2022-06-21
CN110034103B (zh) 2022-05-10
US20190287932A1 (en) 2019-09-19
US11756901B2 (en) 2023-09-12
US10312201B1 (en) 2019-06-04
US10741506B2 (en) 2020-08-11
TW201926408A (zh) 2019-07-01
US20190164914A1 (en) 2019-05-30
US20220375878A1 (en) 2022-11-24
US20240021544A1 (en) 2024-01-18
US20200373253A1 (en) 2020-11-26
US11482499B2 (en) 2022-10-25

Similar Documents

Publication Publication Date Title
CN110034103A (zh) 半导体结构
CN105321913B (zh) 器件管芯中的环形件结构
CN108695176A (zh) 封装件及其形成方法
CN106558559B (zh) 半导体器件及制造方法
CN109786315A (zh) 形成半导体器件的方法以及封装件
CN104576621B (zh) 芯片堆叠半导体封装件及其制造方法
CN109786262A (zh) 互连芯片
TW202105626A (zh) 封裝結構及形成封裝結構的方法
CN108346635A (zh) 半导体结构及其制造方法
CN107403733A (zh) 三层叠层封装结构及其形成方法
CN107871718A (zh) 半导体封装件及其形成方法
TW200901426A (en) Molded reconfigured wafer, stack package using the same, and method for manufacturing the stack package
CN108987380A (zh) 半导体封装件中的导电通孔及其形成方法
US11862560B2 (en) Package structure and method of manufacturing the same
CN107180814A (zh) 电子装置
CN106711097A (zh) 用于芯片封装件的结构和形成方法
CN108695166A (zh) 封装件及其形成方法
KR20120035719A (ko) 반도체 패키지 및 그 제조 방법
WO2006080337A1 (ja) 半導体装置およびその製造方法と、積層型半導体集積回路
CN102856279A (zh) 用于晶圆级封装的互连结构
JP2011071441A (ja) 半導体装置の製造方法、半導体装置およびウエハ積層構造物
TW201724389A (zh) 終極薄扇出型晶片封裝構造及其製造方法
CN103137566A (zh) 用于形成集成电路的方法
CN108695175A (zh) 半导体结构的制造方法
CN108122788A (zh) 半导体封装结构的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant