CN109545701A - 半导体器件的制造方法、记录介质及衬底处理装置 - Google Patents

半导体器件的制造方法、记录介质及衬底处理装置 Download PDF

Info

Publication number
CN109545701A
CN109545701A CN201810162831.7A CN201810162831A CN109545701A CN 109545701 A CN109545701 A CN 109545701A CN 201810162831 A CN201810162831 A CN 201810162831A CN 109545701 A CN109545701 A CN 109545701A
Authority
CN
China
Prior art keywords
data
exhaust
pressure
substrate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810162831.7A
Other languages
English (en)
Other versions
CN109545701B (zh
Inventor
镰仓司
浅井秀
浅井一秀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Hitachi Kokusai Electric Inc
Original Assignee
INTERNATIONAL ELECTRIC CO Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by INTERNATIONAL ELECTRIC CO Ltd filed Critical INTERNATIONAL ELECTRIC CO Ltd
Publication of CN109545701A publication Critical patent/CN109545701A/zh
Application granted granted Critical
Publication of CN109545701B publication Critical patent/CN109545701B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

半导体器件的制造方法、记录介质及衬底处理装置。可提高每个衬底的处理均匀性。半导体器件的制造方法具有如下工序:向处理室供给非活性气体;将处理室内的气氛从排气部排气;获取第一数据的第一数据获取工序;和对设置于排气部的排气调节部的阀开度进行调节的排气特性调节工序;排气特性调节工序具有:在将排气调节部的阀开度设定为规定值的状态下,一边改变非活性气体的流量一边测定处理室内或排气部的任一者的压力,获取与该压力与非活性气体流量之间的关系有关的实测数据即第二数据的第二数据获取工序,对第二数据与第一数据之间的差异数据是否处于规定范围内进行判定的工序,和当差异数据不处于规定范围内时变更排气调节部的阀开度的工序。

Description

半导体器件的制造方法、记录介质及衬底处理装置
技术领域
本发明涉及半导体器件的制造方法、记录介质及衬底处理装置。
背景技术
随着由大规模集成电路(Large Scale Integrated Circuit:以下LSI)、DRAM(Dynamic Random Access Memory:动态随机存取存储器)、闪速存储器(Flash Memory)等代表的半导体器件的高集成化,电路图案、制造过程中形成的结构物的微细化正在推进。在进行半导体器件的制造工序中的一个工序的衬底处理装置中,定期地进行维护、从而进行衬底处理装置的性能维持。例如,专利文献1、2中记载了上述内容。
现有技术文献
专利文献
专利文献1:日本特开2006-303414号公报
专利文献2:日本特开平9-85076号公报
发明内容
发明要解决的课题
根据衬底处理装置的排气特性的变化,每个衬底的处理结果可能变得不均匀。
因此,本发明中,提供一种能够提高每个衬底的处理均匀性的技术。
用于解决课题的手段
根据一个方式,提供一种技术,其具有:
向处理室供给非活性气体的工序,
将所述处理室内的气氛从排气部排气的工序,
获取作为基准数据的第一数据的第一数据获取工序,其中,所述基准数据是关于所述处理室内或所述排气部的任一者的压力与所述非活性气体的流量之间的关系的数据,
向收容有衬底的状态的所述处理室内供给处理气体从而对所述衬底进行处理的工序,和
排气特性调节工序,对设置于所述排气部的排气调节部的阀开度进行调节;其中,
所述排气特性调节工序具有:
获取作为实测数据的第二数据的第二数据获取工序,其中,在将所述排气调节部的阀开度设定为规定值的状态下,一边改变所述非活性气体的流量一边测定所述处理室内或所述排气部的任一者的压力,所述实测数据是关于该压力与所述非活性气体流量之间的关系的数据,
将所述第二数据与所述第一数据对比并求出各自之间的差异数据,对所述差异数据是否处于规定范围内进行判定的工序,和
当所述差异数据不处于所述规定范围内时,变更所述排气调节部的阀开度的工序。
发明效果
根据本发明涉及的技术,能够提高每个衬底的处理均匀性。
附图说明
图1为一个实施方式涉及的衬底处理装置的概略构成图。
图2为一个实施方式涉及的控制器的概略构成图。
图3为一个实施方式涉及的衬底处理***的概略构成图。
图4为另一实施方式涉及的簇型(cluster type)衬底处理装置的概略构成图。
图5为示出一个实施方式涉及的衬底处理工序的顺序例的流程图。
图6为示出一个实施方式涉及的衬底处理工序中的成膜处理的顺序例的表图。
图7为示出一个实施方式涉及的衬底处理装置中的排气特性的例子的说明图。
图8为示出一个实施方式涉及的排气特性调节工序的顺序例的流程图。
图9为示出一个实施方式涉及的第一数据及第二数据的具体例的说明图。
图10为示出一个实施方式涉及的判定工序的处理例的说明图。
图11为示出一个实施方式涉及的判定工序的另一处理例的说明图。
附图标记说明
100、100a、100b、100c、100d…衬底处理装置,200…晶片(衬底),201…处理空间(处理室),228…排气调节阀(排气调节部),229…压力传感器(压力测定部),245…第三气体供给部(吹扫气体供给部),260…控制器,261…输入输出装置,274…第二控制部,500…上位装置,1000…衬底处理***,2000、2000a、2000b、2000c、2000d…簇型衬底处理装置
具体实施方式
以下,对本发明的实施方式进行说明。
<一个实施方式>
以下,参照附图,说明本发明的一个实施方式。
(1)衬底处理装置的构成
首先,对本发明的一个实施方式涉及的衬底处理装置的概略构成进行说明。
这里说明的衬底处理装置是例如进行作为半导体器件的制造工序中的一个工序的成膜处理时使用的装置。
(处理容器)
如图1所示,衬底处理装置100具有处理容器202。处理容器202是由例如铝(Al)、不锈钢(SUS)等金属材料或石英以横截面为圆形的扁平密闭容器的形式构成的。另外,处理容器202具有上部容器202a和下部容器202b,在它们之间设置有分隔部204。由比分隔部204靠上方的上部容器202a围成的空间作为处理空间(也称为处理室)201发挥功能,该处理空间201对作为成膜处理的处理对象的硅晶片等衬底(也简称为晶片)200进行处理。另一方面,由比分隔部204靠下方的空间的下部容器202b围成的空间作为用于移载晶片200的搬送空间(也称为移载室)203发挥功能。为了作为移载室203而发挥功能,在下部容器202b的侧面设置有与闸阀1490相邻的衬底搬入搬出口1480,经由该衬底搬入搬出口1480而将晶片200在处理容器与未图示的搬送室之间移动。在下部容器202b的底部,设置有多个提升销207。此外,下部容器202b接地。
(衬底支承部)
在处理室201内,设置有支承晶片200的衬底支承部(基座)210。基座210具有衬底载置台212,衬底载置台212具有载置晶片200的载置面211。衬底载置台212至少内置有作为调节载置面211上的晶片200的温度的加热部的加热器213a、213b。另外,在衬底载置台212中,在与提升销207对应的位置分别设置有供提升销207贯通的贯通孔214。
内置于衬底载置台212中的作为加热部的加热器213a、213b以如下方式构成:通过基于电力供给的温度调节(加热或冷却)从而将载置面211上的晶片200维持在规定温度。对于如上所述的加热器213a、213b而言,在像例如载置面211的内周侧和外周侧那样、在将载置面211的面内分割为多个区域的情况下的各区域的每一个中单独设置。而且,在各加热器213a、213b上单独地连接有调节向各加热器供给的电力的温度调节部213c、213d。各温度调节部213c、213d按照来自后文描述的控制器260的指示分别独立地控制。由此,加热器213a、213b构成为能够实现针对载置面211上的晶片200而按照各区域来分别进行各自的温度调节的区域控制。
衬底载置台212被轴217支承。轴217贯通处理容器202的底部,进一步在处理容器202的外部连接于升降机构218。另外,构成为通过使升降机构218工作从而能够使衬底载置台212升降。轴217下端部的周围由波纹管219覆盖,处理室201内被气密地保持。
在晶片200的搬送时,衬底载置台212下降以使得衬底载置面211处于衬底搬入搬出口1480的位置(晶片搬送位置),在晶片200的处理时,晶片200上升至处理室201内的处理位置(晶片处理位置)。具体而言,当使衬底载置台212下降至晶片搬送位置时,提升销207的上端部从衬底载置面211的上表面突出,提升销207将从下方支承晶片200。另外,当使衬底载置台212上升至晶片处理位置时,提升销207从衬底载置面211的上表面埋没,衬底载置面211将从下方支承晶片200。需要说明的是,提升销207由于与晶片200直接接触,因此优选由例如石英、氧化铝等材质形成。
(气体导入口)
在处理室201的上部,设置有用于向处理室201内供给各种气体的气体导入口241。关于连接于气体导入口241的气体供给单元的构成,在后文描述。
为了将从气体导入口241供给的气体分散从而使其在处理室201内均等地扩散,优选的是,在与气体导入口241连通的处理室201内,配置具有分散板234b的簇射头(缓冲室)234。
在分散板234b的支承构件231b上连接有匹配器251和高频电源252,且构成为能够供给电磁波(高频电力、微波)。由此,能够将穿过分散板234b而被供给至处理室201内的气体激发从而使其等离子体化。即,分散板234b、支承构件231b、匹配器251及高频电源252为将后文描述的第一处理气体及第二处理气体等离子体化的部件,作为供给等离子体化的气体的第一气体供给部(详情后述)的一部分及第二气体供给部(详情后述)的一部分而发挥功能。
(气体供给部)
在气体导入口241上连接有公共气体供给管242。在公共气体供给管242上,连接有第一气体供给管243a、第二气体供给管244a、第三气体供给管245a。从包含第一气体供给管243a的第一气体供给部243主要供给第一处理气体(详情后述),从包含第二气体供给管244a的第二气体供给部244主要供给第二处理气体(详情后述)。从包含第三气体供给管245a的第三气体供给部245主要供给吹扫气体。
(第一气体供给部)
在第一气体供给管243a上,从上游方向起依次设置有第一气体供给源243b、作为流量控制器(流量控制部)的质量流量控制器(MFC)243c、及作为开关阀的阀243d。另外,含有第一元素的气体(第一处理气体)从第一气体供给源243b经由MFC243c、阀243d、第一气体供给管243a、公共气体供给管242而被供给至处理室201。
第一处理气体为例如包含硅(Si)元素的气体。具体而言,可使用二氯硅烷(SiH2Cl2,dichlorosilane:DCS)气体、四乙氧基硅烷(Si(OC2H5)4,Tetraethoxysilane:TEOS)气体等。在以下说明中,针对使用TEOS气体的例子进行说明。
在第一气体供给管243a的比阀243d靠下游侧连接有第一非活性气体供给管246a的下游端。在第一非活性气体供给管246a上,从上游方向起依次设置有非活性气体供给源246b、MFC246c及阀246d。另外,非活性气体从非活性气体供给源246b经由MFC246c及阀246d而被供给至第一气体供给管243a。
非活性气体为例如氮(N2)气体。需要说明的是,作为非活性气体,除了N2气体以外,可使用例如氩(Ar)气体、氦(He)气体、氖(Ne)气体、氙(Xe)气体等稀有气体。
主要由第一气体供给管243a、MFC243c及阀243d构成作为一个处理气体供给部的第一气体供给部(也称为含Si气体供给部)243。需要说明的是,可考虑将第一气体供给源243b包含在第一气体供给部243中。
另外,主要由第一非活性气体供给管246a、MFC246c及阀246d构成第一非活性气体供给部。需要说明的是,可考虑将非活性气体供给源246b、第一气体供给管243a包含在第一非活性气体供给部中。此外,可考虑将第一非活性气体供给部包含在第一气体供给部243中。
(第二气体供给部)
在第二气体供给管244a上,从上游方向起依次设置有第二气体供给源244b、MFC244c及阀244d。另外,含有第二元素的气体(第二处理气体)从第二气体供给源244b经由MFC244c、阀244d、第二气体供给管244a、公共气体供给管242而被供给至处理室201。
第二处理气体是含有与第一处理气体所含有的第一元素(例如Si)不同的第二元素(例如氧)的气体,例如为含氧(O)气体。具体而言,可使用氧(O2)气体、臭氧(O3)气体、水(H2O)气体、过氧化氢(H2O2)气体等。在以下说明中,针对使用O2气体的例子进行说明。
在第二气体供给管244a的比阀244d靠下游侧连接有第二非活性气体供给管247a的下游端。在第二非活性气体供给管247a上,从上游方向起依次设置有非活性气体供给源247b、MFC247c及阀247d。另外,非活性气体从非活性气体供给源247b经由MFC247c及阀247d而被供给至第二气体供给管244a。
关于非活性气体,与第一非活性气体供给部的情况相同。
主要由第二气体供给管244a、MFC244c及阀244d构成作为另一个处理气体供给部的第二气体供给部(也称为含氧气体供给部)244。需要说明的是,可考虑将第二气体供给源244b包含在第二气体供给部244中。
另外,主要由第二非活性气体供给管247a、MFC247c及阀247d构成第二非活性气体供给部。需要说明的是,可考虑将非活性气体供给源247b、第二气体供给管244a包含在第二非活性气体供给部中。此外,可考虑将第二非活性气体供给部包含在第二气体供给部244中。
(第三气体供给部)
在第三气体供给管245a上,从上游方向起依次设置有第三气体供给源245b、MFC245c及阀245d。另外,作为吹扫气体的非活性气体从第三气体供给源245b经由MFC245c、阀245d、第三气体供给管245a、公共气体供给管242而被供给至处理室201。
这里,非活性气体为例如N2气体。需要说明的是,作为非活性气体,除了N2气体以外,可使用例如Ar气体、He气体、Ne气体、Xe气体等稀有气体。
主要由第三气体供给管245a、MFC245c及阀245d构成作为非活性气体供给部的第三气体供给部(也称为吹扫气体供给部)245。需要说明的是,可考虑将第三气体供给源245b包含在第三气体供给部245中。
优选地,作为在上述各气体供给部上设置的流量控制部(MFC),针型阀、节流孔(orifice)等气流的响应性高的构成是合适的。例如,在气体的脉冲宽度处于毫秒级的情况下,有时通过MFC不能响应,但在针型阀、节流孔的情况下,通过与高速的ON/OFF阀组合,则可应对毫秒以下的气流脉冲。
(排气部)
在处理室201(上部容器202a)的内壁上表面,设置有用于将处理室201内的气氛排气的排气口221。在排气口221上连接有作为第一排气管的排气管224。在排气管224上,串联地连接有将处理室201内控制为规定压力的APC(Auto Pressure Controller:自动压力控制器)等压力调节器227、真空泵223。
压力调节器227构成为当进行后文描述的衬底处理工序时按照基于同样在后文描述的控制器260的控制来调节处理室201内的压力。更详细而言,压力调节器227构成为通过按照记载有后文描述的衬底处理的步骤、条件等的工艺制程,来使得该压力调节器227所具有的阀(valve)的开度可变,由此调节处理室201内的压力。
这里,将处理室201内的气氛排气时的排气特性对在衬底处理工序中形成在晶片200上的膜的特性产生影响。这里所说的排气特性(详情如后文所述),是指显示上述第三气体(非活性气体)的供给流量与处理室201内(包括与处理室201连通的排气管224内)的压力之间的关系的特性。对于如上所述的排气特性而言,例如存在根据如下等状况而发生变化的情况,所述状况为进行最初的衬底处理工序之前、进行了多次衬底处理工序之后、在未进行衬底处理的停机状态之后,若偏离能够得到所期望的膜特性的参比特性(referencecharacteristic)(参比数据),则可能在衬底处理工序中得不到所期望的膜特性。
需要说明的是,对于排气特性而言,还可考虑控制压力调节器227的阀开度来进行调节。其中,衬底处理工序时所用的工艺制程是在多个衬底处理装置100中能够公共地使用的、统一的制程。因此,通过压力调节器227(其按照工艺制程而被控制阀开度)难以应对应当按照各衬底处理装置100的状况来单独进行的压力调节。
因此,在本发明中,在排气管224上,与压力调节器227不同地,另外在该压力调节器227的前段或后段设置有作为排气调节部的排气调节阀228。排气调节阀228构成为:不是在衬底处理工序中,而是在后文描述的排气特性调节工序中,能够按照在该排气特性调节工序中使用的排气特性修正制程、来使得该排气调节阀228所具有的阀(valve)的开度可变,由此调节将处理室201内的气氛排气时的排气特性。即,通过控制器260控制上述排气调节阀228,从而能够进行排气特性的调节。
需要说明的是,为了提高诸如排气特性的变化的起因是例如排气管224的堵塞、亦或真空泵223的性能劣化等这样的判定的容易性,优选的是,将排气调节阀228设置在真空泵223的正上方。换言之,优选的是,排气调节阀228设置于与压力调节器227相比靠真空泵223一侧。
另外,在排气管224上、例如在压力调节器227的前段(即,靠近处理室201的一侧)设置有对上述排气管224内的压力进行测定的、作为压力测定部的压力传感器229。需要说明的是,这里举出了压力传感器229测定排气管224内的压力的情况作为例子,但压力传感器229也可以测定处理室201内的压力。即,压力传感器229只要是对处理室201内、或者构成排气部的排气管224内的任一者的压力进行测定即可。
主要由排气口221、排气管224、压力调节器227、排气调节阀228构成排气部(排气管线)。需要说明的是,可考虑将真空泵223、压力传感器229包含在排气部中。
(控制部)
衬底处理装置100为了对构成该衬底处理装置100的各部的动作进行控制而具有作为控制部(控制构件)的控制器260。
如图2所示,控制器260构成为具有CPU(Central Processing Unit:中央处理器)260a、RAM(Random Access Memory:随机存取存储器)260b、存储装置260c、I/O端口260d的计算机。RAM260b、存储装置260c、I/O端口260d构成为能够经由内部总线260e而与CPU260a进行数据交换。在控制器260上能够连接例如以触摸面板等形式构成的输入输出装置261、外部存储装置262。需要说明的是,本发明中的连接也包含各部通过物理的缆线(信号线)相连的含义,但还包含各部的信号(电子数据)能够直接或间接地发送/接收这样的含义。
存储装置260c由例如闪存、HDD(Hard Disk Drive:硬盘驱动器)等构成。在存储装置260c内,以可读取的方式存储有:控制衬底处理装置100的动作的控制程序;记载有后文描述的衬底处理的步骤、条件等的工艺制程;记载有同样在后文描述的排气特性调节的步骤、条件等的排气特性修正制程、在各种处理的过程中产生的运算数据、处理数据等。需要说明的是,工艺制程是以能够使控制器260执行后文描述的衬底处理工序中的各步骤从而获得规定结果的方式组合得到的,其作为程序发挥功能。另外,排气特性修正制程是以能够使控制器260执行后文描述的排气特性调节工序中的各步骤从而获得规定结果的方式组合得到的,其作为程序发挥功能。以下,也将上述这些工艺制程、排气特性修正制程、控制程序等统一简称为程序或简称为制程。本说明书中,在使用程序这样的用语的情况下,有时仅单独包含制程,有时仅单独包含控制程序,或者有时包含上述两者。另外,RAM260b以存储区域(工作区)的形式构成,该存储区域暂时保持通过CPU260a读取的程序、运算数据、处理数据等。
I/O端口260d连接于闸阀1490、升降机构218、压力调节器227、排气调节阀228、真空泵223、压力传感器229、MFC243c、244c、245c、246c、247c、阀243d、244d、245d、246d、247d、温度调节部213c、213d、匹配器251、高频电源252,等等。
作为运算部的CPU260a被构成为:读取并执行来自存储装置260c的控制程序,并且根据来自输入输出装置261的操作命令的输入等而从存储装置260c读取制程。而且,CPU260a被构成为:能够对从发送接收部285输入的设定值和存储在存储装置260c中的制程、控制数据进行比较、运算,从而算出运算数据。另外,还构成为可执行与运算数据对应的处理数据(制程)的决定处理等。并且,CPU260a构成为能够按照读取的制程的内容来控制闸阀1490的开闭动作、升降机构218的升降动作、温度调节部213c、213d的电力供给、匹配器251的电力的匹配动作、高频电源252的开关控制、MFC243c、244c、245c、246c、247c的动作控制、阀243d、244d、245d、246d、247d的气体的开关控制、压力调节器227的阀开度调节、排气调节阀228的阀开度调节、真空泵的开关控制,等等。
需要说明的是,控制器260不限于以专用的计算机的形式构成的情况,也可以以通用的计算机的形式构成。例如,准备存储了上述程序的外部存储装置(例如,磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器、存储卡等半导体存储器)262,通过使用该外部存储装置262将程序安装在通用的计算机上等方式,从而可以构成本实施方式涉及的控制器260。需要说明的是,用于向计算机供给程序的手段不限于经由外部存储装置262进行供给的情况。例如,也可以不经由外部存储装置262、而是使用网络263(网络、专用线路)等通信手段供给程序。需要说明的是,存储装置260c、外部存储装置262以计算机可读取的记录介质的形式构成。以下,也将它们统一简称为记录介质。需要说明的是,本说明书中,在使用记录介质这样的用语的情况下,有时仅单独包含存储装置260c,有时仅单独包含外部存储装置262,或者有时包含上述两者。
(2)衬底处理***的构成
接下来,对包含上述构成的衬底处理装置100的衬底处理***的概略构成进行说明。
(***整体的构成)
如图3所示,衬底处理***1000由多台衬底处理装置100(100a、100b、100c、100d)、第二控制部274、和将它们分别连接的网络268构成。需要说明的是,也可构成为在衬底处理***1000中包含上位装置500。这里,第二控制部274为例如对多台衬底处理装置100进行管理的组管理控制器。另外,上位装置500例如为主机。
在如上所述的衬底处理***1000中,各衬底处理装置100a、100b、100c、100d均具有:获取各种数据的作为控制部的控制器260(260a、260b、260c、260d);显示各种数据的作为显示部的输入输出装置270(270a、270b、270c、270d);和将各种数据在衬底处理装置与第二控制部274之间进行发送接收的发送接收部285(285a、285b、285c、285d)。
另一方面,第二控制部274具有第一运算部275、第一存储部276、第一发送接收部277。第一发送接收部277在衬底处理装置100与第二控制部274之间对数据进行发送/接收。第一存储部276记录有数据、由第一运算部275运算而得的数据、从上位装置500发送的数据、用户输入的任意数据、这些数据的数据库,等等。第一运算部275构成为基于上述数据之中的至少一种以上的数据来进行运算处理。
需要说明的是,衬底处理***不限于具有多台衬底处理装置100从而构成的衬底处理***1000,例如,也可以是具有多台后文描述的簇型衬底处理装置2000(2000a、2000b、2000c、2000d)的衬底处理***3000。
(簇型衬底处理装置的构成)
在此,对构成衬底处理***3000的簇型衬底处理装置2000进行说明。簇型衬底处理装置2000是具有多台上述的衬底处理装置100而构成的。
如图4所示,簇型衬底处理装置2000对晶片200进行处理,并且具有IO台2100、大气搬送室2200、加载互锁室(L/L)2300、真空搬送室2400、及多台衬底处理装置100(100a、100b、100c、100d)从而构成。需要说明的是,在图中,关于前后左右,X1方向为右,X2方向为左,Y1方向为前,Y2方向为后。
在簇型衬底处理装置2000的近前,设置有IO台(加载端口)2100。在IO台2100上,搭载有多个晶盒2001。晶盒2001用作搬送晶片200的载体,并且构成为在其内部分别以水平姿态收纳多张未处理的晶片200、或处理完成的晶片200。
IO台2100与大气搬送室2200相邻。在大气搬送室2200内,设置有移载晶片200的、作为第一搬送机器人的大气搬送机器人2220。在大气搬送室2200上,在不同于IO台2100的一侧连结有加载互锁室2300。
对于加载互锁室2300而言,其内部的压力与大气搬送室2200的压力和后文描述的真空搬送室2400的压力相应地变动,因此,构成为能耐受负压的结构。在加载互锁室2300上,在不同于大气搬送室2200的一侧连结有真空搬送室(传输组件:TM)2400。
TM2400作为搬送室(其作为在负压下搬送晶片200的搬送空间)而发挥功能。构成TM2400的壳体2410形成为俯视下为五边形,在五边形的各边之中,在除了连结加载互锁室2300的边以外的各边上连结有多台(例如4台)对晶片200进行处理的衬底处理装置100。在TM2400的大致中央部,设置有在负压下移载(搬送)晶片200的、作为第二搬送机器人的真空搬送机器人2700。需要说明的是,这里示出了真空搬送室2400为五边形的例子,但也可以是四边形、六边形等多边形。
设置在TM2400内的真空搬送机器人2700具有能够独立动作的两个手臂2800、2900。真空搬送机器人2700由上述控制器260控制。
在TM2400与各衬底处理装置100之间,设置有闸阀(GV)1490。具体而言,在衬底处理装置100a与TM2400之间设置有闸阀1490a,在与衬底处理装置100b之间设置有GV1490b。在与衬底处理装置100c之间设置有GV1490c,在与衬底处理装置100d之间设置有GV1490d。TM2400内的真空搬送机器人2700能够通过各GV1490的打开而经由设置在各衬底处理装置100上的衬底搬入搬出口1480从而进行晶片200的出入。
(3)衬底处理工序
接下来,针对在上述构成的衬底处理装置100中执行的衬底处理工序进行说明。这里,作为衬底处理工序,举出了进行作为半导体器件的制造工序中的一个工序的成膜处理的情况作为例子。需要说明的是,在以下说明中,各部的动作由控制器260控制。
(衬底搬入工序:S201)
当成膜处理时,如图5所示,首先,将晶片200搬入处理室201。具体而言,通过升降机构218使衬底支承部210下降,形成提升销207从贯通孔214向衬底支承部210的上表面侧突出的状态。另外,在将处理室201及移载室203调压至规定压力后,打开闸阀1490,从闸阀1490的开口将晶片200载置于提升销207上。在将晶片200载置于提升销207上后,关闭闸阀1490,通过升降机构218使衬底支承部210上升至规定位置,由此,将晶片200载置于衬底载置台212上,并且使该晶片200位于处理室201内的处理位置(晶片处理位置)的位置。
(减压升温工序:S202)
接下来,经由排气管224将处理室201内排气,以使得处理室201内成为规定压力(真空度)。此时,基于压力传感器229检测到的压力值(压力数据),对压力调节器227的阀的开度进行反馈控制。另外,基于温度传感器(未图示)检测到的温度值,对向加热器213a、213b的通电量进行反馈控制,以使得处理室201内成为规定的温度。具体而言,预先通过加热器213a、213b将衬底支承部210加热,从晶片200或衬底支承部210的温度不再有变化起放置一定时间。此间,在存在残留于处理室201内的水分或来自构件的脱气等的情况下,也可通过真空排气、利用N2气体的供给进行的吹扫而除去。至此,成膜处理前的准备结束。需要说明的是,当将处理室201内排气至规定压力时,可进行一次真空排气到所能达到的真空度。
此时的加热器213a、213b的温度设定为成为100~600℃、优选100~500℃、更优选250~450℃的范围内的一定温度。另外,加热器213a、213b的温度以使得衬底处理中的晶片200的温度成为一定的方式而被调节(维持)。
(成膜工序:S301)
然后,当处理室201内的气氛稳定后,接下来,转移至成膜工序(S301)。这里,作为成膜工序,对在晶片200的面上将硅氧化(SiO)膜成膜的例子进行说明。
在成膜工序(S301)中,如图5及图6所示,依次进行第一气体供给工序(S203)、第一吹扫工序(S204)、第二气体供给工序(S205)及第二吹扫工序(S206)的各步骤。
(第一气体供给工序:S203)
在第一气体供给工序(S203)中,从第一气体供给部243向处理室201内供给作为第一处理气体的TEOS气体。具体而言,打开阀243d,一边通过MFC243c进行流量调节,一边从第一气体供给源243b供给TEOS气体。经流量调节的TEOS气体通过缓冲室234而从分散板234b到达减压状态的处理室201内。此时,继续利用排气部进行处理室201内的排气,以处理室201内的压力成为规定的压力范围(第一压力)的方式控制。由此,TEOS气体以规定的压力(第一压力:例如10Pa以上且1000Pa以下)被供给至处理室201内。通过供给TEOS气体,在处理室201内,在晶片200上形成含硅层。这里,所谓含硅层,是指包含硅(Si)、或者硅与碳(C)的层。
(第一吹扫工序:S204)
在第一气体供给工序(S203)中在晶片200上形成含硅层后,关闭阀243d,停止TEOS气体的供给。另外,从排气部将残留在处理室201中的TEOS气体、残留在缓冲室234中的TEOS气体排气,由此,进行第一吹扫工序(S204)。
在第一吹扫工序(S204)中,除了简单地将残留气体排气(抽真空)从而将其从处理室201内等排出以外,也可以由第三气体供给部245供给非活性气体,从而进行通过将残留气体挤出而实施的排出处理。这种情况下,打开阀245d,通过MFC245c进行非活性气体的流量调节。另外,也可将抽真空与非活性气体的供给进行组合。另外,也可交替进行抽真空和非活性气体的供给。然后,当经过规定的时间后,然后关闭阀245d,停止非活性气体的供给。需要说明的是,也可以保持阀245d打开并继续进行非活性气体的供给。
此时的加热器213a、213b的温度设定为成为与向晶片200供给TEOS气体时相同的温度。作为从非活性气体供给***即第三气体供给部245供给的吹扫气体的N2气体的供给流量,设为例如100~20000sccm的范围内的流量。作为吹扫气体,除了N2气体以外,还可使用Ar气体、He气体、Ne气体、Xe气体等稀有气体。
(第二气体供给工序:S205)
在第一吹扫工序(S204)之后,进行第二气体供给工序(S205)。在第二气体供给工序(S205)中,从第二气体供给部244向处理室201内供给作为第二处理气体的氧(O2)气体。具体而言,打开阀244d,一边通过MFC244c进行流量调节,一边将O2气体从第二气体供给源244b经由缓冲室234及分散板234b供给至处理室201内。此时,调节MFC244c以使得O2气体的流量成为规定的流量。需要说明的是,O2气体的供给流量为例如100sccm以上且10000sccm以下。
这里,从高频电源252经由匹配器251进行高频电力等的供给。由此,通过分散板234b的O2气体被等离子体化,从而在处理室201内生成O2气体的等离子体(O2气体的活性种)。另外,若经活化的O2气体被供给至晶片200上的含硅层,则该含硅层被改质,从而形成含有硅元素和氧元素的硅氧化层。
此时,若从处理室201进行排气时的排气特性偏离参比特性(参比数据),则这里所生成的活性种的量、浓度等发生变化,有不能进行所期望的处理的可能性。即,对于排气特性而言,应当预先维持不大幅偏离参比特性的状态。
另外,晶片200上的硅氧化层根据例如处理室201内的压力、O2气体的流量、晶片200的温度、高频电源部252的电力等而以规定的厚度、规定的分布、规定的氧成分等相对于含硅层的侵入深度而形成。这其中,处理室201内的压力和O2气体的流量尤其会对硅氧化层的形成产生大的影响。另一方面,处理室201内的压力与O2气体的流量会受到从处理室201进行排气时的排气特性的影响。就此方面考虑,对于排气特性而言,也应当预先维持不大幅偏离参比特性的状态。
因而,对于从处理室201进行排气时的排气特性而言(详情如后文所述),通过于规定的定时进行排气特性调节工序,从而被调节成不偏离参比特性。
从以上那样的第二气体供给工序(S205)的开始起经过规定的时间、在晶片200上形成硅氧化层后,关闭阀244d,停止O2气体的供给。
需要说明的是,在图6中,与作为第二处理气体的O2气体的供给同时开始高频电力的供给,但也可以在O2气体的供给开始前供给高频电力。另外,也可以以下述方式控制:从第一气体供给工序(S203)至后文描述的判定工序结束之前,持续高频电力的供给,通过O2气体的供给的有无来生成等离子体。
(第二吹扫工序:S206)
当在第二气体供给工序(S205)中在晶片200上形成硅氧化层后,通过与第一吹扫工序(S204)同样的动作,进行第二吹扫工序(S206)。具体而言,通过将残留在处理室201中的O2气体、副产物、残留在缓冲室234中的O2气体从排气部排气,从而进行第二吹扫工序(S206)。此时,也可以通过从第三气体供给部245供给非活性气体,来吹扫缓冲室234及处理室201。
(判定工序)
第二吹扫工序(S206)结束后,控制器260针对对于构成成膜工序(S301)的上述各步骤(S203~S206)而言、是否执行了规定的循环数n进行判定。将上述各步骤(S203~S206)作为一个循环,通过将上述循环至少进行1次以上,从而能够在晶片200上将规定膜厚的硅氧化膜(SiO膜)成膜。需要说明的是,上述循环优选重复多次。
作为判定的结果,若上述循环不满规定次数n,则重复进行各步骤(S203~S206)。另一方面,当实施了规定次数n时,结束成膜工序(S301)。由此,在晶片200上形成规定膜厚的SiO膜。
(搬送压力调节工序:S207)
成膜工序(S301)结束后,进行搬送压力调节工序(S207)。在搬送压力调节工序(S207)中,将处理室201内及移载室203内排气,以使得处理室201、移载室203成为规定的压力(真空度)。需要说明的是,也可以在上述搬送压力调节工序(S207)的过程中、之前、之后,以晶片200的温度冷却至规定的温度的方式,由提升销207保持。
(衬底搬出工序:S208)
当在搬送压力调节工序(S207)中处理室201内与移载室203内达到规定压力后,打开闸阀1490,经由衬底搬入搬出口1480,向真空搬送室2400搬出晶片200。
通过经由以上说明的一系列各工序,进行对晶片200实施的衬底处理工序。
(4)排气特性调节工序
接下来,针对在上述构成的衬底处理装置100中执行的排气特性调节工序进行说明。
如上所述,在通过衬底处理装置100执行的衬底处理工序中,从处理室201进行排气时的排气特性对在晶片200上形成的膜的特性产生影响。
这里所述的排气特性为将处理室201内的气氛排气时的衬底处理装置100的特性之一,具体而言,如图7所示,为显示向处理室201内供给的第三气体(非活性气体)的供给流量与处理室201内(包括与处理室201连通的排气管224内)的压力之间的关系的特性。
如上所述的排气特性有时因例如构成排气部(排气管线)的排气管224的堵塞情况、真空泵223的运行状况(性能劣化的有无)等的影响而变化。另外,例如有时根据进行最初的衬底处理工序之前、进行多次衬底处理工序之后、在未进行衬底处理的停机状态之后等衬底处理装置100的运行状况而发生变化。
另一方面,关于排气特性,存在参比特性(参比数据),其作为用于在衬底处理工序中得到所期望的膜特性的基准。
因而,为了在衬底处理工序中在晶片200上进行所期望的膜特性的成膜,应当以维持排气特性不偏离参比特性的状态的方式进行该排气特性的调节。
为此,在本发明中,利用不同于压力调节器227而另外设置的排气调节阀228、于规定的定时进行排气特性调节工序,由此,以排气特性不偏离参比特性的方式进行调节。
作为进行排气特性调节工序的规定的定时,可举出例如以下之中的至少一者:衬底处理装置100的启动时、衬底处理装置100的维护之前或之后、当衬底处理装置100中的合计的成膜时间(成膜工序的次数)超出规定的时间(次数)时、处理规定批数的晶片200后、第一气体供给部243的MFC243c的累积流量超出规定流量后;等等。优选的是,当衬底处理装置100中的合计的成膜时间(成膜工序的次数)超出规定的时间(次数)时进行。通过于这样的定时进行排气特性调节工序,当连续处理多张晶片200时,能够减轻每个晶片200的特性不均。
以下,针对排气特性调节工序的步骤进行具体说明。需要说明的是,在以下说明中,各部的动作由控制器260控制。
(第一数据获取工序:S501)
在排气特性调节工序时,如图8所示,首先,进行作为与排气特性有关的参比数据(基准数据)的第一数据的获取。具体而言,将在控制器260的存储装置260c中存储的第一数据读取至RAM260b。
需要说明的是,第一数据不限于从存储装置260c读取的情况,例如,也可以通过发送接收部285而从主机等上位装置500获取,另外,还可以从衬底处理***1000中的其他衬底处理装置100获取。这里,所谓其他衬底处理装置100,是指在执行相同的衬底处理工序(工艺制程)的多个衬底处理装置100a、100b、100c、100d之中、在晶片200上形成的膜特性良好的装置(即,可得到所期望的膜特性的装置)。另外,其他衬底处理装置100可以是多个簇型衬底处理装置2000a、2000b、2000c、2000d中的任一者。
作为由此得到的第一数据,包括例如图7中以单点划线所示那样的数据。
(第二数据获取工序:S502)
接下来,在进行排气特性调节工序的衬底处理装置100中,获取作为与排气特性有关的实测数据的第二数据。具体而言,在分别将压力调节器227的阀开度及排气调节阀228的阀开度以成为一定的方式设定为规定值的状态下,从第三气体供给部245向处理室201内供给第三气体(非活性气体),并且使该非活性气体的流量从第一流量(最小流量)至第二流量(最大流量)变化,通过压力传感器229测定此时的压力值(压力数据)。并且,基于通过压力传感器229得到的测定结果,得到作为与排气特性有关的实测数据的第二数据。
这里,第一流量例如为与在从第一气体供给工序(S203)至第一吹扫工序(S204)的过程中、从停止第一气体的供给后至供给吹扫气体前的期间所供给的非活性气体(载气)的流量相同的流量。另外,也可以是与在从第二气体供给工序(S205)至第二吹扫工序(S206)的过程中、从停止第二气体的供给后至供给吹扫气体前的期间所供给的非活性气体(载气)的流量相同的流量。
第二流量例如为与在第一吹扫工序(S204)和第二吹扫工序(S206)中供给的第三气体(非活性气体)的流量相同的流量。另外,也可以是在搬送压力调节工序(S207)中使处理室201内恢复至规定的压力时所供给的第三气体的流量。优选的是,使用对在晶片200上形成的膜的特性产生影响的吹扫工序(特别是第一吹扫工序)的流量。
作为由此得到的第二数据,包括例如图7中以实线表示那样的数据。对于第二数据(实测数据)而言,由于排气管224的堵塞等的影响,其斜率发生变化。特别地,由于在流量大的位置处的压力的差异变得显著,因此具有斜率变陡的趋势。但是,第二数据不限于一定是较之第一数据而言斜率变陡的情况,例如,关于装置启动时获取的数据,还存在成为图7中以虚线表示那样的数据的情况。
(数据运算工序:S503)
当分别获取第一数据和第二数据后,然后,将第二数据与第一数据对比,由其比较结果求出各自间的差异并作为差异数据。具体而言,首先,由于第一数据及第二数据中的任一者均具有线性(参见图7),因此,对于第一数据和第二数据中的各自而言,通过运算而求出显示其斜率(即,压力变化相对于流量变化的比例)的值的数据(斜率数据)。需要说明的是,与第一数据有关的斜率数据也可以在获取该第一数据时进行运算。另外,求出第一数据和第二数据的斜率数据后,算出各自的斜率数据之间的差值,将该计算结果作为差异数据。
需要说明的是,差异数据并不一定要是斜率数据的差值,也可以是其他数据。例如,差异数据也可以是第二流量(最大流量)时的第一数据的压力值与第二数据的压力值之间的差值。
(判定工序:S504)
求出第一数据与第二数据之间的差异数据后,然后,对该差异数据是否处于规定范围内进行判定。具体而言,例如,当差异数据为斜率数据的差值时,对作为上述差异数据的差值是否处于规定范围内(例如,±2.5[任意单位]以内)进行判定。另外,例如,当差异数据为最大流量时的压力值的差值时,对作为上述差异数据的差值是否处于规定范围内(例如,±10[任意单位]以内)进行判定。
这里,针对判定工序(S504)中的处理,举出具体例进一步详细说明。
例如,考虑获取了图9所示那样的第二数据的情况。需要说明的是,图例示出了将第二数据的获取进行第2-1~第2-4这四次的情况,也一并示出了作为参比数据的第一数据。
在获取了上述第二数据的情况下,例如,当将斜率数据的差值作为差异数据时,如图10所示,在求出与第二数据有关的斜率值(例如,28.35、33.5、36.25、38.1[任意单位])的基础上,将其和与第一数据有关的斜率值(例如,32.5[任意单位])进行对比,对各自间的差异数据是否处于规定范围内(例如,±2.5[任意单位]以内)进行判定。
另外,例如,当将最大流量时的压力值的差值作为差异数据时,如图11所示,在求出与第二数据有关的压力值(例如,350、415、450、475[任意单位])的基础上,将其和与第一数据有关的压力值(例如,400[任意单位])进行对比,对各自间的差异数据是否处于规定范围内(例如,±10[任意单位]以内)进行判定。
作为上述判定的结果,在差异数据不处于规定范围内的情况下(S504的“否”判定),进行后文描述的阀开度变更工序(S508)。另一方面,在差异数据处于规定范围内的情况下(S504的“是”判定),形成在此后可进行包含成膜工序(S301)的一系列衬底处理工序(S201~S208)的状态。
(警报工序:S504~S507)
作为判定工序(S504)中的判定的结果,在差异数据不处于规定范围内的情况下(S504的“否”判定),在对计数器(其对上述次数进行计数)的计数数目进行增量(increment)的基础上(S505),对上述计数数目是否处于预先设定的规定次数(例如3次)内进行判定(S506)。需要说明的是,在将重复后文描述的各工序的例行程序(routine)取出后,计数数目复位。
另外,当超过规定次数时(S506的“否”判定),认为在排气部中发生了异常,将衬底处理装置100中的执行中的处理中止,并且将表示需要排气部的维护这一内容的维护数据输出,对装置操作员进行警报报告(S507)。维护数据为对排气部的维护的必要性(开始请求)进行报告的数据。由此,能够抑制由后文描述的阀开度变更工序(S508)等重复执行多次而导致的半导体器件的制造工序的生产率降低。需要说明的是,警报报告可以如下进行:将维护数据输出至输入输出装置261,在该输入输出装置261显示警报画面;或者也可以将维护数据向上位装置500输出。
(阀开度变更工序:S508、S509)
当差异数据不处于规定范围内时(S504判定为“否”),若上述计数数目没有超出规定次数的话(S506判定为“是”),则在其后进行阀开度变更工序(S508)。在阀开度变更工序(S508)中,以使得第二数据接近第一数据的方式,变更排气调节阀228的阀开度。具体而言,在与第二数据有关的斜率数据大于与第一数据有关的斜率数据的情况下,增大排气调节阀228的阀开度。另外,当与第二数据有关的斜率数据小于与第一数据有关的斜率数据的情况下,减小排气调节阀228的阀开度。此时的阀开度的变更量(调节量)可以是根据差异数据的大小的量、也可以是预先设定的定量的量。通过像这样调节排气调节阀228的阀开度,从而使得第二数据接近第一数据。
需要说明的是,调节排气调节阀228的阀开度后,可以进行该调节后的阀开度的检查(S509)。另外,作为阀开度的检查的结果,例如,在调节后的阀开度大于规定的开度(即,可允许的开度)的情况下,可考虑输出表示接近排气部的维护时期这一内容的维护时期数据,由此对装置操作员进行警报报告。由此,能够根据警报报告来进行维护,即便是发生了泵性能的降低、配管堵塞等原因的情况下,也能够通过维护而迅速且适当地将上述原因排除。需要说明的是,警报报告可按照与上述警报工序(S507)的情况相同的方式进行。
在实施阀开度变更工序(S508)、另外根据需要进行阀开度的检查(S509)之后,再次从第二数据获取工序(S502)起重复进行上述一系列的各工序(S502~S509)。即,重复上述一系列的各工序(S502~S509),直至在判定工序(S504)中差异数据落入规定范围内。由此,针对衬底处理装置100的排气部,以第二数据接近第一数据的方式调节阀开度,由此,以排气特性不偏离参比特性的方式,对该排气特性进行反馈控制。
(频率检查工序:S510)
作为判定工序(S504)中的判定的结果,在差异数据处于规定范围内的情况下(S504判定为“是”),成为可进行包含成膜工序(S301)的一系列的衬底处理工序(S201~S208)的状态,但也可以在上述衬底处理工序的开始之前,预先记录基于排气调节阀228的阀开度变更的排气特性的调节频率(S510)。具体而言,例如,可考虑预先将相对于成膜工序(S301)的实施次数而言的阀开度变更工序(S508)的实施次数记录为该阀开度变更工序(S508)的实施频率(即,排气特性的调节频率)。像这样,若预先记录排气特性的调节频率,则通过检查上述调节频率,当例如上述调节频率大于规定频率时,能够输出表示接近排气部的维护时期这一内容的维护时期数据。因而,通过维护时期数据的输出,对装置操作员进行警报报告,由此,能够进行与上述警报报告相应的维护,即便是产生了泵性能的降低、配管堵塞等原因的情况下,也能够通过维护而迅速且适当地将上述原因排除。需要说明的是,警报报告可按照与上述警报工序(S507)的情况相同的方式进行。
(至少一次以上的成膜工序:S511)
之后,由于差异数据处于规定范围内、且排气特性没有偏离参比特性,因此,根据需要,进行包含至少一次以上的成膜工序(S301)的一系列的衬底处理工序(S201~S208)。
另外,以规定次数进行包含成膜工序(S301)的一系列的衬底处理工序(S201~S208),当例如衬底处理装置100中的合计的成膜时间(成膜工序的次数)大于规定的时间(次数)时,再次进行排气特性调节工序。即,在以规定次数进行对晶片200实施处理的工序后执行再次进行的排气特性调节工序。具体而言,例如,在对某晶片200进行的成膜工序(S301)等结束并将该晶片200从衬底处理装置100搬出后、将下一处理的晶片200搬入衬底处理装置100之前,进行排气特性调节工序。
此时,由于已经获取了成为参比数据的第一数据,因此,排气特性调节工序可从第二数据获取工序(S502)开始。其中,从提高处理效率、抑制半导体器件的制造生产率降低的观点考虑,如以下说明的那样,也可考虑获取第三数据并进行。
(第三数据获取工序:S512)
与第二数据相同,第三数据为与排气特性有关的实测数据,并且可如下得到:在将压力调节器227及排气调节阀228的阀开度设定为规定值的状态下,一边改变来自第三气体供给部245的第三气体(非活性气体)的供给流量,一边通过压力传感器229测定压力值(压力数据)从而得到。其中,第三数据不同于第二数据,是仅在预先设定的特定点(point)获取到的。
作为特定点,优选可举出例如成为工艺制程中使用的非活性气体的最大流量(第二流量)的一个点。这种情况下,测定与最大流量对应的压力值(即,仅是与一点对应的压力值)作为第三数据。
另外,作为特定点,进一步优选可举出例如在工艺制程中使用的非活性气体的最小流量(第一流量)及最大流量(第二流量)这两个点。这种情况下,测定与最小流量对应的压力值及与最大流量对应的压力值(即,仅是分别与两点对应的压力值)作为第三数据。像这样,若测定两点的压力值,则能够通过运算来算出斜率数据,能够进行详细的工序检查。
需要说明的是,与工艺制程中使用的最大流量对应的压力为例如第一吹扫工序(S204)或第二吹扫工序(S206)中的压力。另外,与工艺制程中使用的最小流量对应的压力为例如在第一气体供给工序(S203)至第一吹扫工序(S204)、且停止第一气体的供给后至供给吹扫气体前的期间供给非活性气体(载气)时的压力。
像这样,若仅从一点、或仅从两点的测定结果得到作为第二数据的替代的第三数据,则能够缩短用于上述的数据获取的测定时间,能够抑制半导体器件的制造生产率降低。另外,即便是要实现测定时间的缩短的情况下,例如,若对两点进行测定,则能够算出斜率数据,工序检查的质量也不会降低。
(数据运算工序:S513)
当分别获取第三数据后,其后,将第三数据与第一数据进行对比,由其比较结果求出各自间的差异作为差异数据。此时的处理可按照与第二数据有关的数据运算工序(S503)的情况相同的方式进行。
(判定工序:S514)
另外,当求出第一数据与第三数据之间的差异数据后,对上述差异数据是否处于规定范围内进行判定。对于此时的处理而言,也可按照与第二数据有关的判定工序(S504)的情况相同的方式进行。
作为上述判定的结果,在差异数据处于规定范围内的情况下(S514判定为“是”),回到上述工序(S511),然后处于可进行包含成膜工序(S301)的一系列的衬底处理工序(S201~S208)的状态。
(阀开度变更工序:S515)
另一方面,在差异数据不处于规定范围内的情况下(S514判定为“否”),进行阀开度变更工序(S515)。此时的处理可按照与上述阀开度变更工序(S508)的情况相同的方式进行。另外,在进行阀开度变更工序(S515)后,再次从第三数据获取工序(S512)起重复进行上述一系列的各工序(S512~S515)。即,重复上述一系列的各工序(S512~S515),直至在判定工序(S514)中差异数据落入规定范围内。
需要说明的是,当进行阀开度变更工序(S515)时,也可与上述阀开度变更工序(S508)的情况同样地,进行次数计数(S505)、基于此的警报报告(S507)、阀开度的检查(S509)等。
(5)本实施方式的效果
通过本实施方式,能够获得以下所示的一种或多种效果。
(a)在本实施方式中,关于对在衬底处理工序中对晶片200进行的处理产生影响的排气特性,对作为参比数据(基准数据)的第一数据、与作为实测数据的第二数据之间的差异数据是否处于规定范围内进行判定,在不处于规定范围内的情况下,变更排气调节阀228的阀开度。即,以将处理室201内的气氛排气时的排气特性不偏离可得到所期望的处理特性的参比特性(参比数据)的方式,调节该排气特性。因而,能够抑制衬底处理装置100中的排气特性的变化,结果,能够提高每个晶片200的处理均匀性。
(b)在本实施方式中,不同于按照工艺制程而使阀开度可变的压力调节器227,另外设置按照排气特性修正制程而使阀开度可变的、作为排气调节部的排气调节阀228,利用该排气调节阀228进行排气特性的调节。因而,例如,即便是存在多个衬底处理装置100的情况下,也能够并非是按照各衬底处理装置100中统一的用于衬底处理工序的工艺制程、而是按照排气特性修正制程(其用于不同于上述衬底处理工序的排气特性调节工序),来使得排气调节阀228的阀的开度可变,因此,能够进行应当按照各衬底处理装置100的状况而分别进行的排气特性的调节。
(c)在本实施方式中,于规定的定时进行排气特性调节工序。作为规定的定时,优选的是,于衬底处理装置100中的合计的成膜时间(成膜工序的次数)大于规定的时间(次数)之时,进行排气特性调节工序。通过于上述这样的定时进行排气特性调节工序,在连续处理多张晶片200的情况下,能够减轻每个晶片200的特性不均。
(d)在本实施方式中,在排气特性调节工序中,重复进行从第二数据获取工序(S502)起的一系列各工序(S502~S509),直至第一数据与第二数据之间的差异数据落入规定范围内。因而,以使得排气特性不偏离参比特性的方式对该排气特性进行反馈控制,由此,能够切实地提高每个晶片200的处理的均匀性。
(e)在本实施方式中,在排气特性调节工序中,获取第二数据等各种数据。像这样,当获取了各种数据时,通过将该各数据存储在存储装置260c中,能够与预防维护相关联。具体而言,例如,通过存储与第二数据有关的斜率数据,能够实现下述这样的效果:由该斜率数据的变化来确认真空泵223的性能降低的状况,由其结果来掌握泵更换时期。另外,例如,通过存储排气调节阀228的阀开度的调节数据,能够实现下述这样的效果:由该调节数据(阀开度)来推定排气管224的闭塞状况,由其结果来掌握配管清洁时期。
(f)在本实施方式中,在排气特性调节工序中,根据需要,输出表示需要排气部的维护这一内容的维护数据、或表示接近排气部的维护时期这一内容的维护时期数据中的至少一者,并对装置操作员进行警报报告。由此,能够避免超过必要地重复排气调节阀228的阀开度的调节,从而抑制半导体器件的制造工序的生产率降低。另外,能够按照警报报告进行维护,即便是产生了泵性能的降低、配管堵塞等原因的情况下,也能够通过维护而迅速且适当地将上述原因排除。
(g)如在本实施方式中说明的那样,在排气特性调节工序中,若获取作为第二数据的替代的第三数据,则能够缩短用于上述数据获取的测定时间,能够抑制半导体器件的制造生产率降低。
<另一实施方式>
以上,具体说明了本发明的一个实施方式,但本发明不限于上述的实施方式,在不脱离其主旨的范围内能够进行各种变更。
在上述实施方式中,作为在衬底处理工序中进行的处理,举出了在晶片面上形成SiO膜的、CVD处理等成膜处理作为例子,但本发明不限于此。即,本发明除了在上述实施方式中作为例子举出的成膜处理以外,还能够应用于在上述实施方式中例示的薄膜以外的成膜处理。另外,无论衬底处理的具体内容如何,不仅是在成膜处理中,在进行热处理(退火处理)、等离子体处理、扩散处理、氧化处理、氮化处理、光刻处理等其他衬底处理的情况中也能应用。
<本发明的优选方式>
以下,附记本发明的优选方式。
[附记1]
根据本发明的一个方式,提供一种半导体器件的制造方法,其具有:
向处理室供给非活性气体的工序,
将所述处理室内的气氛从排气部排气的工序,
获取作为基准数据的第一数据的第一数据获取工序,其中,所述基准数据是关于所述处理室内或所述排气部的任一者的压力与所述非活性气体的流量之间的关系的数据,
向收容有衬底的状态的所述处理室内供给处理气体从而对所述衬底进行处理的工序,和
排气特性调节工序,对设置于所述排气部的排气调节部的阀开度进行调节;其中,
所述排气特性调节工序具有:
获取作为实测数据的第二数据的第二数据获取工序,其中,在将所述排气调节部的阀开度设定为规定值的状态下,一边改变所述非活性气体的流量一边测定所述处理室内或所述排气部的任一者的压力,所述实测数据是关于该压力与所述非活性气体流量之间的关系的数据,
将所述第二数据与所述第一数据对比并求出各自之间的差异数据,对所述差异数据是否处于规定范围内进行判定的工序,和
当所述差异数据不处于所述规定范围内时,变更所述排气调节部的阀开度的工序。
[附记2]
提供附记1中记载的半导体器件的制造方法,优选的是,其中,
重复所述第二数据获取工序、所述进行判定的工序及所述变更的工序,直至所述差异数据落入所述规定范围内。
[附记3]
提供附记2中记载的半导体器件的制造方法,优选的是,具有:
若所述差异数据未落入所述规定范围内的次数大于规定次数,则输出表示需要所述排气部的维护这一内容的维护数据的工序。
[附记4]
提供附记2或3中记载的半导体器件的制造方法,优选的是,具有:
若以使得所述差异数据落入所述规定范围内的方式变更所述排气调节部的阀开度的频率大于规定频率,则输出表示接近所述排气部的维护时期这一内容的维护时期数据。
[附记5]
提供附记1至4中任一项记载的半导体器件的制造方法,优选的是,
在将处理所述衬底的工序进行规定次数后进行所述排气特性调节工序。
[附记6]
通过本发明的另一个方式,提供通过计算机使衬底处理装置执行下述步骤的程序,所述步骤为:
向处理室供给非活性气体的步骤,
将所述处理室内的气氛从排气部排气的步骤,
获取作为基准数据的第一数据的第一数据获取步骤,其中,所述基准数据是关于所述处理室内或所述排气部的任一者的压力与所述非活性气体的流量之间的关系的数据,
向收容有衬底的状态的所述处理室内供给处理气体从而对所述衬底进行处理的步骤,和
排气特性调节步骤,对设置于所述排气部的排气调节部的阀开度进行调节;其中,
在所述排气特性调节步骤中,所述程序通过所述计算机使所述衬底处理装置执行下述步骤:
获取作为实测数据的第二数据的第二数据获取步骤,其中,在将所述排气调节部的阀开度设定为规定值的状态下,一边改变所述非活性气体的流量一边测定所述处理室内或所述排气部的任一者的压力,所述实测数据是关于该压力与所述非活性气体流量之间的关系的数据,
将所述第二数据与所述第一数据对比并求出各自之间的差异数据,对所述差异数据是否处于规定范围内进行判定的步骤,和
当所述差异数据不处于所述规定范围内时,变更所述排气调节部的阀开度的步骤。
[附记7]
根据本发明的又一个方式,提供存储有下述程序的计算机可读取的记录介质,所述程序通过计算机使衬底处理装置执行下述步骤,所述步骤为:
向处理室供给非活性气体的步骤,
将所述处理室内的气氛从排气部排气的步骤,
获取作为基准数据的第一数据的第一数据获取步骤,其中,所述基准数据是关于所述处理室内或所述排气部的任一者的压力与所述非活性气体的流量之间的关系的数据,
向收容有衬底的状态的所述处理室内供给处理气体从而对所述衬底进行处理的步骤,和
排气特性调节步骤,对设置于所述排气部的排气调节部的阀开度进行调节;其中,
在所述排气特性调节步骤中,所述程序通过所述计算机使所述衬底处理装置执行下述步骤:
获取作为实测数据的第二数据的第二数据获取步骤,其中,在将所述排气调节部的阀开度设定为规定值的状态下,一边改变所述非活性气体的流量一边测定所述处理室内或所述排气部的任一者的压力,所述实测数据是关于该压力与所述非活性气体流量之间的关系的数据,
将所述第二数据与所述第一数据对比并求出各自之间的差异数据,对所述差异数据是否处于规定范围内进行判定的步骤,和
当所述差异数据不处于所述规定范围内时,变更所述排气调节部的阀开度的步骤。
[附记8]
根据本发明的又一个方式,提供衬底处理装置,具有:
收容衬底的处理室,
向所述处理室供给处理气体的处理气体供给部,
向所述处理室供给非活性气体的非活性气体供给部,
排气部,其将所述处理室内的气氛排气,并且具有使该排气时的阀开度可变的排气调节部,
对所述处理室内或所述排气部的任一者的压力进行测定的压力测定部,
获取作为基准数据的第一数据的第一数据获取部,所述基准数据是关于所述处理室内或所述排气部的任一者的压力与所述非活性气体的流量之间的关系的数据,和
排气特性调节部,其能够对所述排气部所具有的所述排气调节部的阀开度进行调节;其中,
所述排气特性调节部具有:
获取作为实测数据的第二数据的第二数据获取部,其中,在将所述排气调节部的阀开度设定为规定值的状态下,一边改变所述非活性气体供给部供给的非活性气体的流量一边利用所述压力测定部测定所述处理室内或所述排气部的任一者的压力,所述实测数据是关于该压力与所述非活性气体流量之间的关系的数据,和
调节控制部,其将所述第二数据与所述第一数据对比并求出各自之间的差异数据,对所述差异数据是否处于规定范围内进行判定,当所述差异数据不处于所述规定范围内时,变更所述排气调节部的阀开度。

Claims (18)

1.半导体器件的制造方法,其具有:
向处理室供给非活性气体的工序,
将所述处理室内的气氛从排气部排气的工序,
获取作为基准数据的第一数据的第一数据获取工序,其中,所述基准数据是关于所述处理室内或所述排气部的任一者的压力与所述非活性气体的流量之间的关系的数据,
向收容有衬底的状态的所述处理室内供给处理气体从而对所述衬底进行处理的工序,和
排气特性调节工序,对设置于所述排气部的排气调节部的阀开度进行调节;其中,
所述排气特性调节工序具有:
获取作为实测数据的第二数据的第二数据获取工序,其中,在将所述排气调节部的阀开度设定为规定值的状态下,一边改变所述非活性气体的流量一边测定所述处理室内或所述排气部的任一者的压力,所述实测数据是关于该压力与所述非活性气体流量之间的关系的数据,
将所述第二数据与所述第一数据对比并求出各自之间的差异数据,对所述差异数据是否处于规定范围内进行判定的工序,和
当所述差异数据不处于所述规定范围内时,变更所述排气调节部的阀开度的工序。
2.根据权利要求1所述的半导体器件的制造方法,其中,
重复所述第二数据获取工序、所述进行判定的工序及所述变更的工序,直至所述差异数据落入所述规定范围内。
3.根据权利要求2所述的半导体器件的制造方法,具有:
若所述差异数据未落入所述规定范围内的次数大于规定次数,则输出维护数据的工序,所述维护数据报告所述排气部的维护开始请求。
4.根据权利要求2所述的半导体器件的制造方法,具有:
若以使得所述差异数据落入所述规定范围内的方式变更所述排气调节部的阀开度的频率大于规定频率,则输出维护时期数据的工序,所述维护时期数据报告接近所述排气部的维护时期这一情况。
5.根据权利要求3所述的半导体器件的制造方法,具有:
若以使得所述差异数据落入所述规定范围内的方式变更所述排气调节部的阀开度的频率大于规定频率,则输出维护时期数据的工序,所述维护时期数据表示接近所述排气部的维护时期这一内容。
6.根据权利要求1所述的半导体器件的制造方法,其中,
在将处理所述衬底的工序进行规定次数后进行所述排气特性调节工序。
7.根据权利要求2所述的半导体器件的制造方法,其中,
在将处理所述衬底的工序进行规定次数后进行所述排气特性调节工序。
8.根据权利要求3所述的半导体器件的制造方法,其中,
在将处理所述衬底的工序进行规定次数后进行所述排气特性调节工序。
9.根据权利要求4所述的半导体器件的制造方法,其中,
在将处理所述衬底的工序进行规定次数后进行所述排气特性调节工序。
10.根据权利要求5所述的半导体器件的制造方法,其中,
在将处理所述衬底的工序进行规定次数后进行所述排气特性调节工序。
11.计算机可读取的记录介质,存储有通过所述计算机使衬底处理装置执行下述步骤的程序,所述步骤为:
向处理室供给非活性气体的步骤,
将所述处理室内的气氛从排气部排气的步骤,
获取作为基准数据的第一数据的第一数据获取步骤,其中,所述基准数据是关于所述处理室内或所述排气部的任一者的压力与所述非活性气体的流量之间的关系的数据,
向收容有衬底的状态的所述处理室内供给处理气体从而对所述衬底进行处理的步骤,和
排气特性调节步骤,对设置于所述排气部的排气调节部的阀开度进行调节;其中,
在所述排气特性调节步骤中,所述程序通过所述计算机使所述衬底处理装置执行下述步骤:
获取作为实测数据的第二数据的第二数据获取步骤,其中,在将所述排气调节部的阀开度设定为规定值的状态下,一边改变所述非活性气体的流量一边测定所述处理室内或所述排气部的任一者的压力,所述实测数据是关于该压力与所述非活性气体流量之间的关系的数据,
将所述第二数据与所述第一数据对比并求出各自之间的差异数据,对所述差异数据是否处于规定范围内进行判定的步骤,和
当所述差异数据不处于所述规定范围内时,变更所述排气调节部的阀开度的步骤。
12.根据权利要求11所述的计算机可读取的记录介质,其中,
重复所述第二数据获取步骤、所述进行判定的步骤及所述变更的步骤,直至所述差异数据落入所述规定范围内。
13.根据权利要求12所述的计算机可读取的记录介质,具有:
若所述差异数据未落入所述规定范围内的次数大于规定次数,则输出维护数据的步骤,所述维护数据报告所述排气部的维护开始请求。
14.根据权利要求12所述的计算机可读取的记录介质,具有:
若以使得所述差异数据落入所述规定范围内的方式变更所述排气调节部的阀开度的频率大于规定频率,则输出维护时期数据的步骤,所述维护时期数据报告接近所述排气部的维护时期这一情况。
15.衬底处理装置,具有:
收容衬底的处理室,
向所述处理室供给处理气体的处理气体供给部,
向所述处理室供给非活性气体的非活性气体供给部,
排气部,其将所述处理室内的气氛排气,并且具有使该排气时的阀开度可变的排气调节部,
对所述处理室内或所述排气部的任一者的压力进行测定的压力测定部,
获取作为基准数据的第一数据的第一数据获取部,所述基准数据是关于所述处理室内或所述排气部的任一者的压力与所述非活性气体的流量之间的关系的数据,和
排气特性调节部,其能够对所述排气部所具有的所述排气调节部的阀开度进行调节;其中,
所述排气特性调节部具有:
获取作为实测数据的第二数据的第二数据获取部,其中,在将所述排气调节部的阀开度设定为规定值的状态下,一边改变所述非活性气体供给部供给的非活性气体的流量一边利用所述压力测定部测定所述处理室内或所述排气部的任一者的压力,所述实测数据是关于该压力与所述非活性气体流量之间的关系的数据,和
调节控制部,其将所述第二数据与所述第一数据对比并求出各自之间的差异数据,对所述差异数据是否处于规定范围内进行判定,当所述差异数据不处于所述规定范围内时,变更所述排气调节部的阀开度。
16.根据权利要求15所述的衬底处理装置,其中,
所述调节控制部构成为:重复所述第二数据的获取、所述判定、和所述变更,直至所述差异数据落入所述规定范围内。
17.根据权利要求16所述的衬底处理装置,其中,
所述调节控制部构成为:若所述差异数据未落入所述规定范围内的次数大于规定次数,则输出维护数据,所述维护数据报告所述排气部的维护开始请求。
18.根据权利要求16所述的衬底处理装置,其中,
所述调节控制部构成为:若以使得所述差异数据落入所述规定范围内的方式变更所述排气调节部的阀开度的频率大于规定频率,则输出维护时期数据,所述维护时期数据报告接近所述排气部的维护时期这一情况。
CN201810162831.7A 2017-09-22 2018-02-27 半导体器件的制造方法、记录介质及衬底处理装置 Active CN109545701B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-182076 2017-09-22
JP2017182076A JP6613276B2 (ja) 2017-09-22 2017-09-22 半導体装置の製造方法、プログラム、記録媒体および基板処理装置

Publications (2)

Publication Number Publication Date
CN109545701A true CN109545701A (zh) 2019-03-29
CN109545701B CN109545701B (zh) 2022-03-11

Family

ID=65322793

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810162831.7A Active CN109545701B (zh) 2017-09-22 2018-02-27 半导体器件的制造方法、记录介质及衬底处理装置

Country Status (5)

Country Link
US (1) US10211110B1 (zh)
JP (1) JP6613276B2 (zh)
KR (1) KR101971391B1 (zh)
CN (1) CN109545701B (zh)
TW (1) TWI683344B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111398838A (zh) * 2020-03-19 2020-07-10 湖北亿纬动力有限公司 一种动力电池的状态数据记录方法、装置、***及介质
CN112048712A (zh) * 2019-06-06 2020-12-08 皮考逊公司 反应器组件、涂覆方法、涂覆物品及其用途
CN115332033A (zh) * 2021-05-10 2022-11-11 中国科学院微电子研究所 一种刻蚀流程控制方法及装置
CN115354312A (zh) * 2022-07-29 2022-11-18 北京北方华创微电子装备有限公司 一种特种气体安全控制方法和半导体工艺设备

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11060190B2 (en) * 2018-03-29 2021-07-13 Kokusai Electric Corporation Substrate processing apparatus and control system
US11535931B2 (en) * 2018-06-26 2022-12-27 Kokusai Electric Corporation Method of manufacturing semiconductor device, method of managing parts, and recording medium
JP7055173B2 (ja) * 2019-08-06 2022-04-15 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理プログラム
KR20220097911A (ko) 2019-11-04 2022-07-08 도쿄엘렉트론가부시키가이샤 분배 시스템을 모니터링하고, 제어하고, 동기화하는 방법 및 시스템
JP7365749B2 (ja) * 2019-12-12 2023-10-20 Ckd株式会社 真空圧力制御システム
JP2021100017A (ja) * 2019-12-20 2021-07-01 株式会社Kokusai Electric 基板処理装置、基板処理システム、半導体装置の製造方法、プログラムおよび記録媒体
CN117121157A (zh) 2022-03-23 2023-11-24 株式会社日立高新技术 诊断装置、半导体制造装置***、半导体装置制造***以及诊断方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006066855A (ja) * 2004-07-30 2006-03-09 Tokyo Electron Ltd プラズマエッチング装置
CN101981668A (zh) * 2008-03-26 2011-02-23 东京毅力科创株式会社 处理腔室的排气流量的控制方法以及处理装置
JP2011044446A (ja) * 2009-08-19 2011-03-03 Tokyo Electron Ltd 圧力制御機器、圧力制御方法および基板処理装置
US20120253724A1 (en) * 2011-04-01 2012-10-04 Hitachi Kokusai Electric Inc. Management device
US20130252439A1 (en) * 2012-03-21 2013-09-26 Hitachi Kokusai Electric Inc. Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
CN106032572A (zh) * 2015-02-12 2016-10-19 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
KR20170007123A (ko) * 2015-07-08 2017-01-18 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 장치에 있어서의 배기관의 막힘 검출 방법
CN107154370A (zh) * 2016-03-02 2017-09-12 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05326421A (ja) * 1992-05-20 1993-12-10 Fujitsu Ltd 成膜方法
JPH0985076A (ja) 1995-09-28 1997-03-31 Kokusai Electric Co Ltd 真空容器排気制御装置
JP2006303414A (ja) 2005-03-23 2006-11-02 Hitachi Kokusai Electric Inc 基板処理システム
JP4288297B1 (ja) * 2008-01-09 2009-07-01 三菱重工業株式会社 圧力制御装置および圧力制御方法
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006066855A (ja) * 2004-07-30 2006-03-09 Tokyo Electron Ltd プラズマエッチング装置
CN101981668A (zh) * 2008-03-26 2011-02-23 东京毅力科创株式会社 处理腔室的排气流量的控制方法以及处理装置
JP2011044446A (ja) * 2009-08-19 2011-03-03 Tokyo Electron Ltd 圧力制御機器、圧力制御方法および基板処理装置
US20120253724A1 (en) * 2011-04-01 2012-10-04 Hitachi Kokusai Electric Inc. Management device
US20130252439A1 (en) * 2012-03-21 2013-09-26 Hitachi Kokusai Electric Inc. Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
CN106032572A (zh) * 2015-02-12 2016-10-19 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
KR20170007123A (ko) * 2015-07-08 2017-01-18 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 장치에 있어서의 배기관의 막힘 검출 방법
CN107154370A (zh) * 2016-03-02 2017-09-12 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112048712A (zh) * 2019-06-06 2020-12-08 皮考逊公司 反应器组件、涂覆方法、涂覆物品及其用途
CN111398838A (zh) * 2020-03-19 2020-07-10 湖北亿纬动力有限公司 一种动力电池的状态数据记录方法、装置、***及介质
CN111398838B (zh) * 2020-03-19 2022-05-17 湖北亿纬动力有限公司 一种动力电池的状态数据记录方法、装置、***及介质
CN115332033A (zh) * 2021-05-10 2022-11-11 中国科学院微电子研究所 一种刻蚀流程控制方法及装置
CN115354312A (zh) * 2022-07-29 2022-11-18 北京北方华创微电子装备有限公司 一种特种气体安全控制方法和半导体工艺设备
CN115354312B (zh) * 2022-07-29 2023-10-13 北京北方华创微电子装备有限公司 一种特种气体安全控制方法和半导体工艺设备

Also Published As

Publication number Publication date
JP6613276B2 (ja) 2019-11-27
KR101971391B1 (ko) 2019-04-22
TW201919093A (zh) 2019-05-16
US10211110B1 (en) 2019-02-19
JP2019057667A (ja) 2019-04-11
CN109545701B (zh) 2022-03-11
TWI683344B (zh) 2020-01-21
KR20190034054A (ko) 2019-04-01

Similar Documents

Publication Publication Date Title
CN109545701A (zh) 半导体器件的制造方法、记录介质及衬底处理装置
US20230016879A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
TWI524422B (zh) A substrate processing system, a manufacturing method of a semiconductor device, and a recording medium
US10655218B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
TWI567224B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
CN105869979B (zh) 衬底处理装置、气体整流部、半导体器件的制造方法
US7758920B2 (en) Method and apparatus for forming silicon-containing insulating film
TWI710051B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
CN106558516A (zh) 衬底处理装置及半导体器件的制造方法
JP6568127B2 (ja) 半導体装置の製造方法、プログラム及び記録媒体
JP5963893B2 (ja) 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
US20140295675A1 (en) Silicon oxide film forming method and silicon oxide film forming apparatus
KR102111210B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록매체
JP2021019142A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6891252B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
KR102536220B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록매체
JP2019125805A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
US20230395378A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20220301852A1 (en) Method of manufacturing semiconductor device, method of processing substrate, recording medium, and substrate processing apparatus
US20230257873A1 (en) Method of processing substrate, recording medium, substrate processing apparatus, and method of manufacturing semiconductor device
US20240087946A1 (en) Substrate processing apparatus, method of cleaning, method of manufacturing semiconductor device, and recording medium
US20230279551A1 (en) Raw material supply system, substrate processing apparatus, and method of manufacturing semiconductor device
TW202343625A (zh) 流體供給系統、基板處理裝置及半導體裝置之製造方法暨程式

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant