CN108597983A - 利用催化剂控制在氧化硅上选择性沉积氮化硅 - Google Patents

利用催化剂控制在氧化硅上选择性沉积氮化硅 Download PDF

Info

Publication number
CN108597983A
CN108597983A CN201810189335.0A CN201810189335A CN108597983A CN 108597983 A CN108597983 A CN 108597983A CN 201810189335 A CN201810189335 A CN 201810189335A CN 108597983 A CN108597983 A CN 108597983A
Authority
CN
China
Prior art keywords
substrate
hydrazine
gas
exposure
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810189335.0A
Other languages
English (en)
Other versions
CN108597983B (zh
Inventor
大卫·查尔斯·史密斯
丹尼斯·M·豪斯曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108597983A publication Critical patent/CN108597983A/zh
Application granted granted Critical
Publication of CN108597983B publication Critical patent/CN108597983B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明利用催化剂控制在氧化硅上选择性沉积氮化硅。本文提供了用于相对于暴露的硅表面在衬底的暴露的氧化硅表面上选择性沉积氮化硅的方法和装置。技术涉及向衬底提供三甲基铝以在暴露的氧化硅表面上形成含铝部分,并且使用交替脉冲的氨基硅烷和肼通过热原子层沉积在表面上选择性地沉积氮化硅,相对于暴露的硅表面所述热原子层沉积在暴露的氧化硅表面上由含铝部分催化。另外的技术涉及向暴露的氧化硅表面提供含过渡金属的气体以形成含过渡金属部分,所述含过渡金属部分在使用交替脉冲的氨基硅烷和肼来热原子层沉积氮化硅期间充当催化剂。

Description

利用催化剂控制在氧化硅上选择性沉积氮化硅
技术领域
本发明总体上涉及半导体领域,具体涉及利用催化剂控制在氧化硅上选择性沉积氮化硅。
背景技术
半导体器件制造可能涉及氮化硅膜的沉积。氮化硅薄膜具有独特的物理的、化学的和机械的性能,因此被用于各种应用中。例如,氮化硅膜可用于扩散阻挡层、栅极绝缘体、侧壁隔离层、封装层、晶体管中的应变膜等等。沉积氮化硅膜的传统方法对电介质材料不具选择性。
发明内容
本发明提供了用于处理半导体衬底的方法和装置。一个方面涉及一种在衬底的暴露的氧化硅表面上选择性地沉积氮化硅的方法,所述方法包括:提供具有所述暴露的氧化硅表面和暴露的硅表面的所述衬底;将所述衬底暴露于三甲基铝以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成含铝部分;以及执行一个或多个热原子层沉积循环,每个循环包括在不点燃等离子体的情况下将所述衬底暴露于氨基硅烷前体并将所述衬底暴露于肼,以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成氮化硅,其中所述肼具有以下化学结构:
并且其中R3、R4、R5和R6各自为氢或烷基。
在多种实施方式中,所述方法还包括周期性地每20至40个所述热原子层沉积循环将所述衬底暴露于所述三甲基铝。
在一些实施方式中,在一个或多个热原子层沉积循环期间,衬底位于设定为介于约25℃和约400℃之间的温度的基座上。在一些实施方式中,温度介于约200℃和约300℃之间。
在一些实施方式中,在一个或多个热原子层沉积循环期间,衬底设置在具有介于约10毫托(mTorr)和约10托(Torr)之间的室压强的室中。在多种实施方式中,室压强介于约1托和约3托之间。
在多种实施方式中,将所述衬底暴露于所述三甲基铝包括使所述三甲基铝以介于约100sccm和约10,000sccm之间的流率流入容纳所述衬底的室。
在一些实施方式中,所述肼是叔丁基肼(t-butylhydrazine)和四甲基肼中的任何一种。
在多种实施方式中,所述氨基硅烷具有化学式
其中x是介于1和3之间且包括1和3的整数,x+y=4并且R1和R2中的每一个是氢或烷基。在一些实施方式中,所述氨基硅烷是单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷、N'N'-二甲基硅烷二胺及其组合中的任何一种。
在多种实施方式中,所述衬底设置在室中,并且每个循环还包括在不点燃等离子体的情况下在将所述衬底暴露于所述氨基硅烷前体与将所述衬底暴露于所述肼之间清扫所述室。
在一些实施方式中,在所述将所述衬底暴露于所述三甲基铝期间,将所述衬底布置在具有介于约10毫托和约10托之间的室压强的室中。
在多种实施方式中,在所述将所述衬底暴露于所述三甲基铝期间,所述衬底位于设定为介于约25℃和约400℃之间的温度的基座上。
在多种实施方式中,所述衬底设置在室中,并且在所述将所述衬底暴露于所述三甲基铝期间的室压强与在所述一个或多个热原子层沉积循环期间的室压强相同。
在多种实施方式中,所述衬底位于基座上,所述基座在所述将所述衬底暴露于所述三甲基铝期间具有与在所述一个或多个热原子层沉积循环期间的温度相同的温度。
在多种实施方式中,述暴露的氧化硅表面包含羟端基。
在多种实施方式中,将所述衬底暴露于所述三甲基铝是在没有点燃等离子体的情况下进行的。
另一个方面涉及一种在衬底的暴露的氧化硅表面上选择性地沉积氮化硅的方法,所述方法包括:提供具有所述暴露的氧化硅表面和暴露的硅表面的所述衬底;将所述衬底暴露于含过渡金属的反应物以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成含过渡金属部分;以及执行一个或多个热原子层沉积循环,每个循环包括在不点燃等离子体的情况下将所述衬底暴露于氨基硅烷前体并将所述衬底暴露于肼,以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成氮化硅,其中所述肼具有以下化学结构:
其中R3、R4、R5和R6各自为氢或烷基。
所述过渡金属可以是包括但不限于钛和镍的任何合适的过渡金属。
在一些实施方式中,所述方法还包括周期性地每20至40个所述热原子层沉积反应循环将所述衬底暴露于所述含过渡金属的反应物。
在一些实施方式中,所述含过渡金属的反应物是强路易斯酸。
在所述一个或多个热原子层沉积循环期间,所述衬底可以位于设定为介于约25℃和约400℃之间的温度的基座上。在一些实施方式中,温度介于约200℃和约300℃之间。
在一些实施方式中,在所述一个或多个热原子层沉积循环期间,将所述衬底布置在具有介于约10毫托和约10托之间的室压强的室中。在多种实施方式中,室压强介于约1托和约3托之间。
将所述衬底暴露于所述含过渡金属的反应物可以包括使所述含过渡金属的反应物以介于约100sccm和约10,000sccm之间的流率流入容纳所述衬底的室。
在多种实施方式中,所述肼是叔丁基肼和四甲基肼中的任何一种。
在多种实施方式中,所述氨基硅烷具有化学式
其中x是介于1和3之间且包括1和3的整数,x+y=4并且R1和R2中的每一个是氢或烷基。在一些实施方式中,所述氨基硅烷是单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷、N'N'-二甲基硅烷二胺及其组合中的任何一种。
在多种实施方式中,所述衬底设置在室中,并且每个循环还包括在不点燃等离子体的情况下将所述衬底暴露于所述氨基硅烷前体与将所述衬底暴露于所述肼之间清扫所述室。
在一些实施方式中,在所述将所述衬底暴露于所述三甲基铝期间,将所述衬底布置在具有介于约10毫托和约10托之间的室压强的室中。
在多种实施方式中,在所述将所述衬底暴露于所述三甲基铝期间,所述衬底位于设定为介于约25℃和约400℃之间的温度的基座上。
在多种实施方式中,所述衬底设置在室中,并且在所述将所述衬底暴露于所述三甲基铝期间的室压强与在所述一个或多个热原子层沉积循环期间的室压强相同。
在多种实施方式中,所述衬底位于基座上,所述基座在所述将所述衬底暴露于所述三甲基铝期间具有与在所述一个或多个热原子层沉积循环期间的温度相同的温度。
在多种实施方式中,述暴露的氧化硅表面包含羟端基。
在多种实施方式中,将所述衬底暴露于所述三甲基铝是在没有点燃等离子体的情况下进行的。
另一方面涉及一种用于处理半导体衬底的装置,所述装置包括:至少一个处理室,其包括用于保持衬底的基座;用于耦合至真空的至少一个出口;与一个或多个三甲基铝气体源耦合的一个或多个处理气体入口;与一个或多个氨基硅烷气体源耦合的一个或多个处理气体入口;与一个或多个肼气体源耦合的一个或多个处理气体入口;和用于控制所述装置中的操作的控制器,所述控制器包括用于以下操作的机器可读指令:将三甲基铝引入衬底以使氧化硅表面改性,从而在氧化硅表面上形成含铝部分;以及将交替脉冲的氨基硅烷气体和肼气体引入以相对于所述衬底上的硅表面通过热原子层沉积在所述氧化硅表面上选择性地形成氮化硅。
具体而言,本发明的一些方面可以阐述如下:
1.一种在衬底的暴露的氧化硅表面上选择性地沉积氮化硅的方法,所述方法包括:
提供具有所述暴露的氧化硅表面和暴露的硅表面的所述衬底;
将所述衬底暴露于三甲基铝以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成含铝部分;以及
执行一个或多个热原子层沉积循环,每个循环包括在不点燃等离子体的情况下将所述衬底暴露于氨基硅烷前体并将所述衬底暴露于肼,以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成氮化硅,其中所述肼具有以下化学结构:
其中R3、R4、R5和R6各自为氢或烷基。
2.根据条款1所述的方法,其还包括周期性地每20至40个所述热原子层沉积循环将所述衬底暴露于所述三甲基铝。
3.根据条款1所述的方法,其中将所述衬底暴露于所述三甲基铝包括使所述三甲基铝以介于约100sccm和约10,000sccm之间的流率流入容纳所述衬底的室。
4.根据条款1所述的方法,其中所述肼选自叔丁基肼和四甲基肼。
5.根据条款1所述的方法,其中所述将所述衬底暴露于所述三甲基铝是在没有点燃等离子体的情况下进行的。
6.根据条款1所述的方法,其中,在所述将所述衬底暴露于所述三甲基铝期间,将所述衬底布置在具有介于约10毫托和约10托之间的室压强的室中。
7.根据条款1所述的方法,其中,在所述将所述衬底暴露于所述三甲基铝期间,所述衬底位于设定为介于约25℃和约400℃之间的温度的基座上。
8.根据条款1所述的方法,其中所述暴露的氧化硅表面包含羟端基。
9.根据条款1-8中任一项所述的方法,其中所述氨基硅烷具有化学式
并且其中x是介于1和3之间且包括1和3的整数,x+y=4并且R1和R2中的每一个是氢或烷基。
10.根据条款9所述的方法,其中所述氨基硅烷选自由单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷、N'N'-二甲基硅烷二胺及其组合组成的组。
11.根据条款1-8中任一项所述的方法,其中所述衬底设置在室中,并且每个循环还包括在不点燃等离子体的情况下在将所述衬底暴露于所述氨基硅烷前体与将所述衬底暴露于所述肼之间清扫所述室。
12.根据条款1-8中任一项所述的方法,其中所述衬底设置在室中,并且在所述将所述衬底暴露于所述三甲基铝期间的室压强与在所述一个或多个热原子层沉积循环期间的室压强相同。
13.根据条款1-8中任一项所述的方法,其中,所述衬底位于基座上,所述基座在所述将所述衬底暴露于所述三甲基铝期间具有与在所述一个或多个热原子层沉积循环期间的温度相同的温度。
14.根据条款1-8中任一项所述的方法,其中,在所述一个或多个热原子层沉积循环期间,将所述衬底布置在具有介于约10毫托和约10托之间的室压强的室中。
15.根据条款14所述的方法,其中所述室压强介于约1托和约3托之间。
16.根据条款1-8中任一项所述的方法,其中,在所述一个或多个热原子层沉积循环期间,所述衬底位于设定为介于约25℃和约400℃之间的温度的基座上。
17.根据条款16所述的方法,其中所述温度介于约200℃和约300℃之间。
18.一种在衬底的暴露的氧化硅表面上选择性地沉积氮化硅的方法,所述方法包括:
提供具有所述暴露的氧化硅表面和暴露的硅表面的所述衬底;
将所述衬底暴露于含过渡金属的反应物以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成含过渡金属部分;以及
执行一个或多个热原子层沉积循环,每个循环包括在不点燃等离子体的情况下将所述衬底暴露于氨基硅烷前体并将所述衬底暴露于肼,以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成氮化硅,其中所述肼具有以下化学结构:
其中R3、R4、R5和R6各自为氢或烷基。
19.根据条款18所述的方法,其中所述含过渡金属的反应物包含选自由钛和镍组成的组的过渡金属。
20.根据条款18所述的方法,其中所述含过渡金属的反应物是强路易斯酸。
21.根据条款18-20中任一项所述的方法,其还包括周期性地每20至40个所述热原子层沉积循环将所述衬底暴露于所述含过渡金属的反应物。
22.根据条款18-20中任一项所述的方法,其中,在所述一个或多个热原子层沉积循环期间,所述衬底位于设定为介于约25℃和约400℃之间的温度的基座上。
23.根据条款18-20中任一项所述的方法,其中,在所述一个或多个热原子层沉积循环期间,将所述衬底布置在具有介于约10毫托和约10托之间的室压强的室中。
24.根据条款18-20中任一项所述的方法,其中将所述衬底暴露于所述含过渡金属的反应物包括使所述含过渡金属的反应物以介于约100sccm和约10,000sccm之间的流率流入容纳所述衬底的室。
25.根据条款18-20中任一项所述的方法,其中所述肼是叔丁基肼。
26.根据条款18-20中任一项所述的方法,其中所述氨基硅烷前体选自由单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷及其组合组成的组。
27.一种用于处理半导体衬底的装置,所述装置包括:
a.至少一个处理室,其包括用于保持衬底的基座;
b.用于耦合至真空的至少一个出口;
c.与一个或多个三甲基铝气体源耦合的一个或多个处理气体入口;
d.与一个或多个氨基硅烷气体源耦合的一个或多个处理气体入口;
e.与一个或多个肼气体源耦合的一个或多个处理气体入口;和
f.用于控制所述装置中的操作的控制器,所述控制器包括用于以下操作的机器可读指令:
致使三甲基铝引入所述至少一个处理室;和
致使循环的交替脉冲的氨基硅烷气体和肼气体引入到所述至少一个处理室,以通过热原子层沉积选择性地形成氮化硅。
28.根据条款27所述的装置,其中所述控制器进一步包括用于致使所述引入的三甲基铝以介于约100sccm和约10,000sccm之间的流率流动的机器可读指令。
29.根据条款27所述的装置,其中所述控制器进一步包括用于致使每20至40个循环的所述交替脉冲的所述氨基硅烷气体和所述肼气体引入所述三甲基铝的机器可读指令。
30.根据条款27-29中任一项所述的装置,其中所述控制器进一步包括用于致使所述至少一个处理室的室压强设定在约10毫托和约10托之间的机器可读指令。
31.根据条款27-29中任一项所述的装置,其中所述控制器还包括用于致使在所述三甲基铝的引入期间的室压强设定为与在所述循环的所述交替脉冲的所述氨基硅烷气体和所述肼气体的引入期间的室压强相同的机器可读指令。
32.根据条款27-29中任一项所述的装置,其中所述基座被加热,并且其中所述控制器还包括用于致使在所述三甲基铝的引入期间所述基座的温度设定为与在所述循环的所述交替脉冲的所述氨基硅烷气体和所述肼气体的引入期间的温度相同的机器可读指令。
33.一种用于处理半导体衬底的装置,所述装置包括:
a.至少一个处理室,其包括用于保持衬底的基座;
b.用于耦合至真空的至少一个出口;
c.与一个或多个含过渡金属的反应物气体源耦合的一个或多个处理气体入口;
d.与一个或多个氨基硅烷气体源耦合的一个或多个处理气体入口;
e.与一个或多个肼气体源耦合的一个或多个处理气体入口;和
f.用于控制所述装置中的操作的控制器,其包括用于以下操作的机器可读指令:
致使含过渡金属的反应物引入所述至少一个处理室;和
致使交替脉冲的氨基硅烷气体和肼气体引入到所述至少一个处理室,以通过热原子层沉积选择性地形成氮化硅。
34.根据条款33所述的装置,其中所述控制器进一步包括用于致使所述引入的含过渡金属的反应物以介于约100sccm和约10,000sccm之间的流率流动的机器可读指令。
35.根据条款33所述的装置,其中所述控制器进一步包括用于致使每20至40个循环的所述交替脉冲的所述氨基硅烷气体和所述肼气体引入所述含过渡金属的反应物的机器可读指令。
36.根据条款33至35中任一项所述的装置,其中所述控制器进一步包括用于致使所述至少一个处理室的室压强设定在约10毫托和约10托之间的机器可读指令。
37.根据条款33-35中任一项所述的装置,其中所述控制器还包括用于致使在所述含过渡金属的反应物的引入期间的室压强设定为与在所述循环的所述交替脉冲的所述氨基硅烷气体和所述肼气体的引入期间的室压强相同的机器可读指令。
38.根据条款33-35中任一项所述的装置,其中所述基座被加热,并且其中所述控制器还包括用于致使在所述含过渡金属的反应物的引入期间所述基座的温度设定为与在所述循环的所述交替脉冲的所述氨基硅烷气体和所述肼气体的引入期间的温度相同的机器可读指令。
这些和其它方面将在下面参照相关附图进行说明。
附图说明
图1是描述根据某些公开的实施方式所述的方法的操作的工艺流程图。
图2A-2G是用于根据某些公开的实施方式描述的进行操作的衬底的示意图。
图3是显示根据某些公开的实施方式所述的方法中的循环的实施例的时序示意图。
图4是用于执行某些公开的实施方式的示例性处理室的示意图。
图5是用于执行某些公开的实施方式的示例性处理工具的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施所公开的实施方式。在其它情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方式。虽然将结合具体的实施方式描述所公开的实施方式,但是应理解的是并不意在限制所公开的实施方式。
半导体制造工艺通常涉及氮化硅材料的沉积。在一个示例中,氮化硅可用于半导体器件制造中作为扩散阻挡层、栅极绝缘体、侧壁间隔层和封装层。保形氮化硅层也可用于其他应用中。例如,在制造存储器结构期间可以使用氮化硅。
用于沉积氮化硅层的常规原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、化学气相沉积(CVD)和等离子体增强化学气相沉积(PECVD)技术通常对电介质材料没有选择性。尽管存在一些技术用于相对于金属选择性地在氧化物材料上沉积金属氧化物,并相对于氧化物选择性地在金属材料上沉积金属氧化物,但是这些技术不足以相对于其他电介质材料选择性地沉积电介质材料。
本文提供了相对于硅在氧化硅上选择性沉积氮化硅的方法。技术涉及将含铝反应物引入衬底以在氧化硅表面上形成含铝部分,其可以由此催化氨基硅烷和肼之间的反应以形成氮化硅而不用点燃等离子体。选择含铝反应物使得其与氧化硅反应,但对硅反应性很小或没有反应性,从而使得催化部分仅能在氧化硅表面上形成。含过渡金属的反应物也可用作含铝反应物的替代物以在氧化硅表面上形成含过渡金属部分。还选择含过渡金属的反应物,使得其与氧化硅反应,但与硅的反应性很小或没有反应性,以使得氮化硅能在氧化硅表面上选择性地形成。一些实施方式还可以包括通过使用含硅前体和氧化反应物来选择性地在氧化硅表面上形成氧化硅,其中使用含铝部分或含过渡金属部分作为用于形成氧化硅的催化剂。
本文描述的技术涉及热原子层沉积(ALD)。也就是说,在多种实施方式中,含硅前体和肼之间的形成氮化硅的反应是在不点燃等离子体的情况下进行的。ALD是一种使用连续的自限性反应沉积薄材料层的技术。通常,ALD循环包括以下操作:输送和吸附至少一种反应物到衬底表面上,然后使被吸附的反应物与一种或多种反应物反应,以形成部分的膜层。举例而言,氮化硅沉积循环可包括以下的操作:(i)输送/吸附氨基硅烷,(ii)从室清扫硅前体,(iii)输送肼气体,和(iv)从室清扫肼气体。
不像化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反应以逐层地沉积膜。在ALD工艺的一个实施例中,包含表面活性位点群的衬底表面暴露于按剂量提供到容纳衬底的室的气相分布的第一前体,如含硅前体。该第一前体的分子被吸附在衬底表面,包含第一前体的化学吸附物质和/或物理吸附分子。应当理解的是,当如本文所述,化合物被吸附到衬底表面时,吸附层可以包含该化合物以及该化合物的衍生物。例如,含硅前体的吸附层可包含含硅前体以及含硅前体的衍生物。在第一前体投配之后,接着将室排空,以去除气相中剩余的第一前体的绝大部分或全部,使得主要或仅仅所吸附的物质剩余。在一些实现方式中,室可以不完全排空。例如,室可以排空,使得在气相中的第一前体的局部压强足够低,以减缓反应。将第二反应物(例如肼)引入到室,使得这些分子中的一些与吸附在表面上的第一前体反应。在一些工艺中,第二反应物与所吸附的第一前体立即反应。然后可将室再次排空以去除未结合的第二反应物分子。如上所述,在一些实施方式中,室可以不被完全排空。附加的ALD循环可被用于构建膜厚。
在某些实施方式中,ALD第一前体的剂量部分地充满(saturate)衬底的表面。在一些实施方式中,在使前体接触衬底以均匀地充满表面之前,结束ALD循环的投配阶段。典型地,在这时将前体流关断或转移,并且仅仅清扫气体流。通过在这种亚饱和状态下工作,ALD工艺减少了循环时间并提高了吞吐量。但是,由于前体吸附不是饱和受限的,因此被吸附的前体浓度在整个衬底表面可以略有变化。在亚饱和状态操作ALD工艺的实施例在2013年10月23日提交的、名称为“SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILMDEPOSITION,”的美国专利申请No.14/061587(现在的美国专利No.9,355,839)中被提供,该专利文件通过引用整体并入本发明。
如所描述的,在一些实现方式中,所述ALD方法包含等离子体活化。如本文所述,本文所述的ALD方法和装置可以是共形膜沉积(CFD)法,其概括地描述在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084399(现在的美国专利No.8728956)和2011年4月11日提交的名称为“SILICON NITRIDEFILMS AND METHODS”的美国专利申请No.13/084305中,这些专利文件通过引用整体并入本文。
图1是描绘根据某些公开实施方式执行的方法的示例性操作的工艺流程图。在操作102中,将具有暴露的硅表面和暴露的氧化硅表面的衬底提供到处理室。在各种实施方式中,硅表面可以是多晶硅或非晶硅表面。
处理室可以被设定为介于约10毫托(mTorr)与约10托(Torr)之间或约1托与约3托之间的室压强。如本文所述,可以在整个操作102-118中使用这样的室压强。衬底可被加热到介于约25℃与约400℃之间,或介于约200℃与约300℃之间的衬底温度。应该理解的是,如本文所使用的衬底温度是指在保持衬底的基座上所设定的温度,并且在一些实施方式中,在衬底被提供到处理室的基座上时在处理衬底前衬底可以被加热到所期望的衬底温度。如本文所述,在整个操作102-118中,衬底温度可以相同。
所述衬底可以是硅晶片,例如,200mm的晶片,300mm的晶片或450mm的晶片,包括具有一个或更多个材料层的晶片,该材料例如沉积在该晶片上的电介质、导电材料或半导电材料。下层的非限制性实施例包括介电层和导电层,例如,硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物和金属层。在一些实施方式中,衬底包括氧化硅和硅,如图2A所示。
图2A示出了具有暴露的氧化硅表面203和暴露的硅表面202的衬底200。氧化硅表面包括羟基端基,其可以由环境空气(H2O和O2)或者由例如在H2O中的1%氢氟酸(HF)之类的温和的蚀刻剂形成。氧化硅上的羟基端基也可以因为用于形成氧化硅203材料的技术而形成。例如,当使用气相法(如化学气相沉积(CVD)或原子层沉积(ALD))沉积氧化硅时,可以形成羟基封端表面。暴露的硅表面202可以具有一些Si-H键。在一些实施方式中,在图1的操作104中,衬底可以任选地暴露于氢,使得图2B中的氢204氢化暴露的硅表面202。在多种实施方式中,该操作是任选的,因为随后讨论的用于选择性沉积硅氮化物的机制可能不依赖于暴露的硅表面上的完全氢化的硅原子的存在。
返回图1,在操作106中,将衬底暴露于三甲基铝以在氧化硅表面上形成含铝部分。该操作在不点燃等离子体的情况下执行。在多种实施方案中,代替三甲基铝,可以使用另一种含铝气体以在氧化硅表面上形成含铝部分。在多种实施方式中,代替用于形成含铝部分的含铝气体,使用含过渡金属的反应物或气体在氧化硅表面的表面上形成含过渡金属部分。过渡金属可以是任何合适的过渡金属。一些示例包括但不限于钛和镍。例如,在一些实施方式中,可以使用含钛气体在氧化硅表面上形成含钛部分。含钛气体的示例包括氯化钛(例如TiCl4)和钛醇盐,例如异丙醇钛(例如Ti(OCH(CH3)2)4)。在多种实施方式中,在操作106期间使用强路易斯酸(Lewis acid)以在氧化硅表面上形成所述部分。例如,在一些实施方式中,可使用四(二甲基氨基)钛(Ti(N(CH3)2)4)以在氧化硅表面上形成所述部分。氢化物在操作106期间不会使用,因为它们与衬底表面的反应性太强。例如,氢化铝可能不是用于在氧化硅表面上形成含铝部分的合适的含铝气体。
在一些实施方式中,惰性气体可以在操作106期间流动。在一些实施方式中,惰性气体在将三甲基铝输送到衬底中时充当载气。在一些实施方式中,在将三甲基铝输送到容纳衬底的处理室之前,载气可以被转移。可以使用的示例性的惰性气体包括氩气、氦气和氖气。在一些实施方式中,惰性气体可以被提供以辅助处理室的压强和/或温度控制、液体反应物的蒸发、反应物的更快速输送和/或作为用于从处理室和/或处理室管道中去除处理气体的清扫气体。
在图2C中,三甲基铝被引入到衬底。如图2D所示,三甲基铝与暴露的氧化硅表面203的Si-OH键选择性反应以在表面上形成含铝部分,使得暴露的氧化硅表面203现在在改性的氧化硅表面213上包含Si-OAlMe2。由于三甲基铝不容易与硅表面的Si-H封端表面反应,所以硅表面202保持未反应。仅在三甲基铝的高于300℃的热分解温度或高于该温度时,三甲基铝才会与Si-H封端表面反应。因此,本文描述的实施方式可以在约200℃和约300℃之间的温度下进行。
回到图1,在操作108中,将衬底暴露于可吸附到衬底表面上的氨基硅烷前体。注意,操作108-114可以构成ALD循环,如本文别处所述。
这里所指的氨基硅烷包括氨基硅烷,如双(叔丁基)氨基硅烷和甲硅烷基胺,如三甲硅烷基胺。在一些实施方式中,氨基硅烷分子可以吸附到氧化硅表面和硅表面两者上,但是如下面参照操作112所描述的,氧化硅选择性地形成在氧化硅表面上而不是氮化硅表面上,这是由于在氧化硅表面上存在用作形成氮化硅的催化剂的包含铝部分或含过渡金属部分。在一些实施方式中,在衬底表面上的吸附可以在衬底表面上形成氨基硅烷的薄层。该薄层可以小于单层,并且可以具有介于约0.2埃与约之间的厚度。
操作106中使用的氨基硅烷具有如下的化学式:
其中x是介于1和3之间且包括1和3的整数,x+y=4且R1和R2中的每
一个是氢或烷基。在多种实施方式中,R1和R2是不同的烷基,其可以
具有不同的结构和/或不同数目的碳原子。例如,在一些实施方式中,氨
基硅烷是单氨基硅烷,其具有以下化学结构:
H3Si-NR1R2
其中R1和R2各自是氢或烷基。
在一些实施方式中,氨基硅烷可以是单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷及其组合中的任一种。这些示例的化学结构如下:
应该理解,在一些实施方式中,与硅键合的含氮配体可能不一定与键合到相同硅原子的另一个含氮配体相同。例如,在一些实施方式中,R2和R2可以是不同的烷基配体。在一些实施方式中,连接至硅原子的第一NR1R2配体可能与连接至相同硅原子的另一NR1R2配体不同或者可能与连接至相同硅原子的另一NR1R2配体不具有相同的烷基配体。如上所述,R1和R2可以是任何烷基配体。在一个示例中,氨基硅烷可以是N'N'-二甲基硅烷二胺,其具有以下结构:
例如原硅酸四乙酯(TEOS)之类的硅醇盐、卤化硅和硅烷(SiH4)不被用作在多种实施方式用于沉积氧化硅的硅前体,因为它们可能对氮化物膜上的表面物质不具有充分的反应性。
图2E示出了吸附到改性氧化硅表面213的表面上的单氨基硅烷的示例。在多种实施方式中,单氨基硅烷也可以吸附到暴露的硅202的表面上(未示出)。
在操作110中,任选地清扫处理室以除去未吸附到衬底表面上的氨基硅烷。清扫室会涉及使清扫气体或打扫气体流动,清扫气体或打扫气体可以是用于其它操作的载气,或者可以是不同的气体。在一些实施方式中,清扫气体是惰性气体,诸如以上参照操作106所描述的那样。在一些实施方式中,清扫会涉及排空室。清扫气体的示例包括氩气、氮气、氢气和氦气。在一些实施方式中,操作110可以包括用于排空处理室的一个或多个排空子阶段。替代地,应理解,在一些实施方式中可以省略操作110。操作110可以具有任何合适的持续时间,诸如介于约0秒和约60秒之间的持续时间,例如约0.01秒的持续时间。在一些实施方式中,增加一种或多种清扫气体的流率可以减少操作110的持续时间。例如,清扫气体流率可以根据处理室的各种反应物热力学特性和/或几何特性和/或用于改变操作110的持续时间的处理室管道***调节。在一个非限制性示例中,清扫阶段的持续时间可以通过调节清扫气体流率来调节。这可以减少沉积循环时间,这可以提高衬底的吞吐量。在清扫之后,氨基硅烷分子保持吸附在衬底表面上。在一些实施方案中,氨基硅烷前体以介于约1000sccm和约5000sccm之间的流率流入容纳衬底的室。在一些实施方式中,在该操作期间可以去除吸附到硅表面上的氨基硅烷前体。
在操作112中,在没有等离子体的情况下,将衬底暴露于肼,以相对于暴露的硅表面选择性地在氧化硅表面上形成氮化硅。尽管一些氨基硅烷前体可以保持吸附到暴露的硅表面,但肼不会与这些表面上的氨基硅烷前体反应,因为等离子体不会被点燃并且硅表面不包含用于使氨基硅烷与肼反应的含铝或含过渡金属的催化剂。肼将与吸附在经改性的氧化硅表面上的氨基硅烷前体反应,因为含铝部分用作催化氨基硅烷前体和肼之间反应的催化剂。图2F中示出了一个示例,其中Si-OAlMe2催化单氨基硅烷和肼之间的反应,从而如图2G所示在氧化硅表面223上形成氮化硅205。因此,在具有含铝部分的表面上选择性地形成氮化硅205,从而选择性地在氧化硅表面(223)上形成氮化硅205并且不在硅表面(202)上形成氮化硅205。
在操作110中使用的肼具有以下结构:
其中R3、R4、R5和R6各自为氢或烷基。例如,可以使用肼,其中肼具有以下结构:
在另一个示例中,可以使用具有以下结构的叔丁基肼:
在另一个示例中,可使用具有以下结构的四甲基肼:
在一些实施方式中,一种或多种肼可作为混合物引入。肼可以作为气体输送到衬底。在一些实施方式中,可使用载气输送肼,使得载气和肼气体共同流至衬底。在一些实施方式中,载气可以是惰性气体,例如上面参照操作106所述的那些。在一些实施方式中,载气在将肼气体输送到容纳衬底的室中之前被转移。在一些实施方式中,肼可以从衬底上游的液相汽化并作为气体引入室中。汽化的肼同样可以使用载气通过使汽化的肼前体与载气共流而引入。类似地,在一些实施方式中,载气可以在将汽化的肼前体输送至衬底之前被转移。在操作112中使用的肼可以以约100sccm和约10000sccm之间,或约1000sccm和约5000sccm之间的流率输送至容纳衬底的室。如上所述,在整个操作102-118中,容纳衬底的室的室压强可以是恒定的。也就是说,在多种实施方式中,操作112期间的室压强与操作108、110和114期间的室压强相同。在多种实施方式中,操作112期间的室压强可以与操作104,或操作106,或操作104和106两者期间的室压强相同。
在操作114中,室任选地被清扫以除去任何残留的副产物。操作112可以使用上面参照操作110所述的任何条件来清扫。例如,在一些实施方式中,通过使诸如氩之类的惰性气体流动来清扫室,以从室中抽排过量的分子和/或残留副产物。
在操作116中,确定是否已沉积膜的所需的厚度。如果没有,则重复操作108-112足够的循环以沉积所需的厚度的氮化硅。任何适当数量的沉积循环可被包含在ALD工艺中,以沉积所需的膜厚度的氮化硅。例如,约20至约40个沉积循环可被执行以使用所公开的实施方式在衬底上沉积氮化硅膜。在一些实施方式中,执行20至40次沉积。
注意,尽管图1和本文提供的描述描述了在肼暴露之前进行暴露于氨基硅烷前体,但应理解,在一些实施方式中,肼暴露可在氨基硅烷前体暴露之前进行。也就是说,在一些实施方式中,在操作106之后,可以执行操作112,随后是诸如操作114之类的清扫操作,然后可以执行操作108,随后是清扫操作110。在这样的实施方式中,如果在提供氨基硅烷之前将肼提供给衬底,则衬底上存在的含过渡金属部分仍将催化肼和氨基硅烷之间的反应。在一些实施方式中,在操作106之后,执行操作108,随后是操作110,操作112和操作114。
在操作118中,操作108-114每重复n个循环,就可选地重复操作106。由于执行操作108-114可以构成一个氮化硅热ALD循环,因此可以每n个氮化硅热ALD循环执行操作106。在多种实施方式中,n是介于20和40之间并且包括20和40的整数。例如,在一些实施方式中,操作108-114每重复20至每40个循环,就执行操作106。每执行n个氮化硅热ALD循环,就执行操作106,以补充衬底表面上含过渡金属部分的量以便具有足够的过渡金属来催化氨基硅烷和肼之间的反应。在一个示例中,衬底在多个氮化硅ALD循环之间周期性暴露于三甲基铝以确保在衬底上存在足够的铝来催化单氨基硅烷和叔丁基肼之间的反应。如果衬底上没有足够的铝,那么反应可能不会被催化,并且氨基硅烷可能不会与肼发生反应。
注意,尽管本文描述的实施方式描述了相对于硅表面选择性地在氧化硅表面上沉积氮化硅的方法,但是也可以执行类似的技术以相对于硅表面选择性地在氧化硅表面上沉积氧化硅。例如,在使用三甲基铝来使氧化硅表面改性的情况下,可以选择含硅前体和氧化剂,使得改性含铝部分催化所选的含硅前体和所选的氧化剂之间的反应以选择性地在氧化硅表面上沉积氧化硅。由于三甲基铝与硅表面几乎没有反应性或不具有反应性,因此使用过渡金属部分作为催化剂的氧化硅或氮化硅膜可以选择性地沉积在氧化硅表面上,而氧化硅或氮化硅不会相应地沉积在硅表面上,因为硅表面不具有可以催化热原子层沉积反应以沉积各种材料的封端基团。
图3根据某些公开的实施方式提供了示出方法中的循环的示例的时序图。时序图显示载气、氨基硅烷气体、肼气体和三甲基铝气体(图3中描述为“TMA”)的流的开启或关断阶段。尽管图3中描述了三甲基铝,但应理解的是,可使用图1的操作106中使用的任何合适的气体来代替三甲基铝。
图3包括具有三甲基铝暴露阶段301A和两个沉积循环(沉积循环310A和沉积循环310B)的过程300。请注意,尽管仅描绘了两个沉积循环,但可以重复更多的沉积循环。另外,每n个沉积循环,例如每20至40个沉积循环,可以周期性地使用额外的三甲基铝暴露阶段。
在三甲基铝暴露阶段301期间,载气可能流动。载气可以是如上面关于操作106和108所述的任何气体。在多种实施方式中,载气是惰性气体,例如氩气、氦气或氖气。注意,三甲基铝暴露阶段301可以对应于图1的操作106。在三甲基铝暴露阶段301期间,关断氨基硅烷流和肼流,同时接通三甲基铝流。沉积循环310A包括氨基硅烷暴露阶段357A、清扫阶段259A、肼暴露阶段331A和清扫阶段333A。沉积循环310A中的这些阶段可构成如本文其他地方所述的热原子层沉积循环。在可对应于图1的操作108的氨基硅烷暴露阶段357A中,当氨基硅烷流接通时,载气可继续流动,而肼流和三甲基铝流关断。在清扫阶段359A中,载气流可以继续接通。在一些实施方式中,载气流被引导到室中以充当清扫或打扫气体,如本文其他处所述。在清扫阶段359A期间,关断氨基硅烷气流、肼气流和三甲基铝气流。清扫阶段359A可以对应于图1的操作110。在肼暴露阶段331A中,载气可以继续流动,在一些情况下,载气可以用于向容纳待处理衬底的室提供肼。在肼暴露阶段331期间,关断氨基硅烷气流和三甲基铝气流,同时接通肼气流。肼暴露阶段331A可以对应于图1的操作112。在清扫阶段333A期间,载气可以继续流动,而氨基硅烷气体流、肼气体流和三甲基铝气体流被关断。如上所述,在一些实施方式中,载气可以是用于抽出反应副产物的惰性气体。清扫阶段333A可对应于图1的操作114。
沉积循环310A的阶段可在沉积循环310B中重复,如图3所示。重复这些阶段可对应于图1的操作116。沉积循环310B包括:可以对应于操作108的重复操作的氨基硅烷暴露阶段357B,可以对应于操作110的重复操作的清扫阶段359B,可以对应于操作112的重复操作的肼暴露阶段331B,以及可以对应于操作114的重复操作的清扫阶段333B。在氨基硅烷暴露阶段357B期间,载气流和氨基硅烷气流被接通,而肼和三甲基铝气流被关断。在清扫阶段359B期间,载气流持续被接通,而氨基硅烷、肼和三甲基铝气流被关断。在肼暴露阶段331B期间,载气流和肼气流被接通,而氨基硅烷和三甲基铝气流被关断。在清扫阶段333B期间,当氨基硅烷气流、肼气流和三甲基铝气流被关断时,载气流保持接通。
装置
图4绘出了具有用于保持低压环境的处理室主体402的原子层沉积(ALD)处理站400的一实施方式的示意图。多个ALD处理站400可以包含在通常低压处理工具环境中。例如,图5绘出了多站式处理工具500的一实施方式。在一些实施方式中,ALD处理站400的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器450以编程方式调节。
ALD处理站400与反应物输送***401a流体连通,以将处理气体输送至分配喷头406。反应物输送***401a包含混合容器404,混合容器404用于混合和/或调节处理气体以输送至喷头406,处理气体如三甲基铝或含过渡金属气体,或者氨基硅烷前体气体或肼气体。一个或一个以上的混合容器入口阀420可以对处理气体导入至混合容器404进行控制。
举例而言,图4的实施方式包含汽化点403,汽化点403用于汽化将供应至混合容器404的液体反应物。在一些实施方式中,汽化点403可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方式中,汽化点403下游的输送管道可以被热追踪。在一些实施例中,混合容器304也可以被热追踪。在一个非限制性示例中,汽化点403下游的管道具有增大的温度分布,在混合容器404处从约100℃延伸至约150℃。
在一些实施方式中,液体前体或者液体反应物可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一个实施方式中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点403下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器404。在另一个方案中,液体喷射器可以直接装载到喷头406。
在一些实施方式中,可以在汽化点403上游设置液体流控制器(LFC)来控制用于汽化并输送至处理站400的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方式中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头406朝衬底412分配处理气体。在图4所示的实施方式中,衬底412位于喷头406下方,并且示出为安置在基座408上。喷头406可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底412。
在一些实施方式中,基座408可以升高或降低以暴露衬底412给衬底412和喷头406之间的体积。应理解的是,在一些实施方式中,基座高度可以经由合适的计算机控制器450通过编程方式进行调节。
在另一种情况下,在等离子体被点燃的实施方式中,调节基座408的高度可以使得等离子体密度在过程中的等离子体活化循环期间内改变。在处理阶段结束时,基座408可以在另一衬底传送阶段被降低以使得衬底412能从基座408移走。
在一些实施方式中,基座408可通过加热器410进行温度控制。在一些实施方式中,在选择性沉积氮化硅膜的过程中,基座408可被加热到介于约25℃和约400℃之间的温度,或者介于约200℃和约300℃之间的温度,如公开的实施方式中所述。在一些实施方式中,基座设定在约25℃与约400℃之间的温度下,或者介于约200℃和约300℃之间的温度下。
此外,在一些实施方式中,对于处理站400的压力控制可以由蝶形阀418提供。如在图4的实施方式中所示,蝶形阀418对由下游真空泵(未示出)提供的真空进行调节。然而,在一些实施方式中,对处理站400的压力控制还可以通过改变引入至处理站400的一种或多种气体的流率来调节。
在一些实施方式中,喷头406的位置可以相对于基座408调节以改变衬底412和喷头406之间的体积。此外,应当理解的是,基座408和/或喷头406的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方式中,基座408可包含用于旋转衬底412的方位的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器450以编程方式执行。
在如上所述可以使用等离子体的一些实施方式中,喷头406和基座408电连接射频(RF)功率源414和匹配网络416来对等离子体提供功率。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源414和匹配网络416可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例为约150W至约6000W。RF功率源414可以提供任何适当频率的RF功率。在一些实施方式中,RF功率源414可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,例如,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器450的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含用于设置含过渡金属气体(例如三甲基铝)的流率的指令,用于设定载气(例如氩)的流率的指令,以及用于第一配方阶段的时间延迟指令。第二配方阶段可以包括用于设定惰性气体和/或氨基硅烷前体气体的流率的指令、用于设定载气(诸如氩气)的流率的指令;以及用于第二配方阶段的时延指令。接下来的第三配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第三配方阶段的时延指令。第四配方阶段可以包含用于调节肼气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时延指令。随后的第五配方阶段可以包含用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第五配方阶段的时延指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。在一些实施方式中,控制器450可以包括下面关于图5的***控制器550所描述的任何特征。
如上所述,一个或一个以上的处理站可以包含在多站处理工具中。图5示出了多站式处理工具500的实施方式的概要视图,其具有入站装载锁502和出站装载锁504,其一者或者两者可以包含远程等离子体源。处于大气压的机械手506被配置为将晶片从通过舱508装载的盒经由大气端口510移动至入站装载锁502内。晶片由机械手506放置在入站装载锁502中的基座512上,关闭大气端口510,且抽空装载锁。当入站装载锁502包含远程等离子体源时,晶片在被引入处理室514之前,可以暴露于在H2O中的HF的可选温和蚀刻剂中或者可以暴露于装载锁中的环境。此外,晶片另外也可以在入站装载锁502中加热,例如以移除湿气和吸附的气体。接下来,通向处理室514的室传输端口516被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图5中绘出的实施方式包含装载锁,但应该理解的是,在一些实施方式中,可以使衬底直接进入处理站。
绘出的处理室514包含4个处理站,图5所示的实施方式中编号为1至4。每个站具有加热的基座(对于站1示出为518)和气体管线入口。应该理解的是,在一些实施方式中,每个处理站可以具有不同或者多个用途。例如,在一些实施方式中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。附加地或替代地,在一些实施方式中,处理室514可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室514包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方式中,处理室可以具有5个或5个以上的站,而在其它实施方式中,处理室可以具有3个或者更少的站。
图5绘出了用于在处理室514内传输晶片的晶片搬运***590的实施方式。在一些实施方式中,晶片搬运***590可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运***。非限制性示例包含晶片转盘和搬运晶片的机械手。图5还绘出了采用来控制处理工具500的工艺条件和硬件状态的***控制器550的实施方式。***控制器550可以包含一个或多个存储器设备556、一个或多个海量存储设备554和一个或多个处理器552。处理器552可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,***控制器550控制处理工具500的所有活动。***控制器550执行存储在海量存储设备554、载入存储器设备556、并由处理器552执行的***控制软件558。可替代地,控制逻辑可以在控制器550中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。***控制软件558可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具500执行的特定处理的其它参数的指令。***控制软件558可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。***控制软件558可以以任何适当的计算机可读编程语言来编码。
在一些实施方式中,***控制软件558可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中可以采用与***控制器550关联的、存储在海量存储设备554和/或存储器设备556的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座518,并控制衬底和处理工具500的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,如本文所述的含过渡金属的气体,例如三甲基铝,氨基硅烷气体和肼气体,载气和/或清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放***中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方式设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方式保持反应室内的压强的代码。
在一些实施方式中,可以存在与***控制器550相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由***控制器550调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由***控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
***控制器550可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方式操作膜叠层的原位沉积。
***控制器550将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方式所述的方法。包含用于控制根据所公开的实施方式的处理操作的指令的机器可读的介质可以耦合到***控制器550。
在一些实现方式中,***控制器550是***的一部分,该***可以是上述实施例的一部分。这种***可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流***等)。这些***可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个***的各种元件或子部件。根据处理要求和/或***的类型,***控制器550可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体***连接或通过接口连接的装载锁。
广义而言,***控制器550可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到***控制器550的指令,该设置定义用于在半导体晶片或***上或针对半导体晶片或***执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,***控制器550可以是与***集成、耦合或者说是通过网络连接***或它们的组合的计算机的一部分或者与该计算机耦合。例如,***控制器550可以在“云端”或者是fab主机***的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到***。在一些实施例中,***控制器550接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,***控制器550被配置成连接或控制该工具类型。因此,如上所述,***控制器550可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的***可以包含等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,***控制器550可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当装置在2011年4月11日提交的名称为“等离子体激活的共形膜沉积(PLASMA ACTIVATED CONFORMAL FILM DEPOSITION)”的美国专利申请No.13/084399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法(SILICON NITRIDE FILMS AND METHODS)”的美国专利申请No.13/084305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然上述实施方式已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方式的过程、***和装置的许多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节。

Claims (10)

1.一种在衬底的暴露的氧化硅表面上选择性地沉积氮化硅的方法,所述方法包括:
提供具有所述暴露的氧化硅表面和暴露的硅表面的所述衬底;
将所述衬底暴露于三甲基铝以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成含铝部分;以及
执行一个或多个热原子层沉积循环,每个循环包括在不点燃等离子体的情况下将所述衬底暴露于氨基硅烷前体并将所述衬底暴露于肼,以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成氮化硅,其中所述肼具有以下化学结构:
其中R3、R4、R5和R6各自为氢或烷基。
2.根据权利要求1所述的方法,其还包括周期性地每20至40个所述热原子层沉积循环将所述衬底暴露于所述三甲基铝。
3.根据权利要求1所述的方法,其中将所述衬底暴露于所述三甲基铝包括使所述三甲基铝以介于约100sccm和约10,000sccm之间的流率流入容纳所述衬底的室。
4.根据权利要求1所述的方法,其中所述肼选自叔丁基肼和四甲基肼。
5.根据权利要求1-4中任一项所述的方法,其中所述氨基硅烷具有化学式
并且其中x是介于1和3之间且包括1和3的整数,x+y=4并且R1和R2中的每一个是氢或烷基。
6.一种在衬底的暴露的氧化硅表面上选择性地沉积氮化硅的方法,所述方法包括:
提供具有所述暴露的氧化硅表面和暴露的硅表面的所述衬底;
将所述衬底暴露于含过渡金属的反应物以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成含过渡金属部分;以及
执行一个或多个热原子层沉积循环,每个循环包括在不点燃等离子体的情况下将所述衬底暴露于氨基硅烷前体并将所述衬底暴露于肼,以相对于所述暴露的硅表面选择性地在所述暴露的氧化硅表面上形成氮化硅,其中所述肼具有以下化学结构:
其中R3、R4、R5和R6各自为氢或烷基。
7.根据权利要求6所述的方法,其中所述含过渡金属的反应物包含选自由钛和镍组成的组的过渡金属。
8.根据权利要求6所述的方法,其中所述含过渡金属的反应物是强路易斯酸。
9.一种用于处理半导体衬底的装置,所述装置包括:
a.至少一个处理室,其包括用于保持衬底的基座;
b.用于耦合至真空的至少一个出口;
c.与一个或多个三甲基铝气体源耦合的一个或多个处理气体入口;
d.与一个或多个氨基硅烷气体源耦合的一个或多个处理气体入口;
e.与一个或多个肼气体源耦合的一个或多个处理气体入口;和
f.用于控制所述装置中的操作的控制器,所述控制器包括用于以下操作的机器可读指令:
致使三甲基铝引入所述至少一个处理室;和
致使循环的交替脉冲的氨基硅烷气体和肼气体引入到所述至少一个处理室,以通过热原子层沉积选择性地形成氮化硅。
10.一种用于处理半导体衬底的装置,所述装置包括:
a.至少一个处理室,其包括用于保持衬底的基座;
b.用于耦合至真空的至少一个出口;
c.与一个或多个含过渡金属的反应物气体源耦合的一个或多个处理气体入口;
d.与一个或多个氨基硅烷气体源耦合的一个或多个处理气体入口;
e.与一个或多个肼气体源耦合的一个或多个处理气体入口;和
f.用于控制所述装置中的操作的控制器,其包括用于以下操作的机器可读指令:
致使含过渡金属的反应物引入所述至少一个处理室;和
致使交替脉冲的氨基硅烷气体和肼气体引入到所述至少一个处理室,以通过热原子层沉积选择性地形成氮化硅。
CN201810189335.0A 2017-03-08 2018-03-08 利用催化剂控制在氧化硅上选择性沉积氮化硅 Active CN108597983B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/453,815 US10242866B2 (en) 2017-03-08 2017-03-08 Selective deposition of silicon nitride on silicon oxide using catalytic control
US15/453,815 2017-03-08

Publications (2)

Publication Number Publication Date
CN108597983A true CN108597983A (zh) 2018-09-28
CN108597983B CN108597983B (zh) 2024-01-30

Family

ID=63444356

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810189335.0A Active CN108597983B (zh) 2017-03-08 2018-03-08 利用催化剂控制在氧化硅上选择性沉积氮化硅

Country Status (6)

Country Link
US (2) US10242866B2 (zh)
JP (1) JP2018152560A (zh)
KR (2) KR102491414B1 (zh)
CN (1) CN108597983B (zh)
SG (1) SG10201801817QA (zh)
TW (1) TW201843329A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112038228A (zh) * 2020-08-27 2020-12-04 上海华力集成电路制造有限公司 改善TiN薄膜连续性的表面处理方法
CN112805807A (zh) * 2018-10-02 2021-05-14 朗姆研究公司 使用碱催化抑制剂的选择性沉积方法
CN113423864A (zh) * 2019-02-14 2021-09-21 恩特格里斯公司 氮化硅的选择性沉积

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210050953A (ko) 2019-10-29 2021-05-10 삼성전자주식회사 집적회로 장치 및 그 제조 방법
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR102406174B1 (ko) * 2020-09-08 2022-06-08 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040194706A1 (en) * 2002-12-20 2004-10-07 Shulin Wang Method and apparatus for forming a high quality low temperature silicon nitride layer
US20050208778A1 (en) * 2004-03-22 2005-09-22 Weimin Li Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
JP2006203202A (ja) * 2005-01-18 2006-08-03 Samsung Electronics Co Ltd 不純物が除去されたシリコン窒化膜を備える半導体素子の製造方法
WO2007096405A1 (de) * 2006-02-23 2007-08-30 Azzurro Semiconductors Ag Nitridhalbleiter-bauelement und verfahren zu seiner herstellung
KR20080027859A (ko) * 2005-06-21 2008-03-28 어플라이드 머티어리얼스, 인코포레이티드 광여기 증착 프로세스 동안 실리콘-함유 재료들을 형성하는방법
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US20140141542A1 (en) * 2012-11-08 2014-05-22 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US20160276148A1 (en) * 2015-03-20 2016-09-22 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR20160118968A (ko) * 2015-04-03 2016-10-12 램 리써치 코포레이션 Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
CN1332451C (zh) * 2001-09-12 2007-08-15 日本电气株式会社 半导体器件及其制造方法
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
KR100889362B1 (ko) * 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
EP2257561B1 (en) 2008-02-27 2017-11-08 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
EP2675560B1 (en) 2011-02-14 2019-08-28 Dionex Corporation Liquid chromatographic column including a ligand comprising a polyhedral oligomeric silsequioxane moiety, and liquid chromatografic method using the column
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
US11626279B2 (en) 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
KR20150036122A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
CN105474359B (zh) 2013-06-27 2019-04-12 英特尔公司 以非光刻方式图案化的定向自组装对准促进层
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
EP3134479A1 (en) 2014-02-28 2017-03-01 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
MY188715A (en) 2014-09-26 2021-12-25 Intel Corp Selective gate spacers for semiconductor devices
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
WO2016138284A1 (en) 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
WO2016205196A2 (en) 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
CN114121605A (zh) 2015-06-26 2022-03-01 应用材料公司 氧化硅膜的选择性沉积
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040194706A1 (en) * 2002-12-20 2004-10-07 Shulin Wang Method and apparatus for forming a high quality low temperature silicon nitride layer
US20050208778A1 (en) * 2004-03-22 2005-09-22 Weimin Li Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
JP2006203202A (ja) * 2005-01-18 2006-08-03 Samsung Electronics Co Ltd 不純物が除去されたシリコン窒化膜を備える半導体素子の製造方法
KR20080027859A (ko) * 2005-06-21 2008-03-28 어플라이드 머티어리얼스, 인코포레이티드 광여기 증착 프로세스 동안 실리콘-함유 재료들을 형성하는방법
WO2007096405A1 (de) * 2006-02-23 2007-08-30 Azzurro Semiconductors Ag Nitridhalbleiter-bauelement und verfahren zu seiner herstellung
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US20140141542A1 (en) * 2012-11-08 2014-05-22 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US20160276148A1 (en) * 2015-03-20 2016-09-22 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR20160118968A (ko) * 2015-04-03 2016-10-12 램 리써치 코포레이션 Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112805807A (zh) * 2018-10-02 2021-05-14 朗姆研究公司 使用碱催化抑制剂的选择性沉积方法
CN113423864A (zh) * 2019-02-14 2021-09-21 恩特格里斯公司 氮化硅的选择性沉积
CN113423864B (zh) * 2019-02-14 2023-11-07 恩特格里斯公司 氮化硅的选择性沉积
CN112038228A (zh) * 2020-08-27 2020-12-04 上海华力集成电路制造有限公司 改善TiN薄膜连续性的表面处理方法
CN112038228B (zh) * 2020-08-27 2022-08-09 上海华力集成电路制造有限公司 改善TiN薄膜连续性的表面处理方法

Also Published As

Publication number Publication date
KR102542125B1 (ko) 2023-06-13
SG10201801817QA (en) 2018-10-30
KR20180103018A (ko) 2018-09-18
KR102491414B1 (ko) 2023-01-20
JP2018152560A (ja) 2018-09-27
US10242866B2 (en) 2019-03-26
US20180261447A1 (en) 2018-09-13
CN108597983B (zh) 2024-01-30
TW201843329A (zh) 2018-12-16
US20190148128A1 (en) 2019-05-16
US10777407B2 (en) 2020-09-15
KR20230014821A (ko) 2023-01-30

Similar Documents

Publication Publication Date Title
CN108597983A (zh) 利用催化剂控制在氧化硅上选择性沉积氮化硅
US10903071B2 (en) Selective deposition of silicon oxide
CN108630524A (zh) 氮化硅的选择性生长
CN106057637B (zh) 通过原子层沉积和原子层蚀刻沉积共形膜
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
US9892917B2 (en) Plasma assisted atomic layer deposition of multi-layer films for patterning applications
CN110402477A (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
WO2018089534A1 (en) Method for high modulus ald sio2 spacer
CN105990108A (zh) 超薄原子层沉积膜厚度的精密控制
KR20140051807A (ko) 서브-포화된 원자층 증착 및 등각막 증착
CN103225071A (zh) 用于沉积无氯保形SiN 膜的方法
CN104651807A (zh) 用于低温ald膜的室底涂层制备方法
CN104752199A (zh) 用于先进图案化的软着陆纳米层压层
US20210384029A1 (en) Modifying hydrophobicity of a wafer surface using an organosilicon precursor
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
CN114868234A (zh) 实现无缝高质量填隙的方法
WO2023178273A1 (en) Reducing capacitance in semiconductor devices

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant