KR20210050953A - 집적회로 장치 및 그 제조 방법 - Google Patents

집적회로 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR20210050953A
KR20210050953A KR1020190135593A KR20190135593A KR20210050953A KR 20210050953 A KR20210050953 A KR 20210050953A KR 1020190135593 A KR1020190135593 A KR 1020190135593A KR 20190135593 A KR20190135593 A KR 20190135593A KR 20210050953 A KR20210050953 A KR 20210050953A
Authority
KR
South Korea
Prior art keywords
material layer
layer
forming
hard mask
integrated circuit
Prior art date
Application number
KR1020190135593A
Other languages
English (en)
Inventor
이승헌
고재강
권혁우
김문준
한태종
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020190135593A priority Critical patent/KR20210050953A/ko
Priority to US16/919,307 priority patent/US11264294B2/en
Publication of KR20210050953A publication Critical patent/KR20210050953A/ko
Priority to US17/672,939 priority patent/US11764119B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

집적회로 장치의 제조 방법이 개시된다. 상기 제조 방법은, 기판 상에 복수의 타겟 패턴을 형성하되, 인접한 2개의 타겟 패턴 사이에 개구부가 정의되는, 복수의 타겟 패턴을 형성하는 단계; 상기 기판 상에 상기 개구부를 채우며, 상기 복수의 타겟 패턴의 상면 및 측벽 일부분을 노출하는 열분해 물질층을 형성하는 단계; 및 상기 복수의 타겟 패턴의 상기 상면 및 상기 측벽 일부분 상에 물질층을 형성하는 단계를 포함하고, 상기 물질층을 형성하는 단계에서 상기 물질층이 상기 열분해 물질층 상에 형성되지 않는다.

Description

집적회로 장치 및 그 제조 방법{Integrated Circuit devices and manufacturing methods for the same}
본 발명의 기술적 사상은 집적회로 장치 및 그 제조 방법에 관한 것으로, 더욱 상세하게는, 선택적 증착(selective deposition) 방법을 사용한 집적회로 장치의 제조 방법과, 이에 의해 제조된 집적회로 장치에 관한 것이다.
집적회로 장치의 다운스케일링에 따라 집적회로 장치의 구성요소들의 크기 또한 축소되고 있다. 미세 패턴을 형성하기 위하여 더블 패터닝 방식 또는 사중 패터닝 방식 등을 사용하여 마스크 패턴을 형성하는 방법이 제안되었으며, 소자 사이즈 감소에 따라 마스크 패턴의 높이는 점차 증가하고 폭은 점차 감소하고 있다. 피식각막의 식각 공정에서 마스크 패턴이 함께 식각되어 마스크 패턴의 폭 및 높이 감소가 발생하므로, 피식각막의 식각량의 정밀한 제어가 어려운 문제가 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 타겟 패턴의 일부 영역에 물질층을 선택적으로 형성할 수 있는 집적회로 장치의 제조 방법을 제공하는 것이다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 타겟 패턴의 일부 영역에 물질층을 선택적으로 형성할 수 있는 제조 방법에 의해 제조된 집적회로 장치를 제공하는 것이다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따른 집적회로 장치의 제조 방법은, 기판 상에 복수의 타겟 패턴을 형성하되, 인접한 2개의 타겟 패턴 사이에 개구부가 정의되는, 복수의 타겟 패턴을 형성하는 단계; 상기 기판 상에 상기 개구부를 채우며, 상기 복수의 타겟 패턴의 상면 및 측벽 일부분을 노출하는 열분해 물질층을 형성하는 단계; 및 상기 복수의 타겟 패턴의 상기 상면 및 상기 측벽 일부분 상에 물질층을 형성하는 단계를 포함하고, 상기 물질층을 형성하는 단계에서 상기 물질층이 상기 열분해 물질층 상에 형성되지 않는다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따른 집적회로 장치의 제조 방법은, 기판 상에 복수의 타겟 패턴을 형성하되, 인접한 2개의 타겟 패턴 사이에 개구부가 정의되는, 복수의 타겟 패턴을 형성하는 단계; 상기 기판 상에 상기 개구부를 채우며, 상기 복수의 타겟 패턴의 상면 레벨보다 낮은 레벨에 배치되는 상면을 갖는 열분해 물질층을 형성하는 단계; 상기 열분해 물질층이 분해되어 제거되는 동안 상기 열분해 물질층에 의해 커버되지 않는 복수의 타겟 패턴의 상면 및 측벽 상측(upper portion) 상에 물질층을 형성하는 단계; 및 요구되는 두께의 상기 물질층을 형성한 후에 잔류하는 상기 열분해 물질층을 열 리세스 공정에 의해 제거하는 단계를 포함한다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따른 집적회로 장치의 제조 방법은, 기판 상에 제1 몰드층과 제2 몰드층을 포함하는 몰드 스택을 형성하는 단계; 상기 몰드 스택 상에 하드 마스크 패턴을 형성하는 단계; 상기 하드 마스크 패턴을 식각 마스크로 사용하여 상기 제2 몰드층의 일부분을 제거하여 개구부를 형성하는 단계; 상기 개구부를 채우고 상기 하드 마스크 패턴의 상면 및 측벽 일부분을 노출하는 열분해 물질층을 형성하는 단계; 상기 열분해 물질층이 분해되어 제거되는 동안 상기 열분해 물질층에 의해 커버되지 않는 상기 하드 마스크 패턴의 상기 상면 및 상기 측벽 일부분 상에 하드 마스크 캡핑층을 형성하는 단계; 상기 열분해 물질층을 제거하는 단계; 및 상기 하드 마스크 패턴과 상기 하드 마스크 캡핑층을 식각 마스크로 사용하여 상기 제1 몰드층의 일부분을 제거하여 몰드 구조물을 형성하는 단계를 포함한다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따른 집적회로 장치는 기판으로부터 돌출되며, 기판의 상면에 평행한 제1 방향으로 연장되는 핀형 활성 영역; 상기 핀형 활성 영역의 상면 및 측벽 상부 상에 배치되는 채널층; 상기 기판 상에서 상기 핀형 활성 영역의 측벽 하부 상에 배치되는 소자 분리막; 상기 핀형 활성 영역과 상기 소자 분리막 상에 배치되며 상기 기판의 상면에 평행하고 상기 제1 방향에 수직한 제2 방향으로 연장되는 게이트 구조물을 포함하며, 상기 채널층의 바닥면이 상기 소자 분리막의 바닥면보다 높은 레벨에 배치된다.
본 발명의 기술적 사상에 따르면, 타겟 패턴 사이의 공간을 열분해 물질층으로 채우고, 노출된 타겟 패턴의 상면에 물질층을 형성하는 한편, 열분해 물질층에 의해 채워진 공간에는 물질층의 형성이 방지되어, 요구되는 일부 영역에만 물질층을 선택적으로 형성할 수 있다. 또한 열분해 물질층은 물질층의 형성 온도에서 분해되거나 열 리세스 공정에 의해 분해될 수 있으므로, 타겟 패턴의 손상 없이 열분해 물질층을 제거할 수 있다.
도 1 내지 도 6은 예시적인 실시예들에 따른 집적회로 장치의 제조 방법을 공정 순서에 따라 나타내는 단면도들이다.
도 7 내지 도 16은 예시적인 실시예들에 따른 집적회로 장치의 제조 방법을 공정 순서에 따라 나타내는 단면도들이다.
도 17 내지 도 28은 예시적인 실시예들에 따른 집적회로 장치의 제조 방법을 공정 순서에 따라 나타내는 단면도들이다.
이하, 첨부된 도면을 참조하여 본 발명의 기술적 사상의 예시적인 실시예를 상세히 설명하기로 한다.
도 1 내지 도 6은 예시적인 실시예들에 따른 집적회로 장치(100)의 제조 방법을 공정 순서에 따라 나타내는 단면도들이다.
도 1을 참조하면, 기판(110) 상에 타겟 패턴(120)을 형성할 수 있다. 타겟 패턴(120)은 포토리소그래피 패터닝을 통해 형성된 미세 패턴을 포함할 수 있다. 예시적인 실시예들에서, 타겟 패턴(120)은 기판(110) 상에 타겟 물질층(도시 생략)을 형성하고, 상기 타겟 물질층 상에 포토레지스트 패턴을 사용하여 상기 타겟 물질층의 일부분을 식각함으로써 형성될 수 있다. 다른 예시적인 실시예들에서, 타겟 패턴(120)은 기판(110)의 일부분일 수 있고, 기판(110) 상에 포토레지스트 패턴을 형성하고 상기 포토레지스트 패턴을 사용하여 기판(110)의 일부분을 식각함에 의해 형성될 수 있다. 또 다른 예시적인 실시예들에서, 타겟 패턴(120)은 기판(110)의 일부분을 식각하기 위한 하드 마스크 패턴일 수 있다. 또 다른 예시적인 실시예들에서, 타겟 패턴(120)은 기판(110) 상에 형성되는 다양한 집적회로 장치에 포함되는 구성요소들의 일부분, 예를 들어 DRAM 장치, PRAM 장치, MRAM 장치, RRAM 장치, 플래시 메모리 장치, 로직 장치, 시스템 LSI, CMOS 이미지 센서 등에 포함되는 구성요소들의 일부분일 수 있다. 또한 타겟 패턴(120)은 상기 다양한 집적회로 장치에 포함되는 구성요소들의 일부분을 식각하기 위한 마스크 패턴일 수도 있다.
타겟 패턴(120)은 기판(110)의 상면에 평행한 제1 방향(X 방향)을 따라 제1 폭(w0)을 가질 수 있다. 타겟 패턴(120)은 타겟 패턴(120)의 상면으로부터 소정의 깊이로 연장되는 개구부(120H)를 구비할 수 있다. 예를 들어, 개구부(120H)는 타겟 패턴(120)을 관통하여 기판(110)의 상면을 노출할 수 있으나, 이와는 달리 개구부(120H)가 타겟 패턴(120)을 완전히 관통하지 않고 개구부(120H)의 바닥부에 타겟 패턴(120)의 일부 두께가 잔류할 수도 있다.
타겟 패턴(120)은 제2 방향(Y 방향)을 따라 연장되는 라인 형상을 가지고, 개구부(120H) 또한 제2 방향(Y 방향)을 따라 연장되는 라인 형상을 가질 수 있다. 이와는 달리, 타겟 패턴(120)이 원형 또는 사각형 수직 단면을 갖는 아일랜드 타입으로 배열되고, 개구부(120H)는 이러한 아일랜드 타입의 타겟 패턴(120) 사이의 공간에 해당할 수도 있다. 또는 개구부(120H)가 원형 또는 사각형 수직 단면을 갖는 아일랜드 타입으로 배열될 수도 있다.
타겟 패턴(120)의 상부 폭(w1)은 타겟 패턴(120)의 제1 폭(w0)보다 더 작을 수 있다. 이는 예를 들어 타겟 패턴(120)의 식각 공정에서 타겟 패턴(120)의 측벽 상부가 식각 분위기에 더욱 많이 노출되어 타겟 패턴(120)의 측벽 상부 식각량이 타겟 패턴(120)의 측벽 하부 식각량보다 더 많아짐에 따라 타겟 패턴(120)의 상부 폭(w1)이 타겟 패턴(120)의 목표 폭(즉, 제1 폭(w0))보다 더 작아진 결과일 수 있다. 또는 이는 타겟 패턴(120)의 식각 공정에서 타겟 패턴(120)의 측벽에 부착된 식각 부산물들을 제거하기 위한 애싱 또는 세정 공정에 의해 타겟 패턴(120)의 상부 측벽이 타겟 패턴(120)의 하부 측벽에 비하여 더욱 많이 제거된 결과일 수도 있다.
도 2를 참조하면, 기판(110) 상에 열분해 물질층(130)을 형성할 수 있다. 열분해 물질층(130)은 타겟 패턴(120)의 높이보다 작은 제1 높이(h1)로 형성될 수 있고, 타겟 패턴(120)의 측벽(120S)의 하부를 커버하며 개구부(120H)를 채울 수 있다. 이에 따라 타겟 패턴(120)의 상면(120U) 및 측벽(120S)의 상부가 열분해 물질층(130)에 의해 커버되지 않고 노출될 수 있다.
예시적인 실시예들에서, 열분해 물질층(130)은 약 200 내지 400℃의 온도에서 분해되는 물질을 포함할 수 있다. 예시적인 실시예들에서, 열분해 물질층(130)은 탄소, 산소, 수소, 및 질소를 포함하는 유기 화합물을 포함할 수 있으나, 이에 한정되는 것은 아니다. 열분해 물질층(130)은 방향족 또는 지방족 탄화수소를 포함하는 유기 화합물 또는 이들의 유도체, 또는 이들의 중합체를 포함할 수 있다.
예시적인 실시예들에서, 열분해 물질층(130)은 스핀 코팅 공정, 화학 기상 증착 공정 등에 의해 형성될 수 있다. 열분해 물질층(130)은 개구부(120H)를 바닥부로부터 상부까지 바텀업 방식으로 채움에 의해 형성될 수 있다. 예를 들어, 열분해 물질층(130)은 약 50 내지 200℃의 온도 및 약 0 내지 760 torr의 압력 하에서 스핀 코팅 공정에 의해 형성될 수 있다. 다른 실시예들에서, 열분해 물질층(130)을 형성하기 위하여, 열분해 물질층(130)이 개구부(120H)를 완전히 채우고 타겟 패턴(120)의 상면을 모두 커버하는 높이까지 형성된 후, 상승된 온도에서 열분해 물질층(130)의 상부 일부분을 분해 및/또는 제거함에 의해 타겟 패턴(120)의 상면(120U) 및 측벽(120S) 상부를 다시 노출시킬 수 있다.
도 3을 참조하면, 타겟 패턴(120)의 상면(120U) 및 노출된 측벽(120S) 상에 물질층(140)이 형성될 수 있다.
예시적인 실시예들에서, 물질층(140)은 타겟 패턴(120)에 포함되는 물질과 동일한 물질을 포함할 수 있으나 이에 한정되는 것은 아니다. 다른 실시예들에서, 물질층(140)은 타겟 패턴(120)에 포함되는 물질과 다른 물질을 포함할 수 있고, 타겟 패턴(120)의 노출된 표면에만 선택적으로 형성되는 것이 요구되는 물질층일 수 있다.
예시적인 실시예들에서, 물질층(140)은 원자층 적층 공정, 화학 기상 증착 공정, 물리 기상 증착 공정, 스핀 코팅 공정, 열 산화 공정 등에 의해 형성될 수 있다. 물질층(140)은 0 내지 760 torr의 압력, 약 200℃ 이상의 온도에서 아르곤, 헬륨, 수소, 및 질소를 캐리어 가스로 사용하여 형성될 수 있다. 예를 들어, 물질층(140)은 약 200 내지 450℃의 온도에서 형성될 수 있으나 이에 한정되는 것은 아니다. 예를 들어, 물질층(140)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 실리콘 카본 질화물, 실리콘 카바이드, 비정질 실리콘, 폴리실리콘, 비정질 카본, 및 스핀온 하드마스크(spin on hardmask, SOH) 등을 포함할 수 있으나, 이에 한정되는 것은 아니다.
예시적인 실시예들에서, 물질층(140)은 타겟 패턴(120)의 상면(120U) 및 노출된 측벽(120S) 상에 형성되는 한편 열분해 물질층(130) 상에는 형성되지 않을 수 있다. 예를 들어, 물질층(140)이 약 200℃ 이상의 온도에서 형성될 때, 열분해 물질층(130)은 이러한 공정 온도에서 분해되기 시작할 수 있고, 예를 들어, 개구부(120H) 내에 형성되는 열분해 물질층(130)이 그 표면부터 소정의 속도로 분해되어 제거될 수 있다. 따라서 열분해 물질층(130) 상에 부착되는 물질층(140)의 소스 물질 또는 전구체 물질이 열분해 물질층(130)의 제거와 함께 제거될 수 있다. 따라서 물질층(140)은 열분해 물질층(130) 표면 상에 형성되지 않고 타겟 패턴(120)의 노출된 표면 상에만 선택적으로 형성될 수 있다.
도 4를 참조하면, 물질층(140)의 형성이 완료된 결과가 도시된다. 물질층(140)은 요구되는 두께 및 폭(w1a)을 갖도록 형성되는 동안, 물질층(140)의 형성 공정에서 열분해 물질층(130)이 분해 및/또는 제거될 수 있다. 따라서 열분해 물질층(130)의 높이(h1a)는 물질층(140)의 형성 단계 이전의 열분해 물질층(130)의 제1 높이(h1)보다 더 낮아질 수 있다. 또한 열분해 물질층(130)이 분해 및/또는 제거됨에 따라 타겟 패턴(120)의 새로 노출되는 측벽(120S) 상에 물질층(140)이 형성될 수 있다. 도 4에 도시된 것과 같이, 물질층(140)은 수직 방향(Z 방향)을 따라 타겟 패턴(120)의 상면으로부터 아래로 갈수록 더욱 얇은 두께를 가질 수 있으나, 이에 한정되는 것은 아니다.
도 5를 참조하면, 열분해 물질층(130)을 제거하기 위한 열 리세스(heat recess) 공정(P10)이 수행될 수 있다. 예를 들어, 상기 열 리세스 공정은 약 200℃ 이상의 온도, 예를 들어 약 200℃ 내지 약 400℃의 온도에서 수행될 수 있다. 상기 열 리세스 공정(P10)은 챔버 타입의 히터에 의해 또는 뱃치(batch) 타입의 히터에 의해 기판(110)에 열을 공급함에 의해 수행될 수 있다. 이러한 경우에, 열분해 물질층(130)의 제거 공정이 타겟 패턴(120) 또는 물질층(140)에 물리적 손상을 가하지 않고 수행될 수 있다.
다른 실시예들에서, 열분해 물질층(130)을 제거하기 위하여 열 리세스 공정(P10)을 대신하여 또는 이에 추가로 건식 식각 공정 또는 습식 식각 공정이 수행될 수 있다.
도 6을 참조하면, 타겟 패턴(120)과 물질층(140)을 포함하는 집적회로 장치(100)가 도시된다. 물질층(140)의 상면은 타겟 패턴(120)의 상부 폭(w1)보다 더 큰 폭(w1a)을 가질 수 있다. 또한 물질층(140)의 상면의 폭(w1a)은 타겟 패턴(120)의 제1 폭(w0)과 실질적으로 동일하거나 제1 폭(w0)의 20% 이내, 또는 제1 폭(w0)의 10% 이내의 값을 가질 수 있다(예를 들어, 물질층(140)의 상면의 폭(w1a)은 타겟 패턴(120)의 제1 폭(w0)의 약 80 내지 120%, 또는 약 90% 내지 110%일 수 있다).
전술한 예시적인 실시예들에 따르면, 열분해 물질층(130)의 형성과 열 리세스 공정에 의해, 타겟 패턴(120)의 상면(120U)과 측벽(120S) 상부에 물질층(140)이 선택적으로 형성될 수 있다.
일반적으로 더블 패터닝 방식 또는 사중 패터닝 방식 등을 사용하여 마스크 패턴을 형성하는 경우에, 마스크 패턴은 복수의 물질층들의 적층 구조를 가지므로, 마스크 패턴의 높이가 상대적으로 높고 마스크 패턴 사이의 간격은 상대적으로 작아진다. 따라서 마스크 패턴을 사용한 피식각막의 식각 공정에서 마스크 패턴 상부가 함께 식각되거나 소모되어 마스크 패턴의 높이가 줄어들고 폭이 감소한다. 이런 경우에 피식각막의 식각 공정 동안에 마스크 패턴의 치수가 변형되므로(예를 들어, 피식각막의 식각 개시 시점과 식각 종료 시점의 마스크 패턴의 높이 및 폭이 서로 다르므로) 피식각막의 식각량의 정밀한 제어가 어려운 문제가 있다. 이러한 마스크 패턴의 소모를 방지하거나 요구되는 일부 영역에만 마스크 패턴을 선택적으로 형성하는 것이 불가능했다.
그러나 전술한 예시적인 실시예들에 따르면, 미세한 피치를 갖는 타겟 패턴(120) 사이의 공간에 열분해 물질층(130)을 형성하고, 열분해 물질층(130)에 의해 커버되지 않는 타겟 패턴(120)의 표면에 선택적으로 물질층(140)을 형성할 수 있다. 따라서 타겟 패턴(120)의 상면 및 상부 측벽 등 요구되는 일부 영역에만 물질층(140)을 선택적으로 형성하는 것이 가능하다. 또한 열분해 물질층(130)은 물질층(140)의 형성 공정에서 함께 분해되고 제거되며, 또한 잔류하는 열분해 물질층(130)은 추가적인 열 리세스 공정에 의해 제거될 수 있다. 따라서 열분해 물질층(130)의 제거 공정이 타겟 패턴(120) 또는 물질층(140)에 물리적 손상을 가하지 않고 수행될 수 있다.
도 7 내지 도 16은 예시적인 실시예들에 따른 집적회로 장치(200)의 제조 방법을 공정 순서에 따라 나타내는 단면도들이다.
도 7을 참조하면, 기판(210) 상에 하드 마스크 패턴(220P)을 형성할 수 있다.
예시적인 실시예들에서, 기판(210)은 Si, Ge, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 반도체 물질을 포함할 수 있다. 예시적인 실시예들에서, 기판(210)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다. 다른 예시적인 실시예들에서, 기판(210)은 BOX 층(buried oxide layer)을 포함할 수 있다.
하드 마스크 패턴(220P)은 패드 산화막(222) 및 마스크 물질층(224)을 포함할 수 있다. 예를 들어 패드 산화막(222)은 기판(210)의 표면을 열산화시켜 얻어진 산화물을 포함할 수 있다. 마스크 물질층(224)은 실리콘 질화막, 실리콘 산화질화막, SOG (spin on glass) 막, SOH (spin on hardmask) 막, 비정질 카본막(amorphous carbon layer), 포토레지스트막 또는 이들의 조합을 포함할 수 있다.
하드 마스크 패턴(220P)은 기판(210) 상에서 기판(210)의 상면에 평행한 제2 방향(Y 방향)으로 연장될 수 있다.
도 8을 참조하면, 하드 마스크 패턴(220P)을 식각 마스크로 사용하여 기판(210)을 소정의 두께만큼 제거하여 복수의 핀형 활성 영역(FA)을 형성할 수 있다. 복수의 핀형 활성 영역(FA)는 기판(210)의 상면으로부터 제3 방향(Z 방향)으로 돌출하고 제2 방향(Y 방향)을 따라 연장될 수 있다.
도 9를 참조하면, 하드 마스크 패턴(220P)을 제거할 수 있다.
복수의 핀형 활성 영역(FA)의 형성 공정에서 기판(210) 상면에 인접한 부분이 식각 분위기에 더 오래 노출될 수 있다. 따라서 복수의 핀형 활성 영역(FA)은 아래로 갈수록 폭이 넓어지도록 경사진 측벽(FAS)을 가질 수 있다. 또한 하드 마스크 패턴(220P)의 제거 공정 또는 뒤따르는 세정 공정에서 복수의 핀형 활성 영역(FA)의 상부가 일부 두께만큼 손상되거나 산화되어 제거될 수 있다. 이에 따라 복수의 핀형 활성 영역(FA)의 제1 방향(X 방향)을 따른 상부 폭(w2)은 제1 방향(X 방향)을 따른 하부 폭(w0)보다 더 작을 수 있다.
도 10을 참조하면, 복수의 핀형 활성 영역(FA)의 측벽(FAS) 사이의 공간을 채우는 열분해 물질층(230)을 형성할 수 있다. 열분해 물질층(230)은 도 2를 참조로 설명한 열분해 물질층(130)과 유사한 기술적 특징을 가질 수 있다.
예시적인 실시예들에서, 열분해 물질층(130)은 복수의 핀형 활성 영역(FA)의 바닥부와 동일한 제1 레벨(LV1)보다 더 높은 제2 레벨(LV2)에 배치되는 상면을 가질 수 있다. 열분해 물질층(130)은 복수의 핀형 활성 영역(FA)의 측벽(FAS) 하측을 커버하고, 복수의 핀형 활성 영역(FA)의 상면(FAU)을 커버하지 않는 높이로 형성될 수 있다.
예시적인 실시예들에서, 열분해 물질층(230)은 약 200 내지 400℃의 온도에서 분해되는 물질을 포함할 수 있다. 예시적인 실시예들에서, 열분해 물질층(230)은 탄소, 산소, 수소, 및 질소를 포함하는 유기 화합물을 포함할 수 있으나, 이에 한정되는 것은 아니다. 열분해 물질층(230)은 방향족 또는 지방족 탄화수소를 포함하는 유기 화합물 또는 이들의 유도체, 또는 이들의 중합체를 포함할 수 있다. 예시적인 실시예들에서, 열분해 물질층(230)은 스핀 코팅 공정, 화학 기상 증착 공정 등에 의해 형성될 수 있다.
도 11을 참조하면, 복수의 핀형 활성 영역(FA)의 상면(FAU) 및 노출된 측벽(FAS) 상에 채널층(240)이 형성될 수 있다.
예시적인 실시예들에서, 채널층(240)은 원자층 적층 공정, 화학 기상 증착 공정, 스핀 코팅 공정, 분자빔 에피택시 공정 등에 의해 형성될 수 있다. 채널층(240)은 0 내지 760 torr의 압력, 약 200℃ 이상의 온도에서 아르곤, 헬륨, 수소, 및 질소를 캐리어 가스로 사용하여 형성될 수 있다. 예를 들어, 채널층(240)은 Si, Ge, SiGe, SiC, GaAs, InAs, 또는 InP 중 적어도 하나를 사용하여 형성될 수 있다.
채널층(240)은 열분해 물질층(230) 상에는 형성되지 않고 복수의 핀형 활성 영역(FA)의 노출된 표면 상에만 형성될 수 있다. 예를 들어, 채널층(240)이 약 200℃ 이상의 온도에서 형성될 때, 열분해 물질층(230)에 포함되는 물질은 이러한 공정 온도에서 분해되기 시작할 수 있고, 열분해 물질층(230)의 표면으로부터 소정의 속도로 분해되어 제거될 수 있다. 따라서 채널층(240) 형성을 위한 전구체 또는 소스 물질의 소정량이 열분해 물질층(230) 표면 상에 화학 흡착되더라도 열분해 물질층(230)의 제거와 함께 다시 제거될 수 있다. 이에 따라 채널층(240)은 복수의 핀형 활성 영역(FA)의 노출된 표면 상에만 선택적으로 형성될 수 있다.
채널층(240)은 복수의 핀형 활성 영역(FA)의 노출 표면 상에 균일한 두께로 콘포말하게 형성될 수 있다. 채널층(240)의 최상면은 제1 방향(X 방향)을 따라 복수의 핀형 활성 영역(FA)의 상부 폭(w2)보다 더 큰 폭(w2a)을 가질 수 있다. 열분해 물질층(230)의 상면이 제2 레벨(LV2)에 배치되며 복수의 핀형 활성 영역(FA)의 측벽(FAS)를 커버함에 따라 채널층(240)의 바닥면도 제2 레벨(LV2)에 배치될 수 있다.
예시적인 실시예들에서, 채널층(240)은 복수의 핀형 활성 영역(FA)과 동일한 물질을 포함할 수 있다. 일부 실시예들에서, 채널층(240)의 불순물 도핑 농도가 복수의 핀형 활성 영역(FA)의 불순물 도핑 농도와 다를 수도 있다. 다른 실시예들에서, 채널층(240)은 복수의 핀형 활성 영역(FA)과 다른 물질을 포함할 수 있다. 예를 들어, 복수의 핀형 활성 영역(FA)은 실리콘을 포함하고, 채널층(240)은 실리콘 저머늄을 포함할 수 있다. 다른 실시예들에서, 채널층(240)은 복수의 핀형 활성 영역(FA)과 동일한 물질을 포함하되 채널층(240)의 조성이 복수의 핀형 활성 영역(FA)의 조성과 다를 수도 있다. 예를 들어, 채널층(240)과 복수의 핀형 활성 영역(FA)은 SixGe1 -x를 포함하고, Si의 함량 x가 서로 다를 수 있다.
예시적인 실시예들에서, 채널층(240)과 이에 인접한 복수의 핀형 활성 영역(FA) 부분이 집적회로 장치(200)의 채널 영역으로 기능할 수 있다. 또한 채널층(240)은 복수의 핀형 활성 영역(FA)의 표면 결함을 치유해주거나 후속의 산화 공정에서 복수의 핀형 활성 영역(FA)의 표면 산화를 방지하는 보호층으로 기능할 수도 있다.
도 12를 참조하면, 열분해 물질층(230)을 제거하기 위한 열 리세스 공정(P20)이 수행될 수 있다. 예를 들어, 상기 열 리세스 공정(P20)은 약 200℃ 이상의 온도, 예를 들어 약 200℃ 내지 약 400℃의 온도에서 수행될 수 있다. 이러한 경우에, 열분해 물질층(230)의 제거 공정이 핀형 활성 영역(FA) 또는 채널층(240)에 물리적 손상을 가하지 않고 수행될 수 있다.
다른 실시예들에서, 열분해 물질층(230)을 제거하기 위하여 열 리세스 공정(P20)을 대신하여 또는 이에 추가로 건식 식각 공정 또는 습식 식각 공정이 수행될 수 있다.
도 13을 참조하면, 열분해 물질층(230)이 제거된 후 복수의 핀형 활성 영역(FA)의 측벽(FAS) 하부와 기판(210)의 상면이 다시 노출될 수 있다.
도 14를 참조하면, 기판(210) 상에 절연 라이너(252)와 절연 매립층(254)을 포함하는 소자 분리막(250)을 형성할 수 있다.
우선 기판(210) 상에 절연 라이너(252)를 형성한 후, 절연 라이너(252) 상에 복수의 핀형 활성 영역(FA) 사이의 공간을 채우는 절연 매립층(254)을 형성하고, 복수의 핀형 활성 영역(FA)의 상면이 노출될 때까지 절연 라이너(252)와 절연 매립층(254) 상측 부분들을 제거하여 소자 분리막(250)을 형성할 수 있다.
예시적인 실시예들에서, 절연 라이너(252)는 복수의 핀형 활성 영역(FA)의 표면을 산화시키는 공정에 의해 형성된 산화막을 포함할 수 있고, 상기 산화 공정은 예를 들어 ISSG (in-situ steam generation) 공정, 열 산화 공정, UV 산화 공정, 또는 O2 플라즈마 산화 공정일 수 있다. 절연 라이너(252)는 약 10 내지 100 Å의 두께를 가질 수 있으나 이에 한정되는 것은 아니다.
예시적인 실시예들에서, 절연 매립층(254)은 FCVD (flowable chemical vapor deposition) 공정 또는 스핀 코팅 공정에 의해 형성된 산화막을 포함할 수 있다. 예를 들어, 절연 매립층(254)은 FSG (fluoride silicate glass), USG (undoped silicate glass), BPSG (boro-phospho-silicate glass), PSG (phospho-silicate glass), FOX (flowable oxide), PE-TEOS (plasma enhanced tetra-ethyl-ortho-silicate), 또는 TOSZ (tonen silazene)을 포함할 수 있으나, 이에 한정되는 것은 아니다.
도 15를 참조하면, 소자 분리막(250)의 상부를 리세스 공정에 의해 소정의 높이만큼 제거할 수 있다. 이에 의해 소자 분리막(250) 상면이 핀형 활성 영역(FA)의 상면보다 낮은 레벨(LV4)에 배치되고, 채널층(240)의 상면 및 측벽이 노출될 수 있다.
도 15에는 소자 분리막(250)의 상면이 채널층(240)의 최하면 레벨(LV2)보다 높은 레벨(즉, LV4)에 배치되는 것이 예시적으로 도시되었다. 이에 따라 절연 라이너(252)가 핀형 활성 영역(FA)의 측벽으로부터 채널층(240)의 측벽 상으로 콘포말하게 연장될 수 있다. 따라서 채널층(240)과 절연 매립층(254) 사이에, 그리고 복수의 핀형 활성 영역(FA)과 절연 매립층(254) 사이에 절연 라이너(252)가 개재될 수 있다.
도 16을 참조하면, 채널층(240) 상에 제2 방향(Y 방향)으로 연장되는 게이트 구조물(260)이 형성될 수 있다. 게이트 구조물(260)은 게이트 절연층(262) 및 게이트 전극(264)을 포함할 수 있다. 이후 게이트 구조물(260) 양 측에 배치되는 핀형 활성 영역(FA)의 일부분을 제거하여 리세스 영역(도시 생략)을 형성하고, 상기 리세스 영역 내에 에피택시 공정에 의해 소스/드레인 영역(또시 생략)을 형성할 수 있다.
일부 실시예들에서, 더미 게이트 구조물을 우선 형성한 후에, 소스/드레인 영역을 형성하고, 이후 교체 금속 게이트 방법(replacement metal gate scheme)을 통해 상기 더미 게이트 구조물 내의 게이트 전극을 금속을 포함하는 게이트 전극(264)으로 교체할 수도 있다.
게이트 절연층(262)은 실리콘 산화막, 고유전막, 또는 이들의 조합으로 이루어질 수 있다. 상기 고유전막은 실리콘 산화막보다 유전 상수가 더 큰 물질로 이루어질 수 있다. 예를 들면, 게이트 절연층(262)은 약 10 내지 25의 유전 상수를 가질 수 있다. 상기 고유전막은 하프늄 산화물 (hafnium oxide), 하프늄 산질화물 (hafnium oxynitride), 하프늄 실리콘 산화물 (hafnium silicon oxide), 란타늄 산화물 (lanthanum oxide), 란타늄 알루미늄 산화물 (lanthanum aluminum oxide), 지르코늄 산화물 (zirconium oxide), 지르코늄 실리콘 산화물 (zirconium silicon oxide), 탄탈륨 산화물 (tantalum oxide), 티타늄 산화물 (titanium oxide), 바륨 스트론튬 티타늄 산화물 (barium strontium titanium oxide), 바륨 티타늄 산화물 (barium titanium oxide), 스트론튬 티타늄 산화물 (strontium titanium oxide), 이트륨 산화물 (yttrium oxide), 알루미늄 산화물 (aluminum oxide), 납 스칸듐 탄탈륨 산화물 (lead scandium tantalum oxide), 및 납 아연 니오브산염 (lead zinc niobate), 및 이들의 조합 중에서 선택되는 물질로 이루어질 수 있으나, 상기 고유전막을 구성하는 물질이 상기 예시된 바에 한정되는 것은 아니다. 예시적인 실시예들에 있어서, 게이트 절연층(262)은 ALD 공정, CVD 공정, 또는 PVD 공정에 의해 형성될 수 있다.
게이트 전극(264)은 일함수 조절용 금속 함유층과, 상기 일함수 조절용 금속 함유층의 상부에 형성된 공간을 채우는 갭필용 금속 함유층을 포함할 수 있다. 예시적인 실시예들에 있어서, 게이트 전극(264)은 금속 질화물층, 금속층, 도전성 캡핑층, 및 갭필(gap-fill) 금속막이 차례로 적층된 다층 구조를 가질 수 있다. 상기 금속 질화물층 및 금속층은 각각 Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, 및 Pd 중에서 선택되는 적어도 하나의 금속을 포함할 수 있다. 상기 금속 질화물층 및 금속층은 각각 ALD, MOALD (metal organic ALD), 또는 MOCVD (metal organic CVD) 공정에 의해 형성될 수 있다. 상기 도전성 캡핑층은 상기 금속층의 표면이 산화되는 것을 방지하는 보호막 역할을 할 수 있다. 또한, 상기 도전성 캡핑층은 상기 금속층 위에 다른 도전층이 증착될 때 증착을 용이하게 하기 위한 접착층(wetting layer) 역할을 할 수 있다. 상기 도전성 캡핑층은 금속 질화물, 예를 들면 TiN, TaN, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다. 상기 갭필 금속막은 상기 도전성 캡핑층 위에 연장될 수 있다. 상기 갭필 금속막은 텅스텐(W) 막으로 이루어질 수 있다. 상기 갭필 금속막은 ALD, CVD, 또는 PVD 공정에 의해 형성될 수 있다. 상기 갭필 금속막은 상기 도전성 캡핑층의 상면에서의 단차부에 의해 형성되는 리세스 공간을 보이드(void) 없이 매립할 수 있다.
전술한 공정을 수행하여 집적회로 장치(200)가 완성된다.
예시적인 실시예들에 따른 집적회로 장치(200)에서, 열분해 물질층(230)을 사용하여 복수의 핀형 활성 영역(FA)의 일부 영역에만 채널층(240)을 선택적으로 형성할 수 있다. 이에 따라 핀형 활성 영역(FA)과 채널층(240)의 물질층 선택의 자유도가 증가하여 집적회로 장치(200)의 성능이 최적화될 수 있다. 또한, 채널층(240)이 핀형 활성 영역(FA) 표면의 결함을 치유해주거나 핀형 활성 영역(FA)의 손상을 방지하는 보호층으로 기능하여 집적회로 장치(200)의 전기적 성능이 향상될 수 있다.
한편, 도 16에서는 채널층(240)이 게이트 구조물(260)과 핀형 활성 영역(FA) 사이에 개재되는 것이 예시적으로 도시되었으나, 채널층(240)의 일부분이 제거되고 게이트 구조물(260)과 핀형 활성 영역(FA)이 직접 접촉할 수도 있다. 예를 들어, 채널층(240)이 핀형 활성 영역(FA) 표면을 보호하기 위한 보호층으로 작용할 때, 후속의 더미 게이트 구조물의 제거 공정에서 노출되는 채널층(240) 상면 부분이 함께 제거되어 핀형 활성 영역(FA)의 상면 및 측벽이 다시 노출될 수도 있다.
도 17 내지 도 28은 예시적인 실시예들에 따른 집적회로 장치(300)의 제조 방법을 공정 순서에 따라 나타낸 단면도들이다.
도 17을 참조하면, 기판(310) 상에 하부 구조물(320)이 형성될 수 있다. 기판(310)은 Si, Ge, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 반도체 물질을 포함할 수 있다. 기판(310)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다. 기판(310)에는 활성 영역(도시 생략)을 정의하는 소자 분리막(도시 생략)이 더 형성될 수 있고, 기판(310) 상에는 게이트 구조물(도시 생략) 및 소스/드레인 영역(도시 생략)을 포함하는 트랜지스터(도시 생략)가 더 형성될 수 있다.
하부 구조물(320)은 기판(310) 상에 형성된 커패시터 콘택(322) 및 커패시터 콘택(322)을 커버하는 하부 절연층(324)을 포함할 수 있다. 커패시터 콘택(322)과 하부 절연층(324)은 각각 하나의 층으로 개략적으로 도시되었으나, 이와는 달리 커패시터 콘택(322)과 하부 절연층(324)은 복수의 물질층들로 구성될 수 있다. 하부 구조물(320)의 일부분은 기판(310) 상에 형성된 상기 트랜지스터를 커버하고, 커패시터 콘택(322)은 상기 트랜지스터의 일부분과 배선층(도시 생략)을 통해 연결될 수 있다.
하부 구조물(320) 상에 몰드 스택(330)이 형성될 수 있다. 몰드 스택(330)은 하부 구조물(320) 상에 제1 몰드층(332), 제1 지지층(334), 제2 몰드층(336), 및 제2 지지층(338)을 순차적으로 형성함에 의해 형성될 수 있다.
예시적인 실시예들에 있어서, 제1 몰드층(332)과 제1 지지층(334)은 서로에 대하여 식각 선택비를 갖는 물질들을 포함할 수 있다. 예를 들어 제1 몰드층(332)이 실리콘 산화물을 포함하는 경우, 제1 지지층(334)은 실리콘 질화물, 실리콘 산질화물, 또는 실리콘 카본 질화물(SiCN)을 포함할 수 있다. 또한 제1 및 제2 몰드층(332, 336)과 제1 및 제2 지지층(334, 338)는 서로에 대하여 식각 선택비를 갖는 물질들을 포함할 수 있다. 예를 들어 제1 및 제2 몰드층(332, 336)이 실리콘 산화물을 포함하는 경우, 제1 및 제2 지지층(334, 338)은 실리콘 질화물, 실리콘 산질화물, 실리콘 보론 질화물(SiBN), 또는 실리콘 카본 질화물(SiCN)을 포함할 수 있다.
도 18을 참조하면, 제2 지지층(338) 상에 하드 마스크 스택(340S)을 형성할 수 있다. 하드 마스크 스택(340S)은 제2 지지층(338) 상에 순차적으로 형성된 제1 하드 마스크층(342) 및 제2 하드 마스크층(344)을 포함할 수 있다. 제1 및 제2 하드 마스크층(342, 344) 각각은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 실리콘 카본 질화물, 실리콘 카바이드, 비정질 실리콘, 폴리실리콘, 비정질 카본, 및 스핀온 하드마스크 중 적어도 하나를 포함할 수 있다. 일 예시에서, 제1 하드 마스크층(342)은 실리콘 질화물을 포함하고, 제2 하드 마스크층(344)은 실리콘 카본 질화물을 포함할 수 있다. 다른 예시에서, 제1 하드 마스크층(342)은 실리콘 질화물을 포함하고, 제2 하드 마스크층(344)은 폴리실리콘을 포함할 수 있다.
이후 하드 마스크 스택(340S) 상에 포토레지스트 패턴(350)을 형성할 수 있다.
도 19를 참조하면, 포토레지스트 패턴(350)을 식각 마스크로 사용하여 하드마스크 스택(340S)을 패터닝하여 하드 마스크 패턴(340P)을 형성할 수 있다. 하드 마스크 패턴(340P)은 제1 방향(X 방향)을 따라 제1 폭(w3)을 가지며, 제2 방향(Y 방향)으로 연장될 수 있다. 예를 들어, 제2 하드 마스크층(346)은 제1 높이(h3)와 제1 폭(w3)을 가질 수 있다.
한편, 도 19에는 하드 마스크 패턴(340P)의 피치가 포토레지스트 패턴(350)(도 18 참조)의 피치와 실질적으로 동일한 것으로 예시적으로 도시되었으나 이에 한정되는 것은 아니다. 예를 들어, 더블 패터닝 방식 또는 사중 패터닝 방식의 패터닝이 사용되어 하드 마스크 패턴(340P)의 피치가 포토레지스트 패턴(350)의 피치의 약 1/2배, 또는 약 1/4배일 수도 있다.
도 20을 참조하면, 하드 마스크 패턴(340P)을 식각 마스크로 사용하여 제2 지지층(338)과 제2 몰드층(336)을 식각하여 개구부(330H)를 형성할 수 있다. 예를 들어 제2 몰드층(336)의 높이가 상대적으로 크므로, 제2 몰드층(336)의 식각 과정에서 하드 마스크 패턴(340P)의 일부분이 소모되어 하드 마스크 패턴(340P)의 폭과 높이가 감소될 수 있다. 특히 제2 하드 마스크층(344)은 제1 방향(X 방향)을 따라 제2 폭(w3a)을 가질 수 있고, 이는 제2 몰드층(336)의 식각 단계 이전의 제2 하드 마스크층(344)의 제1 폭(w3)보다 더 작을 수 있다. 또한 제2 하드 마스크층(344)은 수직 방향(Z 방향)을 따라 제2 높이(h3a)를 가질 수 있고, 이는 제2 몰드층(336)의 식각 단계 이전의 제2 하드 마스크층(344)의 제1 높이(h3)보다 더 작을 수 있다.
도 21을 참조하면, 개구부(330H) 내에 열분해 물질층(360)을 형성할 수 있다. 열분해 물질층(360)은 제2 하드 마스크층(344)의 상면 및 측벽을 노출하는 높이로 형성될 수 있다. 예를 들어, 열분해 물질층(360)의 상면은 제2 하드 마스크층(344)의 바닥면보다 높은 레벨에 배치될 수 있다. 그러나 도시된 것과 달리, 열분해 물질층(360)의 상면은 제2 하드 마스크층(344)의 바닥면과 동일한 레벨에 배치될 수도 있다.
예시적인 실시예들에서, 열분해 물질층(360)은 약 200 내지 400℃의 온도에서 분해되는 물질을 포함할 수 있다. 예시적인 실시예들에서, 열분해 물질층(360)은 탄소, 산소, 수소, 및 질소를 포함하는 유기 화합물을 포함할 수 있으나, 이에 한정되는 것은 아니다. 열분해 물질층(360)은 방향족 또는 지방족 탄화수소를 포함하는 유기 화합물 또는 이들의 유도체, 또는 이들의 중합체를 포함할 수 있다. 예시적인 실시예들에서, 열분해 물질층(360)은 스핀 코팅 공정, 화학 기상 증착 공정 등에 의해 형성될 수 있다.
도 22를 참조하면, 제2 하드 마스크층(344) 상에 하드 마스크 캡핑층(346)이 형성될 수 있다. 하드 마스크 캡핑층(346)은 제2 하드 마스크층(344)의 노출된 표면 상에 선택적으로 형성될 수 있다. 예를 들어 하드 마스크 캡핑층(346)은 제2 하드 마스크층(344)과 동일한 물질을 사용하여 형성될 수 있다.
예시적인 실시예들에서, 하드 마스크 캡핑층(346)은 원자층 적층 공정, 화학 기상 증착 공정, 스핀 코팅 공정, 분자빔 에피택시 공정 등에 의해 형성될 수 있다. 하드 마스크 캡핑층(346)은 0 내지 760 torr의 압력, 약 200℃ 이상의 온도에서 아르곤, 헬륨, 수소, 및 질소를 캐리어 가스로 사용하여 형성될 수 있다. 예를 들어, 하드 마스크 캡핑층(346)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 실리콘 카본 질화물, 실리콘 카바이드, 비정질 실리콘, 폴리실리콘, 비정질 카본, 스핀온 하드마스크 중 적어도 하나를 포함할 수 있다.
하드 마스크 캡핑층(346)은 열분해 물질층(360) 상에는 형성되지 않고 하드마스크 패턴(340P)의 노출된 표면, 즉 제2 하드 마스크층(344)의 상면 및 측벽 상에 형성될 수 있다. 예를 들어, 하드 마스크 캡핑층(346)이 약 200℃ 이상의 온도에서 형성될 때, 열분해 물질층(360)에 포함되는 물질은 이러한 공정 온도에서 분해되기 시작할 수 있고, 열분해 물질층(360)의 표면으로부터 소정의 속도로 분해되어 제거될 수 있다. 따라서 하드 마스크 캡핑층(346) 형성을 위한 전구체 또는 소스 물질의 소정량이 열분해 물질층(360) 표면 상에 화학 흡착되더라도 열분해 물질층(360)의 제거와 함께 다시 제거될 수 있다. 이에 따라 하드 마스크 캡핑층(346)은 하드 마스크 패턴(340P)의 노출된 표면 상에만 선택적으로 형성될 수 있다.
하드 마스크 캡핑층(346)이 제2 하드 마스크층(344)의 상면과 측벽 상에 선택적으로 형성됨에 따라 제2 하드 마스크층(344)을 커버하는 하드 마스크 캡핑층(346)은 제1 방향(X 방향)을 따라 제3 폭(w3b)과 수직 방향(Z 방향)을 따라 제3 높이(h3b)를 가질 수 있다. 제3 폭(w3b)은 제2 폭(w3a)보다 더 클 수 있고, 제3 높이(h3b)는 제2 높이(h3a)보다 더 클 수 있다.
도 23을 참조하면, 열분해 물질층(360)이 제거될 수 있다. 예시적인 실시예들에서, 열분해 물질층(360)은 약 200℃ 이상의 온도, 예를 들어 약 200℃ 내지 약 400℃의 온도에서 열 리세스 공정을 수행함에 의해 제거될 수 있다. 다른 실시예들에서, 열분해 물질층(360)을 제거하기 위하여 열 리세스 공정을 대신하여 또는 이에 추가로 건식 식각 공정 또는 습식 식각 공정이 수행될 수 있다. 열분해 물질층(360)이 제거된 후 개구부(330H)의 내벽 상에 제2 몰드층(336) 및 제2 지지층(338)의 측벽이 다시 노출될 수 있다.
도 24를 참조하면, 하드 마스크 패턴(340P)과 하드 마스크 캡핑층(346)을 식각 마스크로 사용하여 제1 지지층(334)과 제1 몰드층(332)을 식각하여 개구부(330HA)를 형성할 수 있다. 이에 의해 몰드 구조물(330P)이 형성되고, 개구부(330HA)의 바닥부에 하부 구조물(320)의 상면이 노출될 수 있다.
예를 들어 제1 몰드층(332)의 높이가 상대적으로 크므로, 제1 몰드층(332)의 식각 과정에서 하드 마스크 캡핑층(346) 부분이 소모될 수 있다. 도 24에는 하드 마스크 캡핑층(346)이 완전히 제거된 것이 예시적으로 도시되었으나, 이와는 달리 하드 마스크 패턴(340P) 상면 및/또는 측벽 상에 하드 마스크 캡핑층(346)이 제거되지 않고 잔류할 수도 있다.
도 25를 참조하면, 하드 마스크 패턴(340P)이 제거될 수 있다.
도 26을 참조하면, 몰드 구조물(350P) 상에 개구부(330HA)를 채우는 도전층(도시 생략)을 형성하고, 제2 지지층(338)의 상면이 노출될 때까지 상기 도전층 상부를 제거하여 개구부(330HA) 내에 하부 전극(372)을 형성할 수 있다.
예시적인 실시예들에서, 하부 전극(372)은 도핑된 폴리실리콘, 루테늄(Ru), 티타늄(Ti), 탄탈륨(Ta), 텅스텐(W) 등의 금속, 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 텅스텐 질화물(WN), 니오븀 질화물 등의 도전성 금속 질화물, 및 산화 이리듐 등의 도전성 금속 산화물 등에서 선택된 적어도 하나를 사용하여 화학 기상 증착(chemical vapor deposition, CVD) 공정, 금속 유기 CVD (MOCVD) 공정, 원자층 증착(atomic layer deposition, ALD) 공정, 또는 금속 유기 ALD (MOALD) 공정에 의해 형성될 수 있다.
도 26에는 하부 전극(372)이 개구부(330HA) 내부를 완전히 채우는 필라 형상을 갖는 것이 예시적으로 도시되었으나, 이와는 달리 하부 전극(372)은 개구부(330HA) 내벽 상에 콘포말한 두께로 형성되어 아래가 막힌 실린더 형상 또는 컵 형상을 가질 수도 있다.
도 27을 참조하면, 제1 몰드층(332)과 제2 몰드층(336)을 제거하여 제1 몰드 개구부(332H) 및 제2 몰드 개구부(336H)를 형성할 수 있다. 제1 몰드층(332) 및 제2 몰드층(336)을 제거하기 위한 공정에서, 제1 지지층(334) 및 제2 지지층(338)은 제거되지 않을 수 있고, 하부 전극(372)이 제1 및 제2 지지층(334, 338)에 연결되고 이들에 의해 지지될 수 있다.
도 28을 참조하면, 하부 전극(372), 제1 지지층(334) 및 제2 지지층(338) 상에 콘포말하게 유전층(374)을 형성할 수 있다.
예시적인 실시예들에서, 유전층(374)은 CVD 공정, MOCVD 공정, ALD 공정, 또는 MOALD 공정 등에 의해 형성될 수 있다. 예시적인 실시예들에서, 유전층(374)은 지르코늄 산화물, 알루미늄 산화물, 실리콘 산화물, 티타늄 산화물, 이트륨 산화물, 스칸듐 산화물, 하프늄 산화물, 및 란탄족 산화물 중 적어도 하나를 포함할 수 있다. 유전층(374)은 복수의 물질층들의 적층 구조로 형성될 수도 있다.
이후, 유전층(374) 상에 상부 전극(376)이 형성될 수 있다.
상부 전극(376)은 도핑된 폴리실리콘, 루테늄(Ru), 티타늄(Ti), 탄탈륨(Ta), 텅스텐(W) 등의 금속, 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 텅스텐 질화물(WN), 니오븀 질화물 등의 도전성 금속 질화물, 및 산화 이리듐 등의 도전성 금속 산화물 등에서 선택된 적어도 하나를 포함할 수 있다. 상부 전극(376)은 CVD 공정, MOCVD 공정, ALD 공정, 또는 MOALD 공정 등에 의해 형성될 수 있다.
이에 의해 하부 전극(372), 유전층(374), 및 상부 전극(376)을 포함하는 커패시터 구조물(370)이 형성될 수 있다.
전술한 예시적인 실시예들에 따르면, 하드 마스크 패턴(340P)을 식각 마스크로 사용하여 몰드 스택(330)을 소정의 높이까지 식각하여(즉, 제2 몰드층(336)과 제2 지지층(338)을 식각하여) 개구부(330)를 형성하고, 이후 소모된 하드 마스크 패턴(340P) 상에 하드 마스크 캡핑층(346)을 선택적으로 형성할 수 있다. 하드 마스크 패턴(340P)과 하드 마스크 캡핑층(346)을 함께 식각 마스크로 사용하여 몰드 스택(330)의 나머지 높이를 식각하여(즉, 제1 몰드층(332)과 제1 지지층(334)을 식각하여) 개구부(330HA)를 형성할 수 있다. 따라서 하드 마스크 패턴(340P) 중 요구되는 일부분 상에 요구되는 물질을 선택적으로 형성함에 따라 상대적으로 큰 종횡비를 갖는(예를 들어 상대적으로 큰 높이와 좁은 폭을 갖는) 개구부(330HA)를 형성할 수 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
100: 집적회로 장치 110: 기판
120: 타겟 패턴 130: 열분해 물질층
140: 물질층 FA: 핀형 활성 영역
240: 채널층 250: 소자 분리막
330P: 몰드 구조물 340P: 하드 마스크 패턴
348: 하드 마스크 캡핑층 370: 커패시터 구조물

Claims (10)

  1. 기판 상에 복수의 타겟 패턴을 형성하되, 인접한 2개의 타겟 패턴 사이에 개구부가 정의되는, 복수의 타겟 패턴을 형성하는 단계;
    상기 기판 상에 상기 개구부를 채우며, 상기 복수의 타겟 패턴의 상면 및 측벽 일부분을 노출하는 열분해 물질층을 형성하는 단계; 및
    상기 복수의 타겟 패턴의 상기 상면 및 상기 측벽 일부분 상에 물질층을 형성하는 단계를 포함하고,
    상기 물질층을 형성하는 단계에서 상기 물질층이 상기 열분해 물질층 상에 형성되지 않는 것을 특징으로 하는 집적회로 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 물질층을 형성하는 단계는 상기 열분해 물질층이 분해될 수 있는 온도에서 수행되는 것을 특징으로 하는 집적회로 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 물질층을 형성하는 단계는 200 내지 450℃의 온도에서 수행되는 것을 특징으로 하는 집적회로 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 물질층을 형성하는 단계에서 상기 복수의 타겟 패턴의 상기 상면 및 상기 측벽 일부분 상에 상기 물질층이 형성되고, 상기 열분해 물질층 상에 흡착되는 상기 물질층의 소스 물질은 상기 열분해 물질층의 분해와 함께 제거되는 것을 특징으로 하는 집적회로 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 물질층은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 실리콘 카본 질화물, 실리콘 카바이드, 비정질 실리콘, 폴리실리콘, 비정질 카본, 및 스핀온 하드마스크 중 적어도 하나를 포함하는 것을 특징으로 하는 집적회로 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 열분해 물질층은 탄소, 수소, 산소, 및 질소를 포함하는 유기 화합물을 포함하는 것을 특징으로 하는 집적회로 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 열분해 물질층은 200 내지 400℃의 온도에서 분해되는 것을 특징으로 하는 집적회로 장치의 제조 방법.
  8. 제1항에 있어서,
    상기 물질층을 형성하는 단계 이후에,
    열 리세스(heat recess) 공정에 의해 상기 열분해 물질층을 제거하는 단계를 더 포함하는 집적회로 장치의 제조 방법.
  9. 기판 상에 복수의 타겟 패턴을 형성하되, 인접한 2개의 타겟 패턴 사이에 개구부가 정의되는, 복수의 타겟 패턴을 형성하는 단계;
    상기 기판 상에 상기 개구부를 채우며, 상기 복수의 타겟 패턴의 상면 레벨보다 낮은 레벨에 배치되는 상면을 갖는 열분해 물질층을 형성하는 단계;
    상기 열분해 물질층이 분해되어 제거되는 동안 상기 열분해 물질층에 의해 커버되지 않는 복수의 타겟 패턴의 상면 및 측벽 상측(upper portion) 상에 물질층을 형성하는 단계; 및
    요구되는 두께의 상기 물질층을 형성한 후에 잔류하는 상기 열분해 물질층을 열 리세스 공정에 의해 제거하는 단계;를 포함하는 집적회로 장치의 제조 방법.
  10. 기판 상에 제1 몰드층과 제2 몰드층을 포함하는 몰드 스택을 형성하는 단계;
    상기 몰드 스택 상에 하드 마스크 패턴을 형성하는 단계;
    상기 하드 마스크 패턴을 식각 마스크로 사용하여 상기 제2 몰드층의 일부분을 제거하여 개구부를 형성하는 단계;
    상기 개구부를 채우고 상기 하드 마스크 패턴의 상면 및 측벽 일부분을 노출하는 열분해 물질층을 형성하는 단계;
    상기 열분해 물질층이 분해되어 제거되는 동안 상기 열분해 물질층에 의해 커버되지 않는 상기 하드 마스크 패턴의 상기 상면 및 상기 측벽 일부분 상에 하드 마스크 캡핑층을 형성하는 단계;
    상기 열분해 물질층을 제거하는 단계; 및
    상기 하드 마스크 패턴과 상기 하드 마스크 캡핑층을 식각 마스크로 사용하여 상기 제1 몰드층의 일부분을 제거하여 몰드 구조물을 형성하는 단계를 포함하는 집적회로 장치의 제조 방법.
KR1020190135593A 2019-10-29 2019-10-29 집적회로 장치 및 그 제조 방법 KR20210050953A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020190135593A KR20210050953A (ko) 2019-10-29 2019-10-29 집적회로 장치 및 그 제조 방법
US16/919,307 US11264294B2 (en) 2019-10-29 2020-07-02 Integrated circuit devices and manufacturing methods for the same
US17/672,939 US11764119B2 (en) 2019-10-29 2022-02-16 Integrated circuit devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190135593A KR20210050953A (ko) 2019-10-29 2019-10-29 집적회로 장치 및 그 제조 방법

Publications (1)

Publication Number Publication Date
KR20210050953A true KR20210050953A (ko) 2021-05-10

Family

ID=75586373

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190135593A KR20210050953A (ko) 2019-10-29 2019-10-29 집적회로 장치 및 그 제조 방법

Country Status (2)

Country Link
US (2) US11264294B2 (ko)
KR (1) KR20210050953A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230043213A1 (en) * 2019-01-11 2023-02-09 Lg Electronics Inc. Method for transmitting a feedback information in a wireless communication system

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114045461B (zh) * 2021-10-29 2024-07-19 立讯电子科技(昆山)有限公司 一种半导体芯片产品及其局部溅镀治具、局部溅镀方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833320B2 (en) 2002-11-04 2004-12-21 Intel Corporation Removing sacrificial material by thermal decomposition
EP1949432B1 (en) 2005-11-08 2017-10-18 Invensas Corporation Producing a covered through substrate via using a temporary cap layer
KR20090045711A (ko) 2007-11-02 2009-05-08 주식회사 하이닉스반도체 희생막패턴 제조 방법
KR101090369B1 (ko) 2010-07-07 2011-12-07 주식회사 하이닉스반도체 캐패시터 제조 방법
US20150380526A1 (en) 2014-06-27 2015-12-31 Applied Materials, Inc. Methods for forming fin structures with desired dimensions for 3d structure semiconductor applications
WO2016099570A1 (en) 2014-12-19 2016-06-23 Intel Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
US9899268B2 (en) * 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
KR20200143605A (ko) * 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230043213A1 (en) * 2019-01-11 2023-02-09 Lg Electronics Inc. Method for transmitting a feedback information in a wireless communication system
US11764838B2 (en) * 2019-01-11 2023-09-19 Lg Electronics Inc. Method for transmitting a feedback information in a wireless communication system

Also Published As

Publication number Publication date
US20220173002A1 (en) 2022-06-02
US11764119B2 (en) 2023-09-19
US11264294B2 (en) 2022-03-01
US20210125884A1 (en) 2021-04-29

Similar Documents

Publication Publication Date Title
KR102083604B1 (ko) 랩 어라운드 접촉 플러그 및 그 제조 방법
CN108231588B (zh) 晶体管及其形成方法
KR101378469B1 (ko) 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
US9082784B2 (en) Method of fabricating a semiconductor device having stacked storage nodes of capacitors in cell region separated from peripheral region
US11929393B2 (en) Integrated circuit devices and methods of manufacturing the same
CN107871654B (zh) 形成介电膜的方法及制作半导体装置的方法
TWI741269B (zh) 半導體元件及其製程方法
KR102675933B1 (ko) 반도체 메모리 소자 및 그의 제조 방법
CN109841619A (zh) 半导体结构切割工艺和由此形成的结构
CN111180506B (zh) 半导体器件
US10607855B2 (en) Method for fabricating semiconductor device using a hybrid mask pattern
KR20100104685A (ko) 커패시터를 갖는 반도체 장치의 형성방법
CN110957260A (zh) 鳍状场效晶体管的制作方法
US11764119B2 (en) Integrated circuit devices
KR20180087807A (ko) 반도체 장치의 제조 방법
KR20210012786A (ko) 수직형 반도체 장치 및 그 제조 방법
KR100640563B1 (ko) 콘케이브 구조의 캐패시터를 가지는 반도체 소자 및 그제조방법
CN112185963B (zh) 存储器及其形成方法
KR20080108697A (ko) 커패시터의 형성 방법 및 반도체 소자의 제조방법
US20230397404A1 (en) Integrated circuit device
US20220216230A1 (en) Semiconductor device and method for fabricating the same
US20240237344A1 (en) Three-dimensional memory device with reduced neighboring word line interference and methods of forming the same
KR20240005530A (ko) 집적회로 소자 및 이의 제조 방법
TW202335189A (zh) 積體電路裝置
TW202418948A (zh) 半導體元件