CN1083754C - 使用皮带式抛光垫抛光平面的设备和方法 - Google Patents

使用皮带式抛光垫抛光平面的设备和方法 Download PDF

Info

Publication number
CN1083754C
CN1083754C CN98106465A CN98106465A CN1083754C CN 1083754 C CN1083754 C CN 1083754C CN 98106465 A CN98106465 A CN 98106465A CN 98106465 A CN98106465 A CN 98106465A CN 1083754 C CN1083754 C CN 1083754C
Authority
CN
China
Prior art keywords
equipment
belt pulley
belt
polishing
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN98106465A
Other languages
English (en)
Other versions
CN1195595A (zh
Inventor
艾伯特·胡
伯福德·J·弗曼
***·阿布沙班
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN1195595A publication Critical patent/CN1195595A/zh
Application granted granted Critical
Publication of CN1083754C publication Critical patent/CN1083754C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/12Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving a contact wheel or roller pressing the belt against the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/06Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving members with limited contact area pressing the belt against the work, e.g. shoes sweeping across the whole area to be ground
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/8404Processes or apparatus specially adapted for manufacturing record carriers manufacturing base layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本发明涉及晶片、平板显示器(FPP)和硬驱动盘(HDD)的化学机械平面化(CMP)的设备和方法。优选设备包括相对于地面以垂直方向空间定位的环形皮带。抛光垫粘到该皮带的外表面上。皮带的内表面具有多个晶片支架。电机用于驱动环形皮带在两皮带轮上运转。调节装置用于调节皮带的张力和位置。该新型CMP设备能多方位地进行安装,从而节省制造空间。

Description

使用皮带式抛光垫抛光平面的设备和方法
本发明涉及半导体和硬驱动抛光设备,特别是涉及采用皮带式抛光垫(pad)制造晶片、平板显示器(FPD)以及硬驱动盘(HDD)的化学机械平面化(“CMP”)的设备和方法,以提高抛光晶片的产量率,同时减少了设备安装面积(“占地面积”)。
平面化技术对于新一代的ULSI(超大规模集成电路)器件,例如:高速、高计算效率的CPUs和高密度存储器芯片的工艺来说,是非常关键的。这些器件一般包括由金属和介质材料互相交替叠加而成的多层。金属层是由细小间距、精细-特征的互连线组成,以传输电信号。现今ULSI器件中这些线的宽度等于或小于0.5μm。介质层为金属互连线提供电绝缘和机械支撑。还用一般为SiO2的介质材料填充金属线间的空间。每个金属线都是用平版印刷术方法“构图”或制造在介质的顶面上。用于构图分辨率低于0.5μm的平版印刷术要求介质层非常平,以使高度的偏差保持在几十埃范围内。由于用低于0.5μm的光学平版印刷术的曝光工具的浅焦深(“DOF”)而需使整个平版印刷范围的局部和全部都需要是平面化的。
用于达到较高水平晶片平面化的常规技术包括;用激光照射晶片;自旋涂覆玻璃、树脂或其它聚合物涂覆晶片;以及用热回流材料如BPSG处理晶片。或者,采用新的介质沉积技术,例如在大气压下的TEOS-臭氧,ECRCVP以及用集束工具的dep/腐蚀/dep方案,都可达到该目的。然而,这些常规平面化技术中没有一个能提供预处理所要求的全部平面化。
CMP是满足全部规模的极度平面化要求的唯一公知平面化技术。CMP是通过机械磨光和化学腐蚀从衬底上把表面材料去掉的工艺。在CMP处理过程中,被抛光的衬底例如晶片,固定在托架(head)上,并压住用研磨浆浸湿的抛光垫。大多数CMP设备都产生在托架和垫之间的相对圆的轨道的、重叠的运动或者这些运动的组合,以进行机械磨光,同时研磨浆中的腐蚀剂进行化学腐蚀。CMP已表明了超出其它常规平面化技术的平面化能力,它的约束条件使产量低(一般每小时20-40个晶片),因此拥有者的成本很高。
CMP工艺的材料去除速率(抛光速率)可以通过下面的被称为普雷斯顿(preslon′s)等式的半经验公式确定:
去除速率(埃/分钟)=K×P×VREL其中P是衬底和垫之间的压力,VREL是衬底上的任意点和其在垫上的凸起之间的相对线速率。K是由研磨浆的化学成分、处理温度以及垫表面确定的常数。在传统CMP设备中,固定晶片的托架压靠垫,该垫粘到水平压磨板上。除了托架的旋转以外,压磨板也旋转,以实现晶片上的所有点进行相对线速率VREL的更均匀的分布。这需要托架和压磨板的同时旋转,因为不这样,VREL在晶片中心为零,而在晶片边缘最大。根据普雷斯顿公式,其结果是在晶片中心的去除速率很低,而在晶片边缘附近去除速率很高。
显然,为得到晶片上均匀的去除速率,VREL必须在衬底上的所有点都是一致的。对于晶片来说衬底是圆形的,对于FPD来说衬底是方形的。在传统CMP设备中,压磨板必须足够大,以便容纳放置在压磨板边缘附近的托架,因此使晶片中心的相对线速度与其边缘的相对线速度接近。压磨板的典型直径是30-36英寸,典型转速是20-40rpm。在CMP工艺过程中,作用于晶片的典型压力约为7psi。在把一个8英寸晶片(200mm)以上述压力压在压磨板上时,总作用力是352pdf(磅作用力)。然而,为在抛光晶片上获得微米级的准确度和均匀性,旋转压磨板的变形必须最小。为达到该目标,用在各种现有CMP设备中的压磨板的面积和体积必须都大。
由于这种尺寸条件的限制,上述CMP设备不能适用于抛光新一代FPD和趋于变大的晶片衬底。特别是,如果用在制造下一代ULSI器件的12英寸(300mm)晶片,以上述压力压在压磨板上,则有792pdf的向上作用力动态地作用于压磨板上。因此,压磨板必须比一般结构的面积和体积更大。另外,如果使多个托架应用在相同压磨板上,以提高产量;例如:固定8英寸(200mm)晶片的6个托架,则作用于压磨板的总向下作用力高达2112pdf。如果固定12英寸晶片的三个托架压向压磨板,那么总的向下作用力能达到2376pdf。而且,为提高产量,压磨板的转速必须提高,这对于压磨板来说就需要更大体积的支架。
因此上述CMP工艺和设备距离理想情况还差得较远,还需要作实质上的改进。
第一,传统CMP设备的一个主要缺陷是生产率受限制。从用在传统CMP设备中的托架/压磨板机构所获得的最大相对线速率实际上是150ft/min,这大大限制了通过这种机构所获得的最大去除速率。
第二,一般CMP抛光机的另一个缺陷是安装面积大,因为这些抛光机采用一个水平定位的大压模板。这种抛光机一般占地面积超过25平方英尺,这在现代晶片制造厂房中占去了有价值的制造空间。
第三,如上所述,随着将来晶片和FPD尺寸的增加(例如12英寸晶片),一般CMP技术所用的托架/压磨板机构,不适用于大型晶片和FPD抛光。压磨板体积必须很大以提供抵抗大轨道下压力的稳定性。
第四,如上所述,压磨板必须是大面积的,或者,为获得大衬底上的均匀相对线速率以达到衬底上的均匀去除速率,压磨板上托架上的振动机构是必须的。
因此对于新型CMP设备来说,必须能够提高晶片产量,减少设备占地面积,适应大型晶片或FPD的抛光作业,并在整个将抛光的衬底上提供均匀去除速率。
因此,本发明的一个目的是提供多方向定位的带式CMP设备,该设备实质上具有较高产量,较小占地面积,衬底上的均匀的较高相对速率,并且比一般现有设备有更小型机构的更高度的平面化。
本发明的另一目的是提供特别适用于抛光大尺寸晶片和FPD的CMP设备,这些大尺寸晶片和FPD不适于、也很少希望用现有技术的抛光机和方法进行处理。
本发明的又一目的是提供具有小体积结构的带式CMP设备,从而在没有增加设备尺寸的情况下产生高的相对速率,如同在一般旋转压磨板中,这种设备具备固定的晶片支架以删除如一般旋转压磨板所要求的大型支架的需要,从而这种设备最好在相对地板空间的垂直位置定位,从而使其占地面积减少到小于15平方英尺。
发明的又一目的是提供带式晶片抛光设备,以提高生产可靠性,并且减少由于设备保养导致的停机时间,并能同时获得高产量和较好质量的产品,这将使拥有者的成本降低。
发明的再一个目的是提供使用所提供的带式抛光机的抛光方法。
上述目的通过本发明的CMP设备来实现,该设备将提供在衬底例如晶片的抛光表面上的均匀抛光速率,和相对较高的抛光衬底的产量,并且比现有的设备占地面积小。优选实施例包括在与地面垂直的有利方向空间定位的环形皮带。抛光垫粘到或固定到皮带的外表面上。皮带的内表面具有多个晶片支架,用以在抛光处理时支撑晶片。在抛光前,用自动装卸结构将晶片从晶片工位运到晶片托架上,抛光后,再从晶片托架卸回到晶片工位。电机或等同物用于驱动两皮带轮上的环形皮带运转。调节装置用于调节滑动运转的皮带的张力和位置。
由本发明提供的CMP设备,使用了带有粘到环形皮带上的抛光垫运转环形皮带,代替了带有粘到压磨板上的抛光垫的旋转压磨板。晶片托架固定晶片,并将其压靠皮带和晶片支架。将许多组晶片托架安装在使晶片装载和卸载的可移动装卸结构上,同时对一组晶片托架上的晶片进行抛光。这种新的CMP设备能在多个方向上安装。几个设备可在不同位置上排列,以节约制造空间。为利用皮带式抛光机构,本发明还提供使用皮带式CMP设备的方法,以提高产量同时减少占地面积。
图1是本发明优选实施例的带有皮带式抛光垫的CMP设备示意图;
图2是本发明优选实施例的带有皮带式抛光垫的CMP设备的侧视图;
图3是本发明优选实施例的带有皮带式抛光垫的CMP设备的顶视图;
图4表示本发明的装卸结构;4A是装卸结构的顶视图,4B是侧视图;4C表示处在运动中的装卸装置,4D是处在抛光位置的装卸结构;4E和4F表示装卸结构相对于皮带式抛光垫的顶部装载位置;
图5表示能进行直线和旋转运动的转轴机构;5A表示抛光轴和枢轴;5B和5C表示安装在支架上的转轴机构;
图6A是本发明优选实施例的可调节皮带轮固定器的顶视图,6B是侧视图;
图7A和7B表示本发明固定皮带轮固定器的顶视图和侧视图;7C和7D是晶片支架的顶视图和侧视图;
图8A和8B表示支承可调皮带轮固定器的固定器;
图9表示能进行旋转和直线运动的球面推力滚珠轴承;
图10A、10B和10C是本发明优选实施例的系列CMP设备的可能的具体方位;以及
图11A和11B表示本发明皮带相对地面垂直转动的CMP设备的另一方位。
参照图1、2和3,可以看到本发明的多方位皮带式CMP设备的优选实施例,其包括带有粘到皮带1外表面上的抛光垫1a的环形皮带1,基座29,一个固定皮带轮2,同固定皮带轮固定器5固定,并通过连接装置7连接到无刷AC电机8或任何其它适合的电机上。连接装置7可以是V型皮带,也可以是双输送链,不管哪一种都比较适用于支撑运转皮带1所要求的高转矩。多方位皮带式CMP设备还包括由可调皮带轮固定器21固定的可调皮带轮6,以提供一个调节机构,该调节机构与下面描述的其它元件相连,用于控制可调皮带轮6的一个直线运动和两个旋转调节运动,由此能够适当调节和控制皮带1的张力。皮带轮2和6可以是平的或带有凸缘的。如果采用凸缘皮带轮,将有助于横穿轨道调节。在该优选实施例中使用的是平皮带轮。
参照图7A至7D,固定皮带轮固定器5具有顶部件5a和底部件5b,它们都整体地连接到垂直部件5c上,而垂直部件5c垂直放置在顶部件5a和底部件5b的一半长度之间,以确定容纳固定皮带轮2的空间。固定皮带轮固定器5还包括轴3,两个球形滚珠轴承17和多个晶片支架23。轴3穿过固定皮带轮2并横跨上述空间的整个长度,以便连接分别在顶部件5a和底部件5c上的第一和第二球形滚动滚珠轴承17,直到与固定皮带轮固定器5的底部件5c相连的轴3的一端与连接装置7啮合为止,以提供固定皮带轮2的驱动机构。固定皮带轮固定器5的底部件5b紧紧固定在基座29上,而基座29牢固地安装在地板空间。每个晶片支架23通过晶片轴23a,借助于晶片轴销23b固定到垂直部件5c的前边或后边,从而使晶片支架23与皮带1的内表面直接接触设置。晶片轴销23b从垂直部件5c的一边而不是从垂直部件5c的前边和后边,可拆卸地安装在晶片轴23a上,从而使组装容易和容易改变晶片支架23。轴边界23c位于晶片支架23和晶片轴23a之间,以在抛光处理过程中防止垂直部件5c内的晶片轴23a回缩。晶片支架23可以是各种各样的尺寸和形状,这取决于被抛光的晶片、器件或其它任何衬底或材料的尺寸和形状。晶片支架23的尺寸一般比晶片尺寸稍大,以便补偿晶片支架23上的晶片的任何可能产生的不对准。
现在一起参照图1,2,3,5和6来说明上述调节机构。调节机构包括支架35,转轴机构18,两对调节臂24和24a,一对球头螺钉14,啮合在一对调节螺钉20内的一对蜗轮28。支架35的末端牢固地安装在基座29上,从而为可调皮带轮固定器21提供机械支撑,并固定转轴机构18。如图5A、5B和5C,转轴机构18包括,抛光轴27,枢轴26,和轭架36。轭架36具有两个侧臂36a和与两侧臂36a整体连接且在两侧臂之间的横向元件36b,从而通过下述方式使轭架36将抛光轴27和枢轴26连接在一起,作为一个单机械单元,该方式将抛光轴27的一端可拆卸地连接到轭架36的横向元件36b的下边,而枢轴26横向连接在轭架36的两侧臂36a之间,以形成凹穴36c,它能使可调皮带轮固定器21在一定程度上根据调节动作绕转轴机构18运动。支架35具有位于其中间部分周围的抛光孔19,在该孔处,抛光轴27穿过支架35并与支架35接合。同时,转轴机构18的枢轴26通过可调皮带轮固定器21的中间部分中的抛光孔37,也与可调皮带轮固定器21接合,以使转轴机构18能执行两个调节运动,即:一个沿着由与皮带1在同一平面内的抛光孔19确定的路径上的直线运动,和一个绕直线运动的轴的旋转运动。通过枢轴26借助将在下面描述的一对球头螺钉14和一对蜗轮28的连接作用,转轴机构18也能绕着与皮带1的平面垂直的轴旋转。
第一对调节臂24牢固地安装在支架35的顶部,第二对调节臂24a牢固地安装在支架35的底部,从而根据支架35上的调节臂24、24a的安装位置,分别为可调皮带轮固定器21的顶部和底部提供调节运动。如图2和8所示,每对调节臂24、24a都具有第一臂和第二臂。调节运动通过利用可压缩地设置在对着可调皮带轮固定器21的第一臂上的底孔处的弹簧25,和安装在第二臂上的调节螺钉20的连接作用进行控制,而第二臂促使蜗轮28对着可调皮带轮固定器21向后或向前运动,反之亦然。由于弹簧25和蜗轮28位于各个相互对着的臂上,所以蜗轮28用于在一个方向转动可调皮带轮固定器21,而弹簧25用于在相反方向绕转轴机构18的抛光轴27,转动可调皮带轮固定器21。这个转动很小,其范围从2°到最大值5°。
现在一起参照图2,3和图4,可调皮带轮固定器21使用与皮带轮轴9相连的一对球形滚珠轴承17固定可调皮带轮6,从而可调皮带轮6通过电机8的驱动而绕皮带轮轴9自由转动。可调皮带轮固定器21具有顶块21a和底块21b,它们都与垂直块21c可拆卸地连接,垂直块21c垂直设置在顶块21a和底块21b的一半长度之间,以确定容纳可调皮带轮6的空间。顶块21a和底块21b通过螺钉21d与垂直块21c相连。螺钉21d可拆卸地拧在垂直块上,以使顶块21a和底块21b为将皮带1容易拆卸和改变而移开。
参照图2和图9,支架35还包括第一对球头螺帽15,每个螺帽分别对着支架35上的球形推力滚珠轴承16,安装在抛光孔19的上面和下面位置。为了使一对球头螺钉14为可调皮带轮固定器21承担调节功能,第二对球头螺帽15a对着第二对球形推力滚珠轴承16a,对应支架35上的对应物的位置安装在可调皮带轮固定器21上。这样,可调皮带轮固定器21用支架35通过转轴机构18和一对球头螺钉14固定。每个球头螺钉14具有一尖端和一扁平端。如图9所示,每个扁平端具有内螺纹14a和固定销14b,通过螺纹14a和固定销14b,每个扁平端牢固地安装在可调皮带轮固定器21上的球头螺帽15a里面。球头螺钉14的每个尖端紧紧地但是可调地拧在支架35上的球头螺帽15上。两球头螺钉14和球头螺帽15a可以在不丧失它们功能性的情况下相反方向配置。这两个球头螺钉14可以在与转轴机构18接合的相反方向独立地调节,从而通过绕着垂直于皮带1的平面的轴转动可调皮带轮固定器21,以便调节可调皮带轮固定器21。另外,两球头螺钉14能在相同方向调节,以拉紧或放松皮带1的张力。
可调皮带轮固定器21的调节用于下列两个目的:第一,为皮带1的横向位置提供横向轨道调节。第二,能对皮带的张力提供精确控制和调节,从而不管皮带1是任何锥形,都能保证皮带1中的均匀张力。
皮带张力由固定可调皮带轮6的可调皮带轮固定器21的直线运动来控制。如上所述,可调皮带轮固定器21可通过各种方式进行调节,以得到所要求的皮带张力。例如:由与转轴机构18接合的球头螺钉14的动作提供的调节机构被用于这个目的,以使皮带1的两侧张力相同。利用两个独立的球头螺钉调节皮带1中的张力之后,通过下述两旋转运动调节横向轨迹。
除了要求可调皮带轮6绕可调皮带轮6的轴9的转动,和可调皮带轮6沿皮带1的平面的直线运动以外,横向轨迹的调节通过具有两自由度的运动(即:绕两垂直轴的旋转运动)来控制。特别是,第一旋转轴处在直线运动(垂直于可调皮带轮6的轴9)的同一平面内,且穿过轴9的中点。第二旋转轴位于与由第一旋转轴确定的第一平面相垂直的平面上,并且也穿过可调皮带轮6的轴9的中点。这个旋转绕着配合在抛光孔19内的抛光轴27的圆柱部分进行。这样,除了由皮带1驱动的旋转运动之外,可调皮带轮6具有用于调节运动的三个自由度,即:一个直线的和两个旋转的自由度。
再参照图1和3,进一步说明晶片支架23。如上所述,晶片支架根据要抛光的晶片或半导体器件的直径和形状可具有各种各样的尺寸和形状。在本发明优选实施例中,晶片支架23是带有如图7所示圆边的平圆板。晶片支架23用于保持皮带式抛光垫平坦,以帮助减少抛光晶片上的任何可能的边缘效应。为利用这样的设计,晶片装卸结构40可以在直接对着相应晶片支架23的位置合适地配置,或放置在皮带1的外表面上,从而使晶片固定并压靠垫式抛光皮带1。晶片支架可以设计得能沿垂直于抛光皮带1的轴旋转,或者通过沿垂直元件5c向上和向下的运动而进行某些振动转动,或者两者的组合。另外,作为本发明的优选实施例,晶片支架是安装在固定皮带轮固定器5的垂直元件5c上的。在这种情况下,抛光过程中唯一需要的运动是环形皮带1的旋转运动。
现在参照图4A至4F进一步描述晶片装卸结构40。如上所述,一般使用将晶片运到和运回装载和卸载工位(未示出)的晶片装卸结构40,将晶片进行装载和卸载,晶片装卸结构40包括法兰基座43和由此伸出的一对平行装卸臂47。每个装卸臂包括用于固定晶片的多个晶片托架41。每个晶片托架41与加压装置42整体连接,加压装置42作为装卸臂47的内部结构形成。在晶片装卸结构40沿着生产线或者通过位于法兰基座43的中间部分的枢轴44的轨道运动时,加压装置可嵌入装卸臂47内。在晶片装卸结构40装载、卸载晶片时,以及在晶片抛光过程中,加压装置能从装卸臂伸出或突出。加压装置的功能是提供对着抛光垫1b施加于被抛光晶片上的所需的作用力。晶片由晶片托架41通过局部真空固定,该局部真空直接通过真空线路45提供给晶片托架41。加压装置42可以是与压缩空气线路46相连的、以提供气动力的气动汽缸。另外,加压装置42可以是由电线提供的电动机,或者是能够提供机械的,水力的,或气动的作用力,以便施加于对着抛光垫1b固定的晶片的任何适合装置。由于本发明提供的晶片装卸结构40不需要旋转的和横向的运动,因此消除和避免了现有技术抛光设备中所需要的驱动电机,和与其相关的复杂的控制机构。
当设备的方位相对于CMP设备牢牢固定的地面垂直时,晶片在垂直位置装卸。晶片装卸结构40能以各种方式向着抛光垫式皮带1运动,这取决于抛光设备的取向。如图4E和4F所示,其描述顶部装载晶片装卸结构40,装卸结构40从CMP设备的顶侧向着抛光带1运动,直到晶片托架41沿着固定皮带轮固定器5的垂直部件5c与晶片支架23对准配合为止。气动汽缸或其它加压装置42将伸出,以使晶片托架41远离它们各自的装卸臂47运动,直到晶片托架41根据所需要的去除厚度以大约7psi的压力接触到垫式皮带1为止。从有关CMP工艺的公知技术可以了解到,一组晶片被抛光的同时,另一组(或多组)晶片可以装载和卸载。另外,晶片托架41可以没有任何实质地运动而停止在装卸臂47上,或者晶片托架41还可以沿着垂直于抛光带1的轴转动,或者通过沿着装卸臂47向上和向下运动而进行某些振动转动,或者是两者的结合运动。
多方位皮带式CMP设备可使用不同的抛光垫为初级和修整阶段进行抛光。抛光工艺(初级或修整)进行后,气动汽缸42缩回而使晶片远离抛光垫式皮带运动,在此处还为介质厚度或下一个抛光阶段而对晶片进行监控。晶片回到装载和卸载工位后,在最后使用之前还将送到清洗工位。
晶片支架的数量,以及固定在其上的并通过根据本发明的多方向皮带式CMP设备抛光的晶片的数量,可以随着抛光皮带1的尺寸和长度和晶片支架23的尺寸而变化。例如,在没有任何限制的情况下,为了说明的目的,在固定皮带轮固定器5的每侧的两晶片支架23用于8英寸晶片,一个晶片支架用于12英寸晶片。很显然,随着抛光带1的尺寸和长度的变化,多方位皮带式CMP设备能很容易地容纳同时抛光的更多的晶片,而没有增加复杂的机械控制机构。这样,本发明提供的CMP设备不仅能提高较小晶片的工艺产量,而且还能为较大晶片的工艺提供方便的灵活性。左右设置晶片支架23(8英寸晶片的情况下),在抛光工艺过程中一个晶片破裂的情况下,防止了对其它晶片的任何损坏,晶片支架23比晶片的直径稍大,从而防止皮带向下凹陷或向下弯曲,以保证晶片表面总是平行于垫式皮带的表面。现有技术中公知的抛光垫调节器(未示出)可以位于皮带1的相对侧(皮带绕皮带轮2和6运转之前),从而在它移动到下一个晶片之前,从抛光垫1b上可除去任何颗粒,并提高皮带1的性能。
本发明提供的CMP设备的优点还在于,由于相对CMP设备可牢牢安装的装配空间的方位多样性,而使其具有空间灵活性。CMP设备的方位相对于CMP设备装配的地面由抛光带(或晶片支架)的方位来确定。如果抛光带1或/和晶片支架23的方位是相对于地面垂直,则该设备就是所谓的垂直方位,如图1所示,装配CMP设备的另一种方式如图11所示,其中抛光带相对于地面垂直转动,抛光带的方位相对于地面平行,则该设备就是所谓的水平方位。在任何情况下,无论CMP设备是垂直或水平方位,本发明提供的CMP设备都可以相对于装配空间以多样化的方位进行立体安装,从而使晶片或其它衬底由相对于皮带式抛光装置1以下述方式确定的抛光平面的装卸装置固定,该方式为:所述抛光平面的正常矢量实质上不平行于,或者说最好是垂直于重力加速度矢量。
可以通过参照图10以举例方式更好地理解根据本发明的多方位的皮带式CMP设备的优点。图10中,多个多方位皮带式CMP设备可以以不同方式安装,以适用于不同的需要和目的,例如:为节省空间、提高晶片装载和卸载效率等,如图10A所示的底部对顶部的结构;图10B所示的背对背的结构;图10c所示的侧面对侧面的结构。
与常规旋转式CMP设备相比,多方位皮带式CMP设备的优点更加明显了。首先,皮带1能根据晶片的材料和所要求的去除厚度以10-80ft/sec的速度直线运动。该直线运动是均匀的,并且在皮带和晶片上所有点的速度都是相同的。这将使晶片的所有点的抛光速率相同,并将消除了常规旋转式CMP设备不希望有的边缘效应。其次,多方位皮带式CMP的设备利用一个直线运动来抛光晶片,以代替三个旋转运动,因此大大简化了CMP设备的结构,减少了设备的制造和维护成本,并提高了工作可靠性。另外,作用于晶片上的具有均匀压力的直线运动将减少了晶片破裂的危险,并且特别适用于必然越来越昂贵的较大型晶片。另外,垂直方位的皮带式CMP设备的地面安装面积(占地面积)显著减少,因为这样的垂直方位需要很少的地面空间。由于这种垂直方位的设备能够前后排列安装,因此它能在给定时间内装卸多个晶片,从而与常规旋转式CMP设备相比提高了抛光产量。
皮带式CMP设备还能用于抛光任何衬底,或者具有平面的物件,其中包括晶片,平板显示器(FPD),硬驱动盘(HDD),硬盘磁性驱动装置或任何其它需要抛光的物件,而且不限于此。
对本领域熟练人员来说,根据前面公开的文字和实质以及在本发明范围以内,显然可作出其它实施例、改进、细节和应用。

Claims (85)

1.一种用于抛光具有平坦表面的物件的设备,包括:
用于抛光所述平坦表面的抛光装置;
与所述抛光装置耦合相连用于旋转所述抛光装置的驱动装置;
用于固定所述抛光装置的固定装置;
用于压靠所述抛光装置固定物件用以进行抛光的装卸装置;以及
该设备相对于所述设备牢牢安装的安装空间以多方位立体安装,从而使物件的所述平坦表面相对于由所述抛光装置以下述方式确定的抛光平面进行固定;该方式为相对于所述抛光平面的正常矢量实质上不平行于重力加速度矢量。
2.如权利要求1的设备,还包括所述固定装置牢牢固定于其上的基座。
3.如权利要求1的设备,其中所述抛光装置包括环形皮带,该环形皮带具有牢牢粘到其外表面的抛光垫。
4.如权利要求1的设备,其中所述物件是半导体材料。
5.如权利要求4的设备,其中所述半导体材料是晶片或平板显示器。
6.如权利要求1的设备,其中所述物件是磁数据存储材料。
7.如权利要求6的设备,其中所述磁数据存储材料是硬驱动盘。
8.如权利要求3的设备,其中所述固定装置包括第一皮带轮和第二皮带轮,所述第一皮带轮由所述驱动装置驱动,并促使所述环形皮带绕所述第一皮带轮和所述第二皮带轮运转。
9.如权利要求8的设备,其中所述固定装置还包括第一皮带轮固定器和第二皮带轮固定器,以分别固定所述第一皮带轮和所述第二皮带轮。
10.如权利要求9的设备,其中所述第一皮带轮固定器还包括反向于所述装卸装置,对着所述环形皮带的内表面,可拆卸地固定在所述第一皮带轮固定器的每侧上的多个晶片支架,从而为要抛光的物件提供反向支持。
11.如权利要求10的设备,其中所述晶片支架的数量和直径可以变化。
12.如权利要求9的设备,其中所述第一皮带轮固定器牢固地安装在所述基座上。
13.如权利要求9的设备,其中所述第二皮带轮包括皮带轮调节装置,用以调节所述第二皮带轮固定器和所述第二皮带轮,以控制所述环形皮带的张力。
14.如权利要求13的设备,其中所述皮带轮调节装置包括第一控制装置,用以控制沿着由所述环形皮带确定的平面、向着或远离所述第一皮带轮固定器的所述第二皮带轮固定器的直线调节运动;第二控制装置,用以控制所述第二皮带轮固定器、绕着垂直于所述环形皮带的平面的轴的旋转调节运动;以及第三控制装置,用以控制所述第二皮带轮固定器绕着所述直线调节运动的轴的旋转调节运动。
15.如权利要求14的设备,其中所述皮带轮调节装置还包括具有多个安装孔的支撑装置,所述支撑装置牢牢固定于所述基座上,从而使所述第一控制装置,所述第二控制装置和所述第三控制装置通过所述安装孔可拆卸地固定于所述支撑装置上。
16.如权利要求15的设备,其中所述第一控制装置包括由圆形抛光轴、圆枢轴和轭架组成的转轴机构,所述圆形抛光轴牢牢连接到所述轭架一端的下边,所述枢轴可拆卸地连接到所述轭架的另一端,从而形成所述转轴机构,通过转轴机构,所述圆抛光轴滑动安装在所述支撑装置的一个所述安装孔上,而所述圆枢轴滑动连接在所述第二皮带轮固定器的安装位置上,用于控制所述第二皮带轮固定器向着或远离所述第一皮带轮固定器的所述直线调节运动。
17.如权利要求15的设备,其中所述第二控制装置包括两个独立可调球头螺钉和球头螺帽,它们通过所述安装孔,以它们的一端紧紧可调地连接到所述支撑装置上,并以它们的另一端紧紧可调地连接到所述第二皮带轮固定器,用以控制所述第二皮带轮固定器,绕着垂直于所述环形皮带平面的所述轴的所述旋转调节运动。
18.如权利要求17的设备,其中所述两独立可调球头螺钉和球头螺帽能在相同方向或相反方向调节。
19.如权利要求14的设备,其中所述第三控制装置包括一对独立的调节装置,每个独立调节装置具有紧固于所述支撑装置上的一端、和可调节地紧固于所述第二皮带轮固定器的另一端,以控制所述第二皮带轮固定器,绕着所述直线调节运动的所述轴的所述旋转调节运动。
20.如权利要求19的设备,其中每个独立调节装置包括一对反向面对的调节臂,该调节臂通过固定在所述第二皮带轮固定器的相反侧上而彼此分离;啮合在位于第一调节臂的调节螺钉中的蜗轮;以及设置在位于与所述蜗轮相反的第二调节臂的底部孔上的弹簧,从而能调节所述调节螺钉,以拉紧或松开所述第二皮带轮固定器,同时所述弹簧为所述调节螺钉提供反力。
21.如权利要求1的设备,其中所述装卸装置包括装卸结构,该结构包括具有一对反向面对延伸的臂的基座部件,每个所述臂具有用于固定抛光晶片的多个晶片托架。
22.如权利要求21的设备,其中所述装卸结构还包括对着所述抛光垫对晶片施加作用力、并向着或远离所述抛光垫移动晶片的加压装置。
23.如权利要求22的设备,其中所述加压装置是气动汽缸。
24.如权利要求22的设备,其中所述加压装置是电动机。
25.如权利要求1的设备,其中所述驱动装置是电动机。
26.如权利要求1的设备,其中所述装配空间是所述设备以空间方位牢固地安装在其上的地面,从而使相对于所述抛光平面的正常矢量垂直于重力加速度矢量,以减少所述设备在所述地面上的占地面积。
27.如权利要求1的设备,其中所述装配空间是垂直于地面的墙,从而使相对于所述抛光平面的正常矢量垂直于重力加速度矢量,以减少所述设备在地面上的占地面积。
28.如权利要求11的设备,其中所述晶片支架相对于所述抛光装置的旋转运动是静止的。
29.如权利要求11的设备,其中所述晶片支架相对于所述抛光装置的旋转运动是转动的。
30.如权利要求11的设备,其中所述晶片支架相对于所述抛光装置的旋转运动是振动的。
31.如权利要求21的设备,其中所述装卸结构还包括真空源,以使所述晶片托架在真空下固定晶片。
32.如权利要求1所述的用于抛光具有平坦表面的物件的设备,其中,
所述抛光装置包括具有抛光垫的环形皮带,该抛光垫紧紧粘到所述环形皮带的外表面,以在平坦表面的所有点上提供均匀抛光速率;
所述驱动装置耦合连接到所述环形皮带以转动所述环形皮带;
所述固定装置是皮带轮组件,用于为所述环形皮带固定和提供张力调节机构;
所述装卸装置用于抵靠环形皮带固定物件用以抛光。
33.如权利要求32的设备,还包括所述皮带轮组件的一部分紧固其上的基座。
34.如权利要求32的设备,其中所述物件是半导体材料。
35.如权利要求34的设备,其中所述半导体材料是晶片或平板显示器。
36.如权利要求32的设备,其中所述物件是磁数据存储材料。
37.如权利要求36的设备,其中所述磁数据存储材料是硬驱动盘。
38.如权利要求32的设备,其中所述皮带轮组件包括第一皮带轮和第二皮带轮,所述第一皮带轮由所述驱动装置驱动,该装置促使所述环形皮带绕所述第一皮带轮和所述第二皮带轮运转。
39.如权利要求38的设备,其中所述皮带轮组件还包括第一皮带轮固定器和第二皮带轮固定器,以分别固定所述第一皮带轮和第二皮带轮。
40.如权利要求39的设备,其中所述第一皮带轮固定器的每侧还包括对置所述装卸装置抵靠所述环形皮带内表面可拆卸地安装的多个晶片支架,以便为要抛光的所述物件抵住着所述固定装置提供反向支持。
41.如权利要求40的设备,其中所述晶片支架的数量和直径可以变化。
42.如权利要求41的设备,其中所述第一皮带轮固定器紧紧固定于所述基座上。
43.如权利要求42的设备,其中所述第二皮带轮固定器包括皮带轮调节装置,以调节所述第二皮带轮固定器和所述第二皮带轮,用以控制所述环形皮带的张力。
44.如权利要求43的设备,其中所述皮带轮调节装置包括,第一控制装置,以控制所述第二皮带轮固定器,沿着由所述环形皮带确定的平面向着或远离所述第一皮带轮固定器的直线调节运动;第二控制装置,以控制所述第二皮带轮绕着垂直于所述环形皮带的平面的轴的旋转调节运动;和第三控制装置,以控制所述第二皮带轮固定器绕着所述直线调节运动的轴的旋转调节运动。
45.如权利要求44的设备,其中所述皮带轮调节装置还包括,具有多个安装孔的支撑装置,所述支撑装置紧固于所述基座上,从而所述第一控制装置,所述第二控制装置和所述第三控制装置通过所述安装孔可拆卸地安装在所述支撑装置上。
46.如权利要求44的设备,其中所述第一控制装置包括由圆形抛光轴、圆形枢转和轭架组成的转轴机构,所述圆形抛光轴紧紧连接于所述轭架一端的下边,所述枢轴可拆卸连接于所述轭架的另一端,以形成所述转轴机构,通过此转轴机构,所述圆形抛光轴滑动安装在所述支撑装置的一个所述安装孔上,而所述圆形枢轴滑动连接到所述第二皮带轮固定器的安装位置上,以控制所述第二皮带轮固定器向着或远离所述第一皮带轮固定器的所述直线调节运动。
47.如权利要求44的设备,其中所述第二控制装置包括一对独立可调球头螺钉和球头螺帽,两者以它们的一端通过所述安装孔紧紧而可调地连接到所述支撑装置上,并以它们的另一端可调地连接到所述第二皮带轮固定器上,以控制所述第二皮带轮固定器绕着垂直于所述环形皮带的平面的轴的所述旋转调节运动。
48.如权利要求47的设备,其中所述一对独立可调球头螺钉和球头螺帽可以在相同方向或相反方向调节。
49.如权利要求44的设备,其中所述第三控制装置包括一对独立调节装置,每个所述独立调节装置的一端牢牢安装在所述支撑装置上,另一端紧紧可调地安装在所述第二皮带轮固定器上,以控制所述第二皮带轮固定器绕所述直线调节运动的所述轴的所述旋转调节运动。
50.如权利要求49的设备,其中每个所述独立调节装置包括:一对反向面对的调节臂,通过固定在所述第二皮带轮固定器的相反侧上,调节臂彼此分离;蜗轮,啮合在位于第一调节臂的调节螺钉内;和弹簧,对置于所述蜗轮设置在位于第二调节臂的底部孔上,以使所述调节螺钉能够调节以拉紧或松开所述皮带轮固定器,而所述弹簧为所述调节螺钉提供反力。
51.如权利要求32的设备,其中所述装卸装置包括装卸结构,该结构包括具有一对反向面对延伸的臂的基座部件,每个所述臂具有固定抛光晶片的多个晶片托架。
52.如权利要求51的设备,其中所述装卸结构还包括向着所述抛光垫对由所述晶片托架固定的晶片施加作用力,并向着或远离所述抛光垫移动晶片的加压装置。
53.如权利要求52的设备,其中所述加压装置是气动汽缸。
54.如权利要求52的设备,其中所述加压装置是电动机。
55.如权利要求52的设备,其中所述驱动装置是电动机。
56.如权利要求32的设备,其中所述装配空间是所述设备以空间取向牢牢安装在其上的地面,从而相对所述抛光平面的正常矢量垂直于重力加速度矢量,以减少所述设备在所述地面的占地面积。
57.如权利要求32的设备,其中所述装配空间是垂直于地面的墙,以使相对所述抛光平面的正常矢量垂直于重力加速度矢量,以减少所述设备在地面上的占地面积。
58.如权利要求51的设备,其中所述装卸结构还包括真空源,以使所述晶片托架在真空下固定晶片。
59.一种抛光具有平坦表面的物件的方法,包括:
将所述物件放置在固定所述物件从而与抛光装置稳定接触的装卸装置中,其中所述抛光装置牢固地安装在装配空间,从而所述物件的所述平坦表面相对于由所述抛光装置以下述方式确定的抛光平面被所述装卸装置固定,该方式为相对所述抛光平面的正常矢量实质上不平行于重力加速度矢量;和
通过驱动装置转动所述抛光装置,以抛光所述平坦表面足够长的时间,直到达到所述物件所需要的抛光速率为止。
60.如权利要求59的抛光具有平坦表面的物件的方法,其中所述抛光装置包括具有紧粘到其上的抛光垫的环形皮带。
61.如权利要求60的抛光具有平坦表面的物件的方法,其中所述装卸装置是抵靠所述抛光装置牢固地固定所述物件,以在所述平坦表面的任何点达到均匀抛光速率的装卸结构。
62.如权利要求60的抛光具有平坦表面的物件的方法,其中所述装卸装置是抵靠所述抛光装置固定所述物件,以允许有些振动转动,从而在所述平坦表面的任何点达到均匀抛光速率的装卸结构。
63.如权利要求59的抛光具有平坦表面的物件的方法,其中所述物件是半导体材料。
64.如权利要求60的抛光具有平坦表面的物件的方法,其中所述半导体材料可以是晶片或平板显示器。
65.如权利要求59的抛光具有平坦表面的物件的方法,其中所述物件是磁数据存储材料。
66.如权利要求65的抛光具有平坦表面的物件的方法,其中所述磁数据存储材料是硬驱动盘。
67.如权利要求59的抛光具有平坦表面的物件的方法,其中所述抛光平面的正常矢量的所述实质上不平行的位置,最好垂直于重力加速度矢量。
68.根据权利要求59所述的抛光具有平坦表面的物件的方法,其特征在于,所述抛光装置包括一皮带式抛光装置,
通过驱动装置转动所述皮带式抛光装置,从而以不小于300ft/min的相对速率抛光所述平面足够长的时间,直到达到所述构件所需要达到的抛光速率为止。
69.如权利要求68的抛光具有平坦表面的物件的方法,其中所述装卸装置是抵靠所述抛光装置固定所述物件,以在所述平坦表面的任何点达到均匀抛光速率的装卸结构。
70.如权利要求69的抛光具有平坦表面的物件的方法,其中所述物件是半导体材料。
71.如权利要求70的抛光具有平坦表面的物件的方法,其中所述半导体材料是晶片或平板显示器。
72.如权利要求68的抛光具有平坦表面的物件的方法,其中所述物件是磁性数据存储材料。
73.如权利要求72的抛光具有平坦表面的物件的方法,其中所述磁数据存储材料是硬驱动盘。
74.如权利要求68的抛光具有平坦表面的物件的方法,其中所述抛光平面的正常矢量的所述实质上不平行的位置,垂直于重力加速度矢量。
75.一种抛光物件的***,该***包括多个权利要求1所述的设备。
76.如权利要求75所述的***,其特征在于,所述设备安装在彼此平行的所述安装空间中。
77.如权利要求75所述的***,其特征在于,所述设备前后对齐地安装。
78.如权利要求75所述的***,其特征在于,所述设备一个在另一个之上地安装。
79.如权利要求59所述的抛光抛光具有平坦表面的物件的方法,其特征在于,其还包括:
在第一位置放置支持所述抛光装置的内表面的支承件;
所述装卸装置在第二位置放置所述物件抵靠所述抛光装置的外表面;其中所述第二位置与第一位置正好相对;
通过沿一第一轴移动一皮带轮、和沿一第二轴旋转所述皮带轮调整支持所述抛光装置的该皮带轮,其中所述第二轴垂直于所述第一轴。
80.如权利要求79所述的方法,其特征在于,所述第一轴与地水平。
81.如权利要求79所述的方法,其特征在于,放置支承件的步骤进一步包括:抵靠所述抛光装置的内表面上下移动所述支承件。
82.如权利要求79所述的方法,其特征在于,放置支承件的步骤进一步包括:旋转所述支承件。
83.如权利要求79所述的方法,其特征在于,所述装卸装置上下移动所述物件并使得其抵靠所述抛光装置的外表面。
84.如权利要求79所述的方法,其特征在于,所述装卸装置旋转所述物件。
85.如权利要求79所述的方法,其特征在于,进一步包括选择所述支承件的形状和尺寸,使得所述物件抵靠所述支承件而稳定接触所述抛光装置的所述外表面。
CN98106465A 1997-02-21 1998-02-20 使用皮带式抛光垫抛光平面的设备和方法 Expired - Fee Related CN1083754C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/803,623 US6059643A (en) 1997-02-21 1997-02-21 Apparatus and method for polishing a flat surface using a belted polishing pad
US803,623 1997-02-21

Publications (2)

Publication Number Publication Date
CN1195595A CN1195595A (zh) 1998-10-14
CN1083754C true CN1083754C (zh) 2002-05-01

Family

ID=25187034

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98106465A Expired - Fee Related CN1083754C (zh) 1997-02-21 1998-02-20 使用皮带式抛光垫抛光平面的设备和方法

Country Status (7)

Country Link
US (2) US6059643A (zh)
EP (1) EP0860239B1 (zh)
JP (1) JP3911082B2 (zh)
KR (1) KR100488301B1 (zh)
CN (1) CN1083754C (zh)
DE (1) DE69823407T2 (zh)
TW (1) TW393375B (zh)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6336845B1 (en) * 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US6244935B1 (en) 1999-02-04 2001-06-12 Applied Materials, Inc. Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet
US6241583B1 (en) * 1999-02-04 2001-06-05 Applied Materials, Inc. Chemical mechanical polishing with a plurality of polishing sheets
US6475070B1 (en) 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6626744B1 (en) 1999-12-17 2003-09-30 Applied Materials, Inc. Planarization system with multiple polishing pads
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7077733B1 (en) * 2000-08-31 2006-07-18 Micron Technology, Inc. Subpad support with a releasable subpad securing element and polishing apparatus including the subpad support
US6942545B2 (en) * 2001-04-20 2005-09-13 Oriol, Inc. Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
KR20040020147A (ko) * 2002-08-29 2004-03-09 삼성전자주식회사 잉크카트리지
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
CN101987429B (zh) * 2009-08-07 2012-09-26 中芯国际集成电路制造(上海)有限公司 化学机械研磨方法和装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5618708B2 (ja) * 2010-08-27 2014-11-05 ワイエス株式会社 コンベア装置
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10967483B2 (en) 2016-06-24 2021-04-06 Applied Materials, Inc. Slurry distribution device for chemical mechanical polishing
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN107457633B (zh) * 2017-07-28 2019-02-01 义乌市台荣超硬制品有限公司 一种重力恒压平磨机
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109333273A (zh) * 2018-11-26 2019-02-15 广州和兴机电科技有限公司 一种整平拉丝一体机
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3908316A (en) * 1974-09-04 1975-09-30 Lok Box Inc Multi-station simultaneous dual-side sanding machine
US4535568A (en) * 1983-11-18 1985-08-20 Lafave Charles H Belt sander

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2416493A (en) * 1945-02-26 1947-02-25 Herman S Newton Sanding belt machine
US2934279A (en) * 1955-06-29 1960-04-26 Minnesota Mining & Mfg Production of groundwood pulp
US3656265A (en) * 1969-10-14 1972-04-18 Schaffner Mfg Co Inc Method of making an abrasive belt
JPH01257558A (ja) * 1988-04-06 1989-10-13 Shigeru Hasegawa 傾斜自在型のベルトサンダー
JPH01271157A (ja) * 1988-04-20 1989-10-30 Brother Ind Ltd 磁気ディスク媒体の製造方法
DE69512971T2 (de) * 1994-08-09 2000-05-18 Ontrak Systems Inc., Milpitas Linear Poliergerät und Wafer Planarisierungsverfahren
US5575707A (en) * 1994-10-11 1996-11-19 Ontrak Systems, Inc. Polishing pad cluster for polishing a semiconductor wafer
US5593344A (en) * 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
US5791969A (en) * 1994-11-01 1998-08-11 Lund; Douglas E. System and method of automatically polishing semiconductor wafers
US5800248A (en) * 1996-04-26 1998-09-01 Ontrak Systems Inc. Control of chemical-mechanical polishing rate across a substrate surface
US5722877A (en) * 1996-10-11 1998-03-03 Lam Research Corporation Technique for improving within-wafer non-uniformity of material removal for performing CMP
US5897425A (en) * 1997-04-30 1999-04-27 International Business Machines Corporation Vertical polishing tool and method
US5928062A (en) * 1997-04-30 1999-07-27 International Business Machines Corporation Vertical polishing device and method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3908316A (en) * 1974-09-04 1975-09-30 Lok Box Inc Multi-station simultaneous dual-side sanding machine
US4535568A (en) * 1983-11-18 1985-08-20 Lafave Charles H Belt sander

Also Published As

Publication number Publication date
KR19980071770A (ko) 1998-10-26
DE69823407D1 (de) 2004-06-03
TW393375B (en) 2000-06-11
JP3911082B2 (ja) 2007-05-09
KR100488301B1 (ko) 2005-09-14
US6146249A (en) 2000-11-14
US6059643A (en) 2000-05-09
EP0860239A2 (en) 1998-08-26
DE69823407T2 (de) 2005-08-04
EP0860239A3 (en) 2000-04-05
CN1195595A (zh) 1998-10-14
EP0860239B1 (en) 2004-04-28
JPH10309662A (ja) 1998-11-24

Similar Documents

Publication Publication Date Title
CN1083754C (zh) 使用皮带式抛光垫抛光平面的设备和方法
US4934102A (en) System for mechanical planarization
US6402588B1 (en) Polishing apparatus
KR100286849B1 (ko) 폴리싱 장치 및 방법
US6196896B1 (en) Chemical mechanical polisher
CN1236895C (zh) 化学机械平整***,化学机械抛光***和可调台板
CN1461251A (zh) 半导体晶片,抛光装置和方法
US5980366A (en) Methods and apparatus for polishing using an improved plate stabilizer
CN105580115A (zh) 装配有枢纽臂的化学机械抛光机
KR20180065903A (ko) 연삭 장치
KR100443330B1 (ko) 화학 기계적 연마 방법 및 장치
CN112405215B (zh) 抛光盘的离线修整装置及修整方法
JP2004518540A (ja) 化学的機械的平面化用の球形ドライブアセンブリ
US20040123951A1 (en) Retaining ring having reduced wear and contamination rate for a polishing head of a CMP tool
CN103573815B (zh) 微动台及气浮轴承
CN114952594A (zh) 抛光***及用于工件的化学机械抛光的方法
CN212240552U (zh) 一种双面研磨装置
JP3307854B2 (ja) 研磨装置、研磨材及び研磨方法
TW436379B (en) A scalable multi-pad design for improved CMP process
CN219925623U (zh) 一种游星轮表面抛光装置
CN1929954A (zh) 直线前进型研磨方法和装置
CN220660208U (zh) 减薄机
JP3643686B2 (ja) ウェーハの研磨方法
US6350188B1 (en) Drive system for a carrier head support structure
JP3326442B2 (ja) 研磨方法及びその装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20020501

Termination date: 20100220