CN105917445A - 具有空间原子层沉积的自对准式双图案化 - Google Patents

具有空间原子层沉积的自对准式双图案化 Download PDF

Info

Publication number
CN105917445A
CN105917445A CN201580004367.3A CN201580004367A CN105917445A CN 105917445 A CN105917445 A CN 105917445A CN 201580004367 A CN201580004367 A CN 201580004367A CN 105917445 A CN105917445 A CN 105917445A
Authority
CN
China
Prior art keywords
patterned layer
substrate
gas
exposed
ground floor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580004367.3A
Other languages
English (en)
Other versions
CN105917445B (zh
Inventor
李宁
V·恩古耶
M·巴尔西努
夏立群
田中启
田中启一
S·D·马克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202010350832.1A priority Critical patent/CN111430224B/zh
Publication of CN105917445A publication Critical patent/CN105917445A/zh
Application granted granted Critical
Publication of CN105917445B publication Critical patent/CN105917445B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了自对准式双图案化方法,所述方法包括特征修整。在单个批处理腔室中执行SADP工艺,在所述单个批处理腔室中,基板在处理腔室的被气体幕分开的区段之间侧向移动,使得每个区段独立地具有工艺条件。

Description

具有空间原子层沉积的自对准式双图案化
背景
本公开的实施例总体涉及一种用于处理基板的装置。更具体地,本公开涉及自对准式双图案化处理以及用于执行所述自对准式双图案化处理的批处理平台。
通常在包含多个腔室的基板处理平台中进行形成半导体器件的工艺。在一些情况下,多腔室处理平台或群集工具的目的是在受控的环境中在基板上顺序地执行一个或多个工艺。然而,在其他情况下,多腔室处理平台可以仅在基板上执行单个处理步骤;附加的腔室旨在最大化平台处理基板的速率。在后一情况下,在基板上执行的工艺典型地是批量工艺,其中在给定腔室中同时处理相对大量的基板(例如,25个或50个)。批量处理对于以经济上可行的方式在单独基板上执行的过于耗时的工艺(诸如ALD工艺和一些化学气相沉积(CVD)工艺)是尤其有益的,。
基板处理平台或***的效率通常按拥有成本(COO)来量化。虽然受到许多因素影响,但是COO在很大程度上是受***占地面积(即,在制造工厂中操作***所要求的总体占地空间)和***产量(即,每小时处理的基板数量)影响。占地面积典型地包括维护所要求的与***相邻的接取区域。因此,尽管基板处理平台可能相对小,但是如果要求从所有侧进行接取以便操作和维护,那么***的有效占地面积仍可能过大。
半导体行业的对工艺可变性的耐受度随着半导体器件的尺寸收缩而持续减小。为了满足这些更严格的工艺要求,行业已开发满足更严格的工艺窗口要求的大量新工艺,但是这些工艺通常花费更长的时间来完成。例如,为了将铜扩散阻挡层保形地形成到高深宽比、65nm或更小的互连特征的表面上,使用ALD工艺可能是有益的。ALD是CVD的变型,与CVD相比,ALD表现出了优越的阶梯覆盖。ALD基于最初用来制造电致发光显示器的原子层外延(ALE)。ALD采用化学吸附来将饱和的单层反应性前驱物分子沉积在基板表面上。这通过循环地交替进入沉积腔室的适当反应性前驱物的脉冲来实现。反应性前驱物的每一次注入典型地通过惰性气体净化分开,以便将新的原子层提供到先前沉积的层,从而在基板表面上形成均匀的材料层。重复反应性前驱物和惰性净化气体的循环以将材料层形成到预定厚度。ALD技术的最大缺点是沉积速率比典型的CVD技术远远低了至少一个数量级。例如,一些ALD工艺可能要求从约10分钟至约200分钟的腔室处理时间以便在基板的表面上沉积高质量层。在为了更好的器件性能而选择这种ALD和外延工艺的情况下,在常规单个基板处理腔室中制造器件的成本将会因非常低的基板处理产量而增大。因此,当实施此类工艺时,需要经济上可行的连续基板处理方法。
本领域中一直需要以高效的且成本有效的方式在基板上均匀地沉积膜的装置和方法。
发明内容
本公开的实施例针对处理方法,所述处理方法包括提供具有第一层和图案化层在其上的基板。通过所述图案化层暴露所述第一层的部分。所述图案化层包括具有顶表面和限定宽度的两个竖直面的至少一个特征。所述竖直面基本上垂直于所述第一层。修整所述图案化层以减小所述图案化层的宽度。在所述第一层和图案化层上沉积间隔件层,使得所述间隔件层在所述第一层的通过所述图案化层暴露的部分、所述至少一个特征的所述顶表面和两个竖直面上形成膜。从所述至少一个特征的所述顶表面和所述第一层的通过所述图案化层暴露的部分蚀刻所述间隔件层。
本公开的附加的实施例针对处理方法,所述处理方法包括将具有第一层和图案化层在其上的基板放入处理腔室中,所述处理腔室包括多个区段。每个区段通过气体幕来与相邻区段分开。通过所述图案化层暴露所述第一层的部分。所述图案化层包括具有顶表面和限定宽度的两个竖直面的至少一个特征。所述竖直面基本上垂直于所述第一层。将所述基板的至少一部分暴露于第一工艺条件以便修整所述图案化层,从而减小所述图案化层的宽度。侧向地移动所述基板通过气体幕到达所述处理腔室的第二区段。将所述基板暴露于第二工艺条件以便在所述第一层和所述图案化层上沉积间隔件层,使得所述间隔件层在所述第一层的通过所述图案化层暴露的部分、所述至少一个特征的顶表面和两个竖直面上形成膜。侧向地移动所述基板通过气体幕到达所述处理腔室的第三区段。将基板暴露于第三工艺条件以便从所述至少一个特征的所述顶表面和所述第一层的通过所述图案化层暴露的部分蚀刻所述间隔件层。在基板的侧向移动期间,在所述基板的第一部分暴露于所述第一工艺条件的同时,所述表面的第二部分暴露于所述第二工艺条件并且所述基板的中间部分暴露于所述气体幕。
本公开的实施例针对处理方法,所述处理方法包括提供具有包含电介质的第一层和图案化层在其上的基板。通过所述图案化层暴露所述第一层的部分。所述图案化层包括具有顶表面和限定在约至约的范围内的宽度的两个竖直面的至少一个特征。所述竖直面基本上垂直于所述第一层。将所述图案化层暴露于等离子体以便使所述图案化层的宽度减小大于约的量,使得所修整的竖直面基本上垂直于所述第一层。在所述第一层和图案化层上沉积包含氧化物、氮化物、氮氧化物或碳氮化物中的一个或多个的间隔件层,使得所述间隔件层在所述第一层的通过所述图案化层暴露的部分、所述至少一个特征的所述顶表面和两个竖直面上形成膜。从所述至少一个特征的所述顶表面和所述第一层的通过所述图案化层暴露的部分蚀刻所述间隔件层。
附图简述
因此,为了能够详细地理解本公开的上述特征的方式,可参考多个实施例得出上文简要概述的本公开的更具体的描述,并且在附图中示出实施例中的一些。所附附图仅仅示出本公开的典型实施例,并且因此不应视为限制性的,因为本公开可允许其他等效实施例。
图1是根据本公开的一个或多个实施例的空间原子层沉积腔室的横截面侧视图;
图2示出根据本公开的一个或多个实施例的基座的立体图;
图3示出根据本公开的一个或多个实施例的契形气体分配组件的示意图;
图4是根据本公开的一个或多个实施例的配置有具有装载站的四个气体分配组件单元的基板处理***的示意性平面图;
图5是配置有三个气体分配组件单元的基板处理***的示意性平面图;
图6示出根据本公开的一个或多个实施例的处理腔室的横截面图;
图7示出根据本公开的一个或多个实施例的基座组件和气体分配组件单元的立体图;
图8示出根据本公开的一个或多个实施例的处理腔室的横截面图;
图9示出根据本公开的一个或多个实施例的契形气体分配组件的示意图;以及
图10A-10F是根据本公开的一个或多个实施例的自对准式双图案化工艺的示图。
具体实施方式
本公开的实施例提供用于连续基板沉积以最大化产量并改进处理效率和均匀性的基板处理***。基板处理***还可用于沉积前和沉积后的基板处理。本公开的实施例涉及用于在批处理器中增大沉积均匀性的装置和方法。
本公开的实施例涉及用于半导体行业中的IC器件制造的双图案化工艺。具体地,本公开的实施例涉及自对准式双图案化(SADP)技术、光刻胶图案薄化、间隔件沉积和间隔件蚀刻。本公开的实施例提供其中可在单个处理***中顺序地实施SADP步骤的使用批量处理***的工艺。
在批量处理***中,存在多个气体入口通道,所述气体入口通道可以用于引入不同的化学物质或等离子体气体。在处理腔室内由形成气体幕的惰性净化气体和/或真空泵送孔在空间上分开这些通道。气体幕确保存在最小程度地混合或不混合来自不同通道的气体,以便避免不想要的气相反应。移动通过这些不同的空间上分开的通道的晶片得到对于不同的化学或等离子体环境的顺序且多次的表面暴露,并且因此,在空间ALD模式或表面蚀刻工艺中的逐层生长成为可能。本发明人已经发现,三个SADP处理步骤、光刻胶(PR)图案薄化、ALD间隔件沉积和间隔件蚀刻可以通过不同的处理技术在单个处理腔室中实现。
对于PR图案薄化工艺而言,可以使用等离子体通道中的一个或多个来执行干法蚀刻。移动通过这些等离子体通道的晶片暴露于活性蚀刻等离子体成分,即,自由基或离子。可调整处理参数以实现各向同性/各向异性的PR图案蚀刻/薄化。具体地,可将等离子体通道切换到远程或直接模式;如果使用RF等离子体,则可对RF频率进行调整;可以改变晶片表面与等离子体接地板之间的间隙;可将氧等离子体与具有不同气体成分的一种或多种惰性气体(诸如Ar、He、N2等等)混合;以及也可调整腔室压力和晶片温度(<100℃)。通过调谐这些参数,就可调谐离子能量、离子相对于自由基的成分/密度、离子/自由基的寿命,以便实现目标蚀刻/薄化结果。介于这些等离子体通道之间的净化通道和泵送通道可有效地带走来自蚀刻工艺的副产物并且生成新鲜的蚀刻表面。PR蚀刻量可由某个固定晶片移动速度下的等离子体暴露次数来准确控制。
对于低温(<100℃)ALD间隔件生长(诸如氧化硅、氮化硅或碳氮化硅等),可以使用一个或多个化学物质通道以及一个或多个等离子体通道来用于不同间隔件材料的等离子体辅助的原子层沉积(PEALD)。例如,可通过对烷基胺基硅前驱物和氧等离子体的顺序暴露来实现氧化物间隔件的生长。换句话说,被沉积的晶片移动通过具有硅前驱物的处理区域和具有O2等离子体的处理区域。由于在这两个区域之间进行的惰性气体净化,没有气相混合/CVD反应会影响ALD膜生长。
由于ALD性能,所沉积的膜在3:1结构晶片上显示出优秀的晶片内均匀性(<0.5%1σ)和沉积保形性(100%)。另外,根据晶片移动速度,膜生长速率可比传统的基于时间的ALD***快得多。可以通过ALD暴露的循环次数来准确地控制间隔件膜厚度。
在初始间隔件层生长(特别是针对利用直接氧等离子体的氧化物生长)期间PR蚀刻。本发明人已出乎意料地发现,当使用直接等离子体时,可以使用离子阻断剂(ion blocker)来从等离子体通道中过滤掉定向离子成分。因此,氧化物沉积工艺变完全地变成自由基辅助的,并且可以有效地减少氧化物膜生长期间的PR蚀刻。
对于某些间隔件材料沉积而言,多于一种化学物质可用于不同的化学物质/等离子体通道。例如,在SiCN的沉积期间,可以通过不同的化学物质通道来馈送硅、碳和氮源。类似地,在此所使用的等离子体气体对于不同的等离子体通道来说可以是不同的,以便实现不同的功能,诸如自由基辅助的膜生长或离子辅助的膜处理。对于类似于PR薄化工艺的间隔件蚀刻而言,可以使用一个或多个等离子体通道。基于由先前的PEALD工艺沉积的间隔材料,被使用的等离子体条件和气体成分将会是不同的。例如,对于氧化物间隔件而言,基于NF3的等离子体可以用于间隔件蚀刻。
如本说明书和所附权利要求书中所用,术语“基板”和“晶片”可互换地使用,两者均指工艺作用于其上的表面或表面的部分。本领域的技术人员将会理解,对基板的引用还可仅指基板的一部分,除非上下文以其他方式明确地指明。例如,在相对于图1描述的空间上分开的ALD中,每一种前驱物被递送到基板,但是任何单独的前驱物流在任何给定时间处仅递送到基板的一部分。另外,对在基板上沉积的引用可以表示裸的基板和具有一个或多个膜或特征沉积或形成在其上的基板两者。
如本说明书和所附权利要求书中所用,术语“反应性气体”、“前驱物”、“反应物”等等可互换地使用以表示包括在原子层沉积工艺中是反应性的物种的气体。例如,第一“反应性气体”可简单地吸附到基板的表面上,并且可用于与第二反应性气体进一步地起化学反应。
图1是根据本公开的一个或多个实施例的处理腔室20的一部分的横截面图。处理腔室20一般是在真空下或者至少在低压条件下操作的可密封的外壳。腔室100包括气体分配组件30,所述气体分配组件30能够跨基板60的顶表面61分配一种或多种气体。气体分配组件30可以是本领域的技术人员已知的任何合适的组件,并且特定的气体分配组件不应视为限制本公开的范围。气体分配组件30的输出面面对基板60的第一表面61。
与本公开的实施例一起使用的基板可以是任何合适的基板。在一些实施例中,基板是刚性、分立、大体平坦的基板。如本说明书和所附权利要求书中所用,术语“分立”在指基板时表示基板具有固定尺寸。一个或多个实施例的基板是半导体基板,诸如200nm或300nm直径硅基板。在一些实施例中,基板是硅、硅锗、砷化镓、氮化镓、锗、磷化镓、磷化铟、蓝宝石或碳化硅中的一种或多种。
气体分配组件30包括:多个气体端口,用于将一个或多个气体流传输到基板60;以及多个真空端口,所述真空端口设置在每个气体端口之间以用于将气体流传输到处理腔室20外。在图1的实施例中,气体分配组件30包括第一前驱物注入器120、第二前驱物注入器130和净化气体注入器140。可由***计算机(未示出)(诸如主机)或由腔室特定的控制器(诸如可编程的逻辑控制器)控制注入器120、130、140。前驱物注入器120将化合物A的反应性前驱物的连续(或脉冲)流通过多个气体端口125注入处理腔室20中。前驱物注入器130将化合物B的反应性前驱物的连续(或脉冲)流通过多个气体端口135注入处理腔室20中。前驱物注入器140将非反应性或净化气体的连续(或脉冲)流通过多个气体端口145注入处理腔室20中。净化气体将反应材料和反应副产物从处理腔室20中去除。净化气体典型地是惰性气体,诸如氮、氩和氦。气体端口145设置在气体端口125与气体端口135之间,以便将化合物A的前驱物与化合物B的前驱物分开,从而避免这两种前驱物之间的交叉污染。
在另一方面中,在将前驱物注入处理腔室20中前,远程等离子体源(未示出)可连接到前驱物注入器120和前驱物注入器130。可以通过将电场施加到远程等离子体源内的化合物生成反应物种的等离子体。可以使用能够激活计划的化合物的任何电源。例如,可以使用利用基于DC、射频(RF)和微波(MW)的放电技术的电源。如果使用RF功率源,那么RF功率源可以是电容或电感耦合的。还可通过基于热学的技术、气体击穿技术、高能光源(例如,UC能量)或暴露于x射线源来生成此激活。示例性远程等离子体源可从诸如MKS仪器公司(MKS Instruments,Inc.)和先进能源工业公司(Advanced Energy Industries,Inc.)获得。
腔室100进一步包括连接到处理腔室20的泵送***150。泵送***150一般被配置成通过一个或多个真空端口155将气体流排出处理腔室20。真空端口155设置在每个气体端口之间,以便在气体流与基板表面起反应后将气体流排出处理腔室20,并且进一步限制前驱物之间的交叉污染。
腔室100包括多个分隔物160,所述分隔物160设置在每个端口之间的处理腔室20上。每个分隔物的下部延伸接近基板60的第一表面61,例如,与第一表面61相距约0.5mm或更大。以此方式,分隔物160的下部与基板表面分开一距离,所述距离足以在气体流与基板表面起反应后,允许气体流围绕所述下部朝向真空端口155流动。箭头198指示气体流的方向。由于分隔物160用作对于气体流的物理阻挡物,所以它们还限制前驱物之间的交叉污染。所示布置仅作为说明并且不应视为限制本公开的范围。本领域的技术人员将会理解,所示气体分配***仅为一个可能的分配***并且可采用其他类型的喷淋头以及气体分配组件。
这种原子层沉积***(即,其中多种气体在同一时间分开地流向基板)称为空间ALD。在操作中,基板60被递送到(例如,通过机器人)处理腔室20,并且可以在进入处理腔室之前或之后放置在梭(shuttle)65上。梭65沿轨道70或某些其他合适的移动机构移动、经过处理腔室20,传递到气体分配组件30下方(或上方)。在图1所示的实施例中,梭65沿线性路径移动通过腔室。如以下进一步解释,图3示出其中晶片沿圆形路径移动通过旋转式传送带(carousel)处理***的实施例。
往回参见图1,当基板60移动通过处理腔室20时,基板60的第一表面61反复地暴露于来自气体端口125的反应性气体A和来自气体端口135的反应性气体B,并且其间暴露于来自气体端口145的净化气体。净化气体的注入被设计成在将基板表面110暴露于下一种前驱物前,将未反应的材料从先前的前驱物中去除。在对于多种气体流(例如,反应性气体或净化气体)的每一次暴露后,气体流由泵送***150通过真空端口155排出。由于真空端口可设置在每个气体端口的两侧,气体流通过两侧上的真空端口155排出。因此,气体流从相应的气体端口朝向基板60的第一表面61竖直地向下流动,跨过基板表面110并且围绕分隔物160的下部,并最终朝向真空端口155向上流动。以此方式,每种气体可跨基板表面110均匀地分配。箭头198指示气体流动的方向。也可在基板60暴露于各种气体流的同时旋转基板60。基板的旋转可有助于防止在形成的层中形成条带。基板的旋转可以是连续的或在离散的步骤中且可以在基板正在气体分配组件30下方经过时或当基板处于气体分配组件30之前和/或之后的区域时发生基板的旋转。。
通常在气体分配组件30的后面提供充足的空间以确保对于最后气体端口的完全暴露。一旦基板60完全传递到气体分配组件30之下,第一表面61就完全暴露于处理腔室20中的每个气体口。基板然后可以沿相反方向往回运输或向前运输。如果基板60沿相反方向移动,基板表面能以与第一暴露相反的次序再次暴露于反应性气体A、净化气体和反应性气体B。
例如,基板表面110暴露于每种气体的程度可由每种气体从气体口出去的流动速率与基板60的移动速率来确定。在一个实施例中,控制每种气体的流动速率以致于不会从基板表面61移除吸附的前驱物。每一个分隔物之间的宽度、设置在处理腔室20上的气体端口的数量以及跨气体分配组件传递基板的次数也可确定基板表面61暴露于各种气体的程度。因此,沉积的膜的数量与质量可通过改变上述因素来优化。
虽然利用气体分配组件30向下朝向定位在气体分配组件下方的基板引导气体的流动来作出本工艺的描述,但可以理解此取向可以是不同的。在某些实施例中,气体分配组件30向上朝向基板表面引导气体的流动。如在本说明书与所附权利要求书中所用,术语“跨……传递(passed across)”意味着基板已从气体分配组件的一侧移动到另一侧以使得基板的整个表面暴露于来自气体分配板的每种气体流。没有附加的描述,术语“跨……传递”没有暗示气体分配组件、气体流动或基板位置的任何特定取向。
在一些实施例中,梭65是用于运载基板60的基座66。总体上,基座66是帮助形成跨基板的均匀温度的载体。基座66可在双向上(相对于图1的布置,左到右和右到左)或在圆形方向上(相对于图3)移动。基座66具有用于运载基板60的顶表面67。基座66可以是受热的基座以使得可加热基板60用于处理。作为示例,基座66可由设置在基座66下方的辐射热灯90、加热板、电阻线圈或其他加热设备加热。
在又一实施例中,基座66的顶表面67包括用于接受基板60的凹槽68,如图2所示。基座66一般比基板的厚度厚以使得在基板下方存在基座材料。在一些实施例中,凹槽68被尺寸设定成使得当基板60设置在凹槽68内时,基板60的第一表面61与基座66的顶表面67齐平或基本上共面。换句话说,一些实施例的凹槽68被尺寸设定成使得当基板60设置在其中时,基板60的第一表面61不在基座66的顶表面67上方凸出。如在本说明书和所附权利要求书中所用,术语“基本上共面”表示晶片的顶表面与基座组件的顶表面在±0.2mm内是共面的。在一些实施例中,顶表面在±0.15mm、±0.10mm或±0.05mm内是共面的。
图1示出处理腔室的截面图,其中示出各个气体口。此实施例可以是各个气体端口的宽度跨气体分配板的整个宽度基本上相同的线性处理***,或者是各个气体端口改变宽度以符合契形契(pie-shaped)的契形区段。图3示出契形气体分配组件30的一部分。基板将沿弧形路径32跨此气体分配组件30传递。各个气体端口125、135、145、155的每一个在气体分配组件30的内周边缘33附近具有较窄的宽度并且在气体分配组件30的外周边缘34附近具有较大的宽度。各个气体口的形状或深宽比可以与气体分配组件30段的形状或深宽比成比例或不同。在一些实施例中,各个端口成形为使得遵循路径32跨气体分配组件30传递的晶片的每一点在每个气体端口下有大约相同的停留时间。基板的路径可垂直于气体端口。在一些实施例中,气体分配组件的每一个包括多个细长的气体端口,所述多个细长的气体端口在基本上垂直于基板横穿的路径的方向上延伸。如在本说明书和所附权利要求书中所用,术语“基本上垂直”意味着一般的移动方向大致垂直于气体端口的轴。对于契形气体端口而言,气体端口的轴可被视为被定义为沿着端口的长度延伸的端口的宽度的中点的线。如以下进一步描述,各个契形区段的每一个可配置成递送单一反应性气体或空间上分开的或组合的多个反应性气体(例如在典型的CVD工艺中)。
可以使用具有多个气体注入器的处理腔室来同时处理多个晶片以使得晶片经历相同的工艺流程。例如,如图4所示,处理腔室100具有四个气体分配组件30与四个基板60。在处理的开头,基板60可定位在气体分配组件30之间。将旋转式传送带的基座66旋转45°将使得每个基板60移动到气体分配组件30(也称为注入器组件)以便于膜沉积。这是图4所示的位置。附加的45°旋转将移动基板60离开气体分配组件30。利用空间ALD注入器,在晶片相对于注入器组件的移动期间,膜沉积在晶片上。在一些实施例中,旋转基座66以使得基板60不停止在气体分配组件30下方。基板60和气体分配组件30的数量可以相同或不同。在一些实施例中,存在与气体分配组件的数量相同的被处理的晶片的数量。在一个或多个实施例中,被处理的晶片的数量是气体分配组件的数量的整数倍。例如,如果存在四个气体分配组件,则有4x个被处理的晶片,其中x是大于或等于一的整数。。
图4所示处理腔室100仅代表一个可能的配置,并且不应视为限制本公开的范围。在此,处理腔室100包括多个气体分配组件30。在所示实施例中,存在绕处理腔室100均匀地间隔的四个气体分配组件30。所示处理腔室100是八边形的,然而,本领域技术人员将会理解,这是一个可能的形状,并且不应视为限制本公开的范围。所示气体分配组件30是矩形的,但是本领域技术人员将理解,气体分配组件可以是契形区段,像图3所示的那样。另外,每个区段可配置成将以其中多个不同反应性气体从相同段中流出的空间类型配置的方式传送气体或者可配置成传送单一反应性气体或反应性气体的混合物。
处理腔室100包括示为圆形基座66或基座组件的基板支撑装置。基板支撑装置或基座66能够将多个基板60移动到气体分配组件30的每一个下方。负载锁定82可连接到处理腔室100的一侧,以便允许从腔室100装载/卸载基板60。
处理腔室100可以包括定位在多个气体分配组件30的任何一个或每个之间的多个或一组第一处理站80。在一些实施例中,第一处理站80的每者对基板60提供相同的处理。
处理站的数量和不同类型的处理站的数量可以根据工艺而改变。例如,可以存在定位在气体分配组件30之间的一个、两个、三个、四个、五个、六个、七个或更多个处理站。每一个处理站可独立地提供与每个其他处理站组不同的处理。在一些实施例中,各个处理站中的一个或多个提供与其他各处理站中的一个或多个不同的处理。图4所示的实施例示出之间具有空间的四个气体分配组件,所述空间可以包括某些类型的处理站。然而,处理腔室可容易地与之间具有气体幕的八个气体分配组件合并。
在图5中所示的实施例中,一组第二处理站85定位在第一处理站80与气体分配组件30之间以使得通过处理腔室100旋转的基板60会依据基板60开始的地方而在遇到以下各者中的任何一个的第二个之前遇到气体分配组件30、第一处理站80和第二处理站85。例如,如图5所示,如果基板在第一处理站80处开始,那么在遇到另一个第一处理站85之前,基板将依次暴露于第一处理站80、气体分配组件30和第二处理站85。
处理站可以对基板、基板上的膜或基座组件提供任何适合类型的处理。例如,UV灯、闪光灯、等离子体源与加热器。晶片接着在具有气体分配组件30的位置到具有例如对晶片传送等离子体的喷淋头的位置之间移动。等离子体站被称为处理站80。在一个或多个示例中,可在每一沉积层之后利用等离子体处理来形成氮化硅膜。由于只要表面饱和,ALD反应在理论上就自我限制,所以对于沉积气体的附加暴露将不会对膜造成损害。
旋转式传送带的旋转可以是连续的或非连续的。在连续的处理中,晶片不断地旋转以使得它们轮流暴露于注入器的每一个。在非连续处理中,晶片可以被移动到注入器区域并停止,以及接着被移动到注入器之间的区域84并停止。例如,旋转式传送带可旋转以使得晶片从跨注入器的注入器中间的(inter-injector)区域起移动(或邻近注入器停止)并且继续移动到旋转可以再次暂停的下一个注入器中间的区域。注入器之间的暂停可在每一个层沉积之间提供用于附加的处理(例如暴露于等离子体)的时间。
在一些实施例中,处理腔室包括多个气体幕40。每一个气体幕40创建阻挡层以防止或最小化来自气体分配组件30的处理气体的移动从气体分配组件区域迁移并且防止或最小化来自处理站80的气体从处理站区域迁移。气体幕40可以包括气体和真空流的任何合适的组合,可以将各个处理区段与相邻区段隔离。在一些实施例中,气体幕40是净化(或惰性)气体流。在一个或多个实施例中,气体幕40是将气体从处理腔室移除的真空流。在一些实施例中,气体幕40是净化气体与真空流的组合,以使得依次存在净化气体流、真空流和净化气体流。在一个或多个实施例中,气体幕40是真空流与净化气体流的组合,以使得依次存在真空流、净化气体流和真空流。图4中所示气体幕40定位在气体分配组件30与处理站80的每一个之间,然而,这些幕可以定位在沿着处理路径的任一点或多点处。。
图6示出包括气体分配组件220(也称为注入器)和基座组件230的处理腔室200的实施例。在这个实施例中,基座组件230是刚性主体。一些实施例的刚性主体具有不大于0.05mm的下垂公差(droop tolerance)。例如,致动器232放置在基座组件230的外径区域的三个位置处。如在本说明书和所附权利要求书中所用,术语“外径”和“内径”分别是指靠近外周边缘与内边缘的区域。外径不是在基座组件230的极外边缘(例如靠近轴240)的特定位置,而是靠近基座组件230的外边缘231的区域。这可以在图6中从致动器232的放置看出。致动器232的数量可以从一个到适合可用物理空间的任何数量变化。一些实施例具有两组、三组、四组或五组致动器232,定位在外径区域231中。如在本说明书和所附权利要求书中所用,术语“致动器”是指能够将基座组件的至少一部分朝向或远离气体分配组件220移动的任何单部件或多部件机构。例如,致动器232可以用于确保基座组件230基本上平行于注入器组件220。如在本说明书和所附权利要求书中所用,就此方面所使用的术语“基本上平行”表示部件的平行度的变化相对于部件之间的距离不会超过5%。
一旦由致动器232对基座组件230施加压力,基座组件230就可以被调平。当致动器232施加压力时,间隙210距离可设定在约0.1mm至约2.0mm的范围内,或在约0.2mm至约1.8mm的范围内,或在约0.3mm至约1.7mm的范围内,或在约0.4mm至约1.6m的范围内,或在约0.5mm至约1.5mm的范围内,或在约0.6mm至约1.4mm的范围内,或在约0.7mm至约1.3mm的范围内,或在约0.8mm至约1.2mm的范围内,或在约0.9mm至约1.1mm的范围内,或约1mm。
基座组件230被定位在气体分配组件220下方。基座组件230包括顶表面241并且任选地包括顶表面241中的至少一个凹槽243。凹槽243根据被处理的晶片260的形状与尺寸而可以是任何适合的形状与尺寸。在所示的实施例中,凹槽241具有围绕凹槽243的外周边缘的阶梯区域。这些阶梯可以被尺寸设定成支撑晶片260的外周边缘。由阶梯支撑的晶片260的外周边缘的量例如依据晶片的厚度与已存在于晶片背侧上的特征的存在而变化。
在一些实施例中,如图6所示,基座组件230的顶表面241中的凹槽243被尺寸设定为使得支撑在凹槽243中的晶片260具有与基座组件230的顶表面241基本上共面的顶表面261。如本说明书和所附权利要求书中所用,术语“基本上共面”表示晶片的顶表面与基座组件的顶表面在±0.2mm内是共面的。在一些实施例中,顶表面在±0.15mm、±0.10mm或±0.05mm内是共面的。
图6的基座组件230包括支撑柱240,所述支撑柱240能够提升、降低并旋转基座组件230。基座组件230可以包括加热器或气体线,或者位于支撑柱240的中心内的电气部件。支撑柱240可以是增大或减小基座组件230与气体分配组件220之间的间隙以将基座组件230移动到粗略位置的主要装置。致动器232随后可对基座组件的位置作出微调整以创建预定间隙。
图6所示的处理腔室100是旋转式传送带类型的腔室,其中基座组件230可以固持多个晶片260。气体分配组件220可以包括多个分开的注入器单元221,当晶片移动到注入器单元221下方时,各注入器单元221能够将膜或膜的部分沉积在晶片260上。图7示出旋转式传送带类型处理腔室200的立体图。示出两个契形注入器单元221定位在基座组件230的大致相对侧且在基座组件230上方。仅出于说明性目的示出注入器单元221的数量。将理解的是,可包括更多或更少的注入器单元221。在一些实施例中,存在足够数量的契形注入器单元221以形成符合基座组件230形状的形状。在一些实施例中,可独立地移动、移除和/或替换各个契形注入器单元221中的每一个而不影响任何其他注入器单元221。例如,可升起一段以允许机器人进出基座组件230与气体分配组件220之间的区域以装载/卸载晶片260。
图8示出本公开的另一个实施例,其中基座组件230不是刚性主体。在一些实施例中,基座组件230具有不超过约0.1mm的下垂公差、或不超过约0.05mm的下垂公差、或不超过约0.025mm的下垂公差、或不超过约0.01mm的下垂公差。因此,存在放置在基座组件230的外径区域231与内径区域239处的致动器232。致动器232可定位在基座组件230的内周与外周周围的任何适合数量的位置处。在一些实施例中,致动器232放置在外径区域231与内径区域239两者处的三个位置处。外径区域231与内径区域239两者处的致动器232对基座组件230施加压力。
图9示出包括具有转向器的圆形气体分配组件和基座组件的处理腔室的实施例。圆形气体分配组件220(可以在图9中看到该圆形气体分配组件220的一部分)定位在处理腔室内且包括在气体分配组件220的正面225中的多个细长气体端口125、135、145。多个细长气体端口125、135、145从邻近气体分配组件220的内周边缘227的区域朝向邻近外周边缘228的区域延伸。图9中示出的多个气体端口包括第一反应性气体端口125、第二反应性气体端口135、绕第一反应性气体端口和第二反应性气体端口中的每者的净化气体端口145以及真空端口155。
基座组件230定位在处理腔室内以绕旋转轴沿基本上圆形的路径旋转至少一个基板。如在本说明书和所附权利要求书中所用,术语“基本上圆形”表示如果基板要完成完全的旋转,则路径旨在是圆形的。基座组件具有由内周边缘229和外周边缘231所界定的顶表面241(如图8所示)。基座组件230定位在气体分配组件220的下方以使得基座组件230的顶表面241面对气体分配组件220的正面225。
本公开的一些实施例针对处理基板的方法。基板放置到处理腔室中,所述处理腔室具有多个区段,其中由气体幕将每个区段与相邻的区段分开。如在本说明书和所附权利要求书中所用,术语“区段”、“区域”和“扇区”可互换地用来描述批处理腔室内的区域。例如,图9所示的部件具有两个区段。在进入处理腔室后,基板(也被称为晶片)可以在各个区段中的任何一个中。每个区段可具有与相邻区段相同或不同的处理条件。如在本说明书和所附权利要求书中所用,术语“处理条件”表示各个区段内的条件的全体。例如,处理条件包括但不限于气体成分、压力、流动速率、温度和等离子体。处理条件可配置成进行例如沉积、蚀刻和处理(例如,致密化、退火)。
在第一区段中,基板或基板的部分暴露于第一工艺条件以将第一膜沉积在基板的表面上。基板表面可以是裸的基板表面或先前沉积在表面上的任何层。例如,表面可以具有混合的成分,其中一部分是金属,并且另一部分是电介质。各表面成分可以变化并且不应视为限制本公开的范围。第一区段中的第一工艺条件包括温度变化或第一反应性气体中的一个或多个。如在本说明书和所附权利要求书中所用,第一工艺条件以及处理腔室的其他区段中的第一反应性气体的使用表示反应性气体的成分、压力、流动速率、直接等离子体、远程等离子体和它们的组合。
任何所沉积的或所形成的膜可以是完整膜,诸如金属或电介质膜,或者可以是部分膜,如在两步骤反应中的第一半中的。部分膜的示例将是化合物化学吸附到基板表面,所述化合物稍后将被还原或被氧化以产生最终的膜。第一膜可以是其中第一膜是部分膜或完整膜的原子层沉积工艺的部分、或化学气相沉积工艺的部分。在CVD工艺中,第一工艺条件可以包括反应性气体的混合物,反应性气体的混合物在气相中起反应以创建经激活的物种,所述经激活的物种随后沉积到基板表面上。在一些工艺中,与进入区段的膜相比,形成在区段中的膜具有改进的质量。例如,形成在第三区段中的膜可暴露于第四区段中的致密化工艺。所形成的膜可以来自化学工艺、物理工艺或工艺的组合。
在第一膜的形成后,基板侧向地移动通过气体幕到达处理腔室的第二区段。在第二区段中,第一膜暴露于第二工艺条件以形成第二膜。第二工艺条件包括温度变化或第二反应性气体中的一个或多个,以形成第二膜。第二膜可以是与第一膜不同的成分(如在两部分反应的第二半中)或者可以是具有完全不同的成分的膜(如在混合的膜中)。
在从第一区段到第二区段的移动期间,基板暴露于第一工艺条件、第二工艺条件和将这两者分开的气体幕。气体幕可以是例如惰性气体和真空的组合,以确保第一工艺条件和第二工艺条件之间的气相反应最小(如果存在的话)。在移动期间的某时间,表面的一部分暴露于第一工艺条件,而表面的另一部分暴露于第二工艺条件,并且基板的另外两个部分之间的中间部分暴露于气体幕。
第一工艺条件、第二工艺条件和任何其他工艺条件中的每者选自由以下各项构成的组:包括第一反应性气体的单一反应性气体、包括第一反应性气体的多种反应性气体的混合物、包括第一反应性气体的远程等离子体、包括第一反应性气体的直接等离子体、温度变化、以及以上各项的组合。如在本说明书和所附权利要求书中所用,术语“直接等离子体”表示在处理腔室内点燃的等离子体。术语“远程等离子体”表示在处理腔室外点燃或流入处理腔室中的等离子体。
可顺序地重复对第一工艺条件和第二工艺条件的暴露以便生长预定厚度的膜。例如,批处理腔可以包含具有以交替的图案的形式的具有第一工艺条件的两个区段和具有第二工艺条件的两个区段,使得基板围绕处理腔室的中心轴的旋转致使表面顺序且重复地暴露于第一工艺条件和第二工艺条件,以使得每次暴露使膜厚度(用于沉积)生长。
图10A至10F示出根据本公开的一个或多个实施例的典型的自对准式双图案化(SADP)工艺。可用任何合适的氧化物、电介质、光刻胶和/或金属层执行示出和描述的工艺。在图10A中,基板900涂覆有电介质910的层并利用光刻胶920来图案化。虽然电介质910示为沉积在基板900上并且在其上具有光刻胶920,但是本领域的技术人员将会理解,在基板900与电介质910之间可存在中间层,或者在电介质910与光刻胶920之间可存在层。另外,电介质910层可以是不同的材料(例如,金属层)。
如图10B所示,光刻胶920可暴露于等离子体,以便蚀刻光刻胶的侧921。通过蚀刻光刻胶920的侧,光刻胶的宽度减小,从而导致较薄的光刻胶以及较大的电介质910暴露区域。这个工艺称为光刻胶薄化或PR薄化。
如图10C所示,间隔件膜930被沉积在电介质910和光刻胶920的暴露的表面上,使得光刻胶920的顶部922和侧921保形地涂覆有间隔件膜930。间隔件膜可由任何合适的材料制成,包括但不限于氧化物膜。
在图10D中,已从水平表面蚀刻掉间隔件膜930。这意味着光刻胶920的顶部922暴露,并且电介质910表面的部分暴露。在图10E中,原始的图案化的光刻胶920被蚀刻掉,仅仅留下间隔件膜930左边。可以使用间隔件作为引导件来蚀刻基板900,并且剩余的电介质910和间隔件膜930被剥离以提供图10F中的经蚀刻的基板900。在本文所述的膜(诸如电介质)之间的可选择性允许这个工艺实行。如果不存在足够的可选择性,可在间隔件膜的沉积前将盖(诸如SiON)放置在光刻胶上。这些盖防止非故意地蚀刻掉图案化的光刻胶。
因此,参考图10A至图10F,本公开的一个或多个实施例涉及处理方法。提供基板900,所述基板900具有第一层(可以是电介质910)和图案化层(可以是光刻胶920)。尽管第一侧不需要是电介质且图案化层不需要是光刻胶,但是使用这些术语以便于描述。通过图案化层暴露第一层的部分,使得当向下看基板时,第一层和图案化层两者同时可见。
所述图案化层包括具有顶表面922和限定宽度W1的两侧921(即,竖直面)的至少一个特征。对于图案化层,宽度W1可以是任何合适的宽度。在一些实施例中,至少一个特征的宽度在约至约的范围内,或在约至约的范围内,或在约至约的范围内。
可以通过任何合适的技术制成基板上存在的一个或多个特征,并且可以在将基板放置在处理腔室内之前形成基板上存在的一个或多个特征。在一些实施例中,在相同的处理腔室和SADP处理内形成这些特征。这些特征可以是任何合适的尺寸并且具有任何合适的深宽比。在一些实施例中,特征的深宽比大于约1:1、2:1、3:1、4:1或5:1。在一些实施例中,特征具有在约1:1至约20:1的范围内的深宽比,或在约2:1至约15:1的范围内的深宽比,或在约3:1至约10:1的范围内的深宽比,或在约4:1至约8:1的范围内的深宽比。
特征的竖直面921基本上垂直于第一层。如在本说明书和所附权利要求书中所用,术语“基本上垂直”表示竖直面相对于第一层形成在约80°至约100°的范围内、或在约85°至约95°的范围内、或在约88°至约92°的范围内的角度。
图案化层可以是任何合适的材料,取决于图案化层的用途。在图10A至图10F所示的示例中,描述一种自对准式双图案化过程,其中图案化层是光刻胶或旋涂碳(spin-on-carbon)中的一个或多个。
图案化层(例如,光刻胶920)暴露于处理条件,以将图案化层的宽度从W1减小到W2。相应地,宽度W2小于宽度W1。在一些实施例中,为了减小图案化层的宽度,通过将图案化层暴露于等离子体来完成修整。等离子体可以是任何合适的等离子体,包括但不限于氢、氮、氧、氩、二氧化碳和氦。在一些实施例中,图案化层包含旋涂碳,并且等离子体包含氩和二氧化碳。
可由对等离子体的暴露量来控制从特征的各侧去除的材料量。在一些实施例中,图案化层宽度减小在约至约的范围内、或在约至约的范围内、或在约至约的范围内的量。在一个或多个实施例中,图案化层宽度减小大于初始宽度的约10%、15%、20%、25%、30%、35%、40%、45%或50%的量。特征的宽度已被薄化但未减小到零,这意味着仍剩余一些可用的特征。在修整特征后,竖直面保持基本上垂直于第一层。
参考图10C,在第一层和图案化层上沉积间隔件层(例如,氧化物膜930),使得所述间隔件层在第一层的通过图案化层所暴露的部分、至少一个特征的顶表面和两个竖直面上形成膜。所沉积的间隔件层可以是基本上保形的,这意味着间隔件层的厚度跨水平表面和竖直表面是均匀的。如在本说明书和所附权利要求书中所用,术语“基本上保形的”表示膜的厚度变化不超过平均膜厚度的约20%、15%、10%或5%。间隔件层可由任何合适的材料制成,包括但不限于氧化物、氮化物、硅化物、氮氧化物、碳氮化物和它们的组合。
参考图10D,从水平表面蚀刻掉间隔件层,从而在竖直面上留下间隔件层。在此,蚀刻特征的顶表面和特征之间的水平部分,从而暴露特征的顶表面和第一层。
如本文所述,可在分开的处理腔室或单个处理腔室中执行各工艺。在一些实施例中,每个工艺发生在单个处理腔室中,其中在多个区段之间侧向地移动基板,由气体幕将每个区段与相邻区段分开。在这种实施例中,修整图案化层发生在处理腔室的第一区段中,沉积间隔件层发生在处理腔室的第二区段中,并且蚀刻间隔件层发生在处理腔室的第三区段中。例如,这种处理方法可以包括将基板放入处理腔室中,所述处理腔室包括多个区段,由气体幕将每个区段与相邻区段分开。基板的至少一部分暴露于第一工艺条件,以便修整图案化层来减小图案化层的宽度。侧向移动基板通过气体幕到达处理腔室的第二区段。在处理腔室的第二区段中,基板的至少一部分暴露于第二工艺条件,以便在第一层和图案化层上沉积间隔件层。随后侧向移动基板通过气体幕到达处理腔室的第三区段。基板的至少一部分然后暴露于第三工艺条件,以便从至少一个特征的顶表面和第一层的通过图案化层所暴露的部分蚀刻间隔件层。在基板从第一区段到第二区段的侧向移动期间,在基板的第一部分暴露于第一工艺条件的同时,表面的第二部分暴露于第二工艺条件并且基板的中间部分暴露于气体幕。中间部分是基板的第一部分与第二部分之间的一些部分。在基板从第二区段到第三区段的侧向移动期间,在基板的第一部分暴露于第二工艺条件的同时,基板的第二部分暴露于第三工艺条件并且基板的中间部分暴露于气体幕。
图10E和图10F示出可以在相同处理腔室或不同环境中执行的附加的工艺阶段。在图10E中,去除了图案化层。这个工艺可被称为“芯去除”,并且通常但不要求通过湿法化学方法来完成。在图10F中,从基板蚀刻掉剩余的间隔件层以及第一层的暴露部分。
在一些实施例中,可在等离子体增强原子层沉积(PEALD)工艺期间形成一个或多个层。在一些工艺中,等离子体的使用提供足够能量来促使物质进入激发态,在激发态中表面反应变得有利且可能。将等离子体引入工艺中可以是连续的或脉冲的。在一些实施例中,前驱物(或反应性气体)和等离子体的顺序脉冲用于处理层。在一些实施例中,可以本地地(即,在处理区域内)或远程地(即,在处理区域外)电离反应物。在一些实施例中,远程电离可发生在沉积腔室的上游,使得离子或者其他高能或发光物质不与沉积的膜直接接触。在一些PEALD工艺中,在处理腔室外生成等离子体,诸如通过远程等离子体发生器***。可以经由本领域的技术人员已知的任何合适的等离子体生成工艺或技术来生成等离子体。例如,可由微波(MW)频率发生器或射频(RF)发生器生成等离子体。可以根据被使用的特定反应性物种来调谐等离子体的频率。合适的频率包括但不限于2MHz、13.56MHz、40MHz、60MHz和100MHz。尽管可在本文所公开的沉积工艺期间使用等离子体,但可以不要求等离子体。实际上,其他实施例涉及在没有等离子体的非常温和的条件下的沉积工艺。
根据一个或多个实施例,基板在形成层之前和/或之后经受处理。可以在相同腔室中或在一个或多个分开的处理腔室中执行此处理。在一些实施例中,基板从第一腔室移动到分开的第二腔室以便进一步的处理。基板可以从第一腔室直接移动到分开的处理腔室,或者基板可以从第一腔室移动到一个或多个传送腔室,并随后移动到分开的处理腔室。因此,处理装置可以包括与传送站相连通的多个腔室。这种装置可被称为“群集工具”或“群集***”等。
一般来说,群集工具是包括多个腔室的模块化的***,这些腔室执行各种功能,包括基板中心寻找与取向、脱气、退火、沉积和/或蚀刻。根据一个或多个实施例,群集工具包括至少一个第一腔室以及中心传送腔室。中心传送腔室可以容纳可使基板在处理腔室与负载锁定腔室之间穿梭的机器人。传送腔室通常保持处于真空条件,并且提供用于使基板从一个腔室穿梭到另一个和/或负载锁定腔室的中间平台,所述负载锁定腔室定位在群集工具的前端。可适用于本公开的两个熟知的群集工具是可从加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.,of Santa Clara,Calif.)购买到的一种这种分阶段真空基板处理装置的细节公开在Tepman等人在1993年2月16日公布的标题为“分阶段真空晶片处理装置和方法(Staged-Vacuum WaferProcessing Apparatus and Method)”的美国专利No.5,186,718中。然而,可以出于执行如本文所述的工艺的特定部分的目的改变腔室的确切布置和组合。可使用的其他处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、诸如RTP的热处理、等离子体氮化、脱气、定向、羟化和其他基板工艺。通过在群集工具上的腔室中执行工艺,可避免具有大气杂质对基板的表面污染而无需在沉积后续膜之前进行氧化。
根据一个或多个实施例,基板持续地处于真空或“负载锁定”条件下,并且在从一个腔室移动到下一腔室时不暴露于环境空气。因此传送腔室要在真空下并且在真空压力下被“抽空”。惰性气体可存在于处理腔室或传送腔室中。在一些实施例中,惰性气体用作净化气体以在基板的表面上形成层之后去除反应物中的一些或全部。根据一个或多个实施例,净化气体在沉积腔室的出口处注入以防止反应物从沉积腔室移动到传送腔室和/或附加的处理腔室。因此,惰性气体的流动在腔室出口处形成幕。
在处理期间,可加热或冷却基板。可以通过任何合适的手段实现此加热或冷却,包括但不限于:改变基板支撑件(例如,基座)的温度以及使加热或冷却的气体流向基板表面。在一些实施例中,基板支撑件包括加热器/冷却器,所述加热器/冷却器可控制来以传导的方式改变基板温度。在一个或多个实施例中,所采用的气体(反应性气体或惰性气体)被加热或冷却以局部地改变基板温度。在一些实施例中,加热器/冷却器定位在腔室内、邻近基板表面,以便以对流的方式改变基板温度。
基板还可以是在处理期间静止或旋转。旋转基板可连续地或以离散的步骤旋转。例如,基板可以在整个工艺中旋转,或者基板可以在暴露于不同的反应性或净化气体之间旋转一小量。在处理期间旋转基板(连续地或依步骤)可通过最小化例如气体流动几何形状中的局部变化的影响来帮助产生更均匀的沉积或蚀刻。
尽管上述内容针对本公开的实施例,但可设计本公开的其他和进一步的实施例而不背离本公开的基本范围,并且本公开的范围由所附权利要求书来确定。

Claims (15)

1.一种处理方法,所述处理方法包括:
提供具有第一层和图案化层在其上的基板,通过所述图案化层暴露所述第一层的部分,所述图案化层包括具有顶表面和限定宽度的两个竖直面的至少一个特征,所述竖直面基本上垂直于所述第一层;
修整所述图案化层以减小所述图案化层的宽度;
在所述第一层和图案化层上沉积间隔件层,使得所述间隔件层在所述第一层的通过所述图案化层暴露的部分、所述至少一个特征的顶表面和两个竖直面上形成膜;以及
从所述至少一个特征的顶表面和所述第一层的通过所述图案化层暴露的部分蚀刻所述间隔件层。
2.一种处理方法,所述处理方法包括:
将具有第一层和图案化层在其上的基板放置在处理腔室中,所述处理腔室包括多个区段,通过气体幕将每个区段与相邻区段分开,通过所述图案化层暴露所述第一层的部分,所述图案化层包括具有顶表面和限定宽度的两个竖直面的至少一个特征,所述竖直面基本上垂直于所述第一层;
使所述基板的至少一部分暴露于第一工艺条件以修整所述图案化层来减小所述图案化层的宽度;
侧向移动所述基板通过气体幕到达所述处理腔室的第二区段;
使所述基板暴露于第二工艺条件以在所述第一层和所述图案化层上沉积间隔件层,使得所述间隔件层在所述第一层的通过所述图案化层暴露的部分、所述至少一个特征的顶表面和两个竖直面上形成膜;
侧向移动所述基板通过气体幕到达所述处理腔室的第三区段;以及
使所述基板暴露于第三工艺条件以从所述至少一个特征的顶表面和所述第一层的通过所述图案化层暴露的部分蚀刻所述间隔件层,
其中在所述基板的侧向移动期间,在所述基板的第一部分暴露于所述第一工艺条件的同时,所述表面的第二部分暴露于所述第二工艺条件并且所述基板的中间部分暴露于所述气体幕。
3.根据权利要求1或2所述的处理方法,其特征在于,所述图案化层包括光刻胶或旋涂碳中的一个或多个。
4.根据权利要求1或2所述的处理方法,其特征在于,所述图案化层具有在约至约的范围内的宽度。
5.根据权利要求1或2所述的处理方法,其特征在于,所述图案化层包括电介质。
6.根据权利要求1或2所述的处理方法,其特征在于,所述图案化层具有在约1:1至约20:1的范围内的深宽比。
7.根据权利要求1或2所述的处理方法,其特征在于,修整所述图案化层包括使所述图案化层暴露于等离子体。
8.根据权利要求7所述的处理方法,其特征在于,所述图案化层包括旋涂碳,并且所述等离子体包括氩和二氧化碳。
9.根据权利要求7所述的处理方法,其特征在于,修整所述图案化层使所述宽度减小约至约的范围内的量。
10.根据权利要求7所述的处理方法,其特征在于,在修整所述图案化层后,所述竖直面基本上垂直于所述第一层。
11.根据权利要求1或2所述的处理方法,其特征在于,所述间隔件层包括氧化物、氮化物或碳氮化物中的一个或多个。
12.根据权利要求1或2所述的处理方法,其特征在于,所述修整、沉积和蚀刻中的每者发生在单一处理腔室中,在所述单一处理腔室中,所述基板在多个区段之间侧向移动,通过气体幕将每个区段与相邻区段分开。
13.根据权利要求12所述的处理方法,其特征在于,修整所述图案化层发生在所述处理腔室的第一区段中,沉积所述间隔件层发生在所述处理腔室的第二区段中,并且蚀刻所述间隔件层发生在所述处理腔室的第三区段中。
14.根据权利要求13所述的方法,进一步包括移除所述图案化层,接着对所述间隔件和暴露的第一层进行蚀刻。
15.一种处理方法,所述处理方法包括:
提供具有包含电介质的第一层和图案化层在其上的基板,通过所述图案化层暴露所述第一层的部分,所述图案化层包括具有顶表面和限定在约至约的范围内的宽度的两个竖直面的至少一个特征,所述竖直面基本上垂直于所述第一层;
使所述图案化层暴露于等离子体,以使所述图案化层的宽度减小大于约的量,使得所修整的竖直面基本上垂直于所述第一层;
在所述第一层和图案化层上沉积包含氧化物、氮化物、氮氧化物或碳氮化物中的一个或多个的间隔件层,使得所述间隔件层在所述第一层的通过所述图案化层暴露的部分、所述至少一个特征的顶表面和两个竖直面上形成膜;以及
从所述至少一个特征的顶表面和所述第一层的通过所述图案化层暴露的部分蚀刻所述间隔件层。
CN201580004367.3A 2014-01-13 2015-01-13 具有空间原子层沉积的自对准式双图案化 Active CN105917445B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010350832.1A CN111430224B (zh) 2014-01-13 2015-01-13 具有空间原子层沉积的自对准式双图案化

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461926589P 2014-01-13 2014-01-13
US61/926,589 2014-01-13
PCT/US2015/011185 WO2015106261A1 (en) 2014-01-13 2015-01-13 Self-aligned double patterning with spatial atomic layer deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202010350832.1A Division CN111430224B (zh) 2014-01-13 2015-01-13 具有空间原子层沉积的自对准式双图案化

Publications (2)

Publication Number Publication Date
CN105917445A true CN105917445A (zh) 2016-08-31
CN105917445B CN105917445B (zh) 2020-05-22

Family

ID=53521955

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580004367.3A Active CN105917445B (zh) 2014-01-13 2015-01-13 具有空间原子层沉积的自对准式双图案化
CN202010350832.1A Active CN111430224B (zh) 2014-01-13 2015-01-13 具有空间原子层沉积的自对准式双图案化

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202010350832.1A Active CN111430224B (zh) 2014-01-13 2015-01-13 具有空间原子层沉积的自对准式双图案化

Country Status (5)

Country Link
US (2) US11164753B2 (zh)
JP (2) JP6692754B2 (zh)
KR (2) KR102363899B1 (zh)
CN (2) CN105917445B (zh)
WO (1) WO2015106261A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108183071A (zh) * 2016-11-11 2018-06-19 朗姆研究公司 采用ald填隙间隔物掩模的自对准多重图案化处理流程
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP2015154034A (ja) * 2014-02-19 2015-08-24 株式会社東芝 成膜装置および成膜方法
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
KR102564551B1 (ko) 2016-01-26 2023-08-04 삼성전자주식회사 반도체 소자의 제조 방법
US9831099B2 (en) * 2016-02-12 2017-11-28 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102067082B1 (ko) * 2017-01-19 2020-01-16 삼성에스디아이 주식회사 패턴 형성 방법 및 반도체 소자
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN107564804A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种自对准双图案化方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US20200090978A1 (en) * 2017-10-27 2020-03-19 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
US20200066572A1 (en) * 2017-10-27 2020-02-27 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
US10854428B2 (en) 2017-12-13 2020-12-01 Applied Materials, Inc. Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10340136B1 (en) 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
KR20200011174A (ko) * 2018-07-24 2020-02-03 에스케이하이닉스 주식회사 대칭형 구조를 갖는 전도성 패턴들을 갖는 반도체 소자
US11276607B2 (en) 2019-09-13 2022-03-15 International Business Machines Corporation Selective patterning of vias with hardmasks
US10998193B1 (en) 2020-01-22 2021-05-04 International Business Machines Corporation Spacer-assisted lithographic double patterning
US11817297B2 (en) * 2020-03-06 2023-11-14 Applied Materials, Inc. System and method for managing substrate outgassing
US11177160B2 (en) 2020-03-24 2021-11-16 International Business Machines Corporation Double patterned lithography using spacer assisted cuts for patterning steps
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101335198A (zh) * 2007-06-29 2008-12-31 海力士半导体有限公司 形成半导体器件的精细图案的方法
CN101556147A (zh) * 2009-05-19 2009-10-14 西北工业大学 碳/碳化硅复合材料内部缺陷厚度测量方法
US20110183269A1 (en) * 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
CN102953052A (zh) * 2011-08-24 2013-03-06 东京毅力科创株式会社 成膜装置、基板处理装置及等离子体产生装置

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR100531629B1 (ko) 2000-08-11 2005-11-29 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
EP1436443A1 (en) * 2001-04-05 2004-07-14 Angstron Systems, Inc. Atomic layer deposition system and method
JP2004165634A (ja) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Ald表面処理のためのプラズマ処理
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040084411A1 (en) * 2002-10-31 2004-05-06 Applied Materials, Inc. Method of etching a silicon-containing dielectric material
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7229936B2 (en) * 2004-05-03 2007-06-12 International Business Machines Corporation Method to reduce photoresist pattern collapse by controlled surface microroughening
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
KR100730348B1 (ko) * 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US8722547B2 (en) 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100752674B1 (ko) 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
KR100871967B1 (ko) * 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
JP5297615B2 (ja) 2007-09-07 2013-09-25 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP2009152243A (ja) 2007-12-18 2009-07-09 Toshiba Corp 半導体装置の製造方法
US8133659B2 (en) 2008-01-29 2012-03-13 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
KR101203201B1 (ko) * 2008-06-13 2012-11-21 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US8232212B2 (en) 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
CA2638452A1 (en) * 2008-08-19 2010-02-19 Ignis Innovation Inc High quality ultra-thin gate dielectric
US7935464B2 (en) 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US7972959B2 (en) 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
JP5377993B2 (ja) 2009-01-30 2013-12-25 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2011066164A (ja) * 2009-09-16 2011-03-31 Tokyo Electron Ltd マスクパターンの形成方法及び半導体装置の製造方法
JP5401244B2 (ja) 2009-10-01 2014-01-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101584100B1 (ko) 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US8394723B2 (en) 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
US20110206937A1 (en) 2010-02-25 2011-08-25 Schmidt Wayde R Composite article having a ceramic nanocomposite layer
JP5632240B2 (ja) * 2010-08-31 2014-11-26 東京エレクトロン株式会社 微細パターンの形成方法
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP5473962B2 (ja) * 2011-02-22 2014-04-16 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP5661524B2 (ja) * 2011-03-22 2015-01-28 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP5482722B2 (ja) 2011-04-22 2014-05-07 信越化学工業株式会社 パターン形成方法
JP2013026305A (ja) * 2011-07-19 2013-02-04 Toshiba Corp 半導体装置の製造方法
US20130113085A1 (en) * 2011-11-04 2013-05-09 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
US20130210238A1 (en) 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
KR20140147109A (ko) * 2012-04-23 2014-12-29 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치, 및 성막 시스템
US8703392B2 (en) * 2012-09-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for developing process
JP6051788B2 (ja) * 2012-11-05 2016-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ発生装置
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101335198A (zh) * 2007-06-29 2008-12-31 海力士半导体有限公司 形成半导体器件的精细图案的方法
CN101556147A (zh) * 2009-05-19 2009-10-14 西北工业大学 碳/碳化硅复合材料内部缺陷厚度测量方法
US20110183269A1 (en) * 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
CN102953052A (zh) * 2011-08-24 2013-03-06 东京毅力科创株式会社 成膜装置、基板处理装置及等离子体产生装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108183071A (zh) * 2016-11-11 2018-06-19 朗姆研究公司 采用ald填隙间隔物掩模的自对准多重图案化处理流程
CN108183071B (zh) * 2016-11-11 2022-10-04 朗姆研究公司 采用ald填隙间隔物掩模的自对准多重图案化处理流程
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具

Also Published As

Publication number Publication date
JP6692754B2 (ja) 2020-05-13
KR102486400B1 (ko) 2023-01-09
JP7090118B2 (ja) 2022-06-23
KR20160111426A (ko) 2016-09-26
US20150200110A1 (en) 2015-07-16
WO2015106261A1 (en) 2015-07-16
CN111430224B (zh) 2023-07-28
KR20220025235A (ko) 2022-03-03
US20220059362A1 (en) 2022-02-24
CN105917445B (zh) 2020-05-22
JP2017503359A (ja) 2017-01-26
JP2020127029A (ja) 2020-08-20
CN111430224A (zh) 2020-07-17
US11164753B2 (en) 2021-11-02
KR102363899B1 (ko) 2022-02-15

Similar Documents

Publication Publication Date Title
CN105917445A (zh) 具有空间原子层沉积的自对准式双图案化
JP6529973B2 (ja) バッチ処理用傾斜プレート及びその使用方法
US10319583B2 (en) Selective deposition of silicon nitride films for spacer applications
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
CN105051866B (zh) 用于旋转压板式ald腔室的等离子体源
US9443716B2 (en) Precise critical dimension control using bilayer ALD
TW201732925A (zh) 用於選擇性乾式蝕刻的方法及設備
US10273578B2 (en) Top lamp module for carousel deposition chamber
JP2017528916A (ja) 空間的原子層堆積におけるガス分離制御
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant