TW201732925A - 用於選擇性乾式蝕刻的方法及設備 - Google Patents

用於選擇性乾式蝕刻的方法及設備 Download PDF

Info

Publication number
TW201732925A
TW201732925A TW106107932A TW106107932A TW201732925A TW 201732925 A TW201732925 A TW 201732925A TW 106107932 A TW106107932 A TW 106107932A TW 106107932 A TW106107932 A TW 106107932A TW 201732925 A TW201732925 A TW 201732925A
Authority
TW
Taiwan
Prior art keywords
processing
film
plasma
substrate
feature
Prior art date
Application number
TW106107932A
Other languages
English (en)
Other versions
TWI700745B (zh
Inventor
李寧
米海拉 巴賽諾
立群 夏
楊冬青
王安川
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201732925A publication Critical patent/TW201732925A/zh
Application granted granted Critical
Publication of TWI700745B publication Critical patent/TWI700745B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0008Electrical discharge treatment, e.g. corona, plasma treatment; wave energy or particle radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2310/00Treatment by energy or chemical effects
    • B32B2310/14Corona, ionisation, electrical discharge, plasma treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用於形成間隔物的方法包含以下步驟:在特徵的頂部、底部、及側壁上沉積膜,以及對膜加工,以改變特徵的頂部與底部上的膜的性質。相對於特徵的側壁上的膜,使用高強度電漿對特徵的頂部與底部上的膜選擇性乾式蝕刻。

Description

用於選擇性乾式蝕刻的方法及設備
本發明一般係關於沉積薄膜的方法。更特定言之,本揭示係關於藉由空間ALD選擇性沉積氮化矽膜的方法。
作為介電層的氮化矽薄膜已經廣泛用於半導體製造處理中。舉例而言,SiN膜在多圖案化處理中係作為間隔物材料,以實現更小的裝置尺寸,而不使用最昂貴的EUV光刻技術。此外,SiN可作為閘極間隔物材料,以隔離閘極結構與接觸區域,以最小化潛在的洩漏電流。
傳統的氮化矽間隔物製造處理包括在3D結構(例如,鰭)上的共形SiN膜沉積,隨後是定向電漿乾式蝕刻,以移除頂層與底層,同時保持側壁膜作為間隔物。然而,已發現乾式蝕刻處理可能損壞側壁表面並改變膜特性;最終影響裝置效能與產量。
因此,在本領域中需要沉積選擇性間隔物膜的處理。
本揭示的一或更多個實施例係關於包含提供其上具有至少一個特徵的基板表面的處理方法。至少一個特徵包含頂部、底部、及側壁。在至少一個特徵上形成膜,而使得膜形成於頂部、底部、及側壁上。利用電漿對膜加工,以改變特徵的相對於側壁的頂部與底部的膜的性質。經加工的膜係暴露至高強度電漿,以對膜選擇性乾式蝕刻。
本揭示的附加實施例係關於包含在處理腔室中定位基板表面的處理方法。基板表面其上具有至少一個特徵,該至少一個特徵具有頂部、底部、及側壁。基板表面係暴露於包含至少一個沉積循環的沉積環境。沉積循環包含依序暴露於矽前驅物與含氮反應物,以在至少一個特徵的頂部、底部、及側壁上形成氮化矽膜。氮化矽膜係暴露於加工環境,以修改沉積在至少一個特徵的頂部與底部上的氮化矽膜。加工環境包含具有高離子濃度的電漿。使用在電漿組件中產生的高強度電漿以乾式蝕刻經修改的氮化矽膜,該電漿組件具有阻隔板並與基板間隔,該阻隔板包括至少一個狹槽。
本揭示的進一步實施例係關於包含將具有帶有特徵的基板表面的基板放置於包含複數個處理區域的處理腔室中的處理方法。每一處理區域藉由氣體簾幕與相鄰處理區域分離。特徵包含頂部、底部、及側壁。基板表面的至少一部分係暴露於處理腔室的第一處理區域中的第一處理條件。第一處理條件包含矽前驅物。基板表面透過氣體簾幕橫向移動至處理腔室的第二處理區域。基板表面係暴露於處理腔室的第二處理區域中的第二處理條件。第二處理條件包含氮反應物,以在特徵的頂部、底部、及側壁上形成氮化矽膜。重複暴露於第一處理條件與第二處理條件,以形成具有預定厚度的氮化矽膜。基板表面橫向移動至處理腔室的第三處理區域。第三處理區域包含加工環境,加工環境包含高離子濃度電漿,以相對於特徵的側壁,選擇性改變特徵的頂部與底部上的氮化矽膜的性質。重複形成預定厚度的氮化矽膜,並將氮化矽膜暴露於高離子濃度電漿,以形成具有總厚度的氮化矽膜。基板表面橫向移動至處理腔室的第四處理區域。第四處理區域包含高強度電漿,以選擇性乾式蝕刻特徵的頂部與底部。藉由具有帶有狹槽的阻隔板的電漿組件產生高強度電漿。
在描述本揭示的幾個示例性實施例之前,應理解,本揭示並不限於在以下描述中闡述的構造或處理步驟的細節。本揭示能夠具有其他實施例,並能夠以各種方式實踐或執行。
如本文所使用的「基板」係指稱在製造處理期間在其上執行膜處理的基板上所形成的任何基板或材料表面。舉例而言,取決於應用,可以在其上執行處理的基板表面包括材料,例如矽、氧化矽、應變矽、絕緣體矽(SOI)、摻碳氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,例如金屬、金屬氮化物、金屬合金、及其他導電材料。基板包括但不限於半導體晶圓。基板可以暴露於預加工處理,以研磨、蝕刻、還原、氧化、羥基化、退火、及/或烘烤基板表面。除了直接在基板本身的表面上的膜處理之外,在本揭示中,所揭示的任何膜處理步驟亦可在基板上所形成的底層上執行,如下面更詳細揭示,而術語「基板表面」意欲包括如上下文所指示的此類底層。因此,舉例而言,當膜/層或部分膜/層已沉積至基底表面時,新沉積的膜/層的暴露表面變成基板表面。
如在此說明書及隨附申請專利範圍中所使用的術語「前驅物」、「反應物」、「活性氣體」、及類似物可互換使用,用以指稱可以與基板表面反應的任何氣體物質。
本揭示的一些實施例係關於使用具有可用於引入不同化學物或電漿氣體的多個氣體入口通道的反應腔室的方法。在空間上,這些通道係藉由惰性吹掃氣體及/或真空泵送孔分離,以建立最小化或消除來自不同通道的氣體的混合的氣體簾幕,以避免不希望的氣相反應。移動透過這些不同的空間分離通道的晶圓取得依序對不同化學或電漿環境的多個表面暴露,而使得在空間ALD模式或表面蝕刻處理中發生逐層的膜生長。在一些實施例中,處理腔室在氣體分配部件上具有模組化架構,而每一模組化部件具有獨立的參數控制(例如,RF或氣流),以提供控制例如氣流及/或RF暴露的靈活性。
本揭示的一些實施例使用空間架構,並包含在基板表面上的第一化學劑量,而接著第二化學暴露與所配量的化學物質反應以形成膜,隨後是第三附加後加工處理。在使用中,本揭示的實施例具有可以暴露於原位後加工的ALD層。在一些實施例中,加工進行一次。在一些實施例中,可以在每個週期中進行加工。最小加工量可以在每1至100個沉積循環或更多的範圍內。
本揭示的一些實施例係關於選擇性SiN沉積方法,以允許依據3D結構上的沉積位置的不同膜性質。舉例而言,沉積在結構的頂部與底部上的膜可以加工成具有與沉積在結構的側壁上的膜不同的膜性質。本揭示的一些實施例有利地提供形成膜的方法,其中濕式蝕刻可以選擇性移除膜的部分(例如,頂部與底部),同時留下膜的其他部分(例如,側壁)作為間隔物。本揭示的一些實施例有利地在單一處理腔室中執行。
儘管本揭示的各種實施例係描述關於關於氮化矽膜的沉積,但該領域具有通常知識者將理解,本揭示並不限於此。可沉積、加工、及蝕刻其他膜,以留下間隔物。
在一些實施例中,氮化矽選擇性沉積在單一處理腔室中包括二個處理:SiN膜沉積與電漿加工。批次處理腔室可用於處理空間原子層沉積(ALD)序列:矽前驅物暴露;氮前驅物暴露(熱或電漿);利用例如N2 、NH3 、H2 、或O2 氣體(其可與惰性氣體如Ar或He混合)的RF電漿加工。矽與氮前驅物形成共形SiN膜,而電漿加工修改特徵的頂部與底部上的膜。在一些實施例中,RF電漿加工使用經配置以具有對膜的定向加工效果的硬體。電漿加工處理可以在膜表面上形成N-H或Si-O鍵,並且依據加工時間與RF功率而穿透到膜中的一深度。已發現此舉在特徵的頂部與底部產生較高的濕式蝕刻速率。可以調整沉積層厚度與電漿加工等級,以增加濕式蝕刻速率選擇性。在一些實施例中,選擇性沉積在約200℃至約550℃的晶圓溫度下執行。因為所沉積的膜在頂部/底部展示與特徵的側壁不同的膜性質,且共形乾式蝕刻可以選擇性地移除膜的頂部/底部,所以一或更多個實施例有利地提供可選擇定向乾式蝕刻方法(例如物理轟擊)的膜。
參照6A圖至第6E圖,本揭示的一或更多個實施例係關於在其上具有特徵310的基板表面300上沉積間隔物膜的處理方法。特徵310可以是膜可以沉積其上的任何三維結構。圖式所示的特徵310係為心軸;然而,該領域具有通常知識者將理解這僅為一種可能結構的代表。適合的特徵310包括但不限於脊部、溝道、及通孔。
特徵310包括頂部320、底部330、及側壁340。在所示的實施例中,特徵310在特徵310的任一側上具有二個側壁340。特徵310具有由頂部320與底部330定義的高度以及由側壁340之間的距離定義的寬度。
基板表面300在其上提供至少一個特徵310。如在本說明書與隨附專利請求範圍中所使用的,以此方式使用的術語「提供」意指基板表面300係放置或定位在用於處理的環境中。
如第6B圖所示,在基板表面300上形成膜350,而使得膜形成於至少一個特徵310上。膜350形成於特徵310的頂部320、底部330、及側壁340上。在一些實施例中,膜350共形地形成於特徵310上。如本文所使用的術語「共形」或「共形地」係指稱黏附並均勻地覆蓋暴露表面的層,其厚度具有小於相對於膜的平均厚度的1%的變化。舉例而言,1000Å厚的膜將具有小於10Å的厚度變化。此厚度與變化包括凹槽的邊緣、角部、側邊、及底部。舉例而言,在本揭示的各種實施例中藉由ALD沉積的共形層將在複雜表面上提供基本上均勻厚度的沉積區域的覆蓋。
在一些實施例中,膜350包含SiN。可以藉由任何適合的方法執行SiN的形成,包括但不限於原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、化學氣相沉積(CVD)、及電漿增強化學氣相沉積(PECVD)。在一些實施例中,膜350係為藉由ALD處理而形成的SiN,其中基板表面300依序暴露於矽前驅物與氮反應物。如此處所使用,「矽前驅物」與基板的表面反應,而使得矽分子停留於基板表面上。如此處所使用,「氮反應物」與基板表面上的矽分子反應。
在一些實施例中,在暴露於矽前驅物與氮反應物之間暴露於氮前驅物的情況下,在基板表面300上形成SiN膜。如此處所使用,「氮前驅物」與基板表面反應,而最少地與已存在於基板表面上的矽物質反應。換言之,氮前驅物包含不與基板表面上的矽前驅物分子反應的物質。由於氮前驅物物質與矽前驅物物質都與基板表面反應,且彼此具有最小的反應性,所以暴露於氮前驅物可以發生於暴露於矽前驅物之前,暴露於矽前驅物期間,或暴露於矽前驅物之後。
適合的矽前驅物包括但不限於甲矽烷、乙矽烷、二氯矽烷(DCS)、雙(二乙胺基)矽烷(BDEAS)、四(二甲胺基)矽烷(TDMAS)、及/或雙(第三丁胺基)矽烷(BTBAS)。在一些實施例中,矽前驅物包含二氯矽烷。在一或更多個實施例中,矽前驅物主要由二氯矽烷組成,而這意指在原子的基礎上存在小於1%的二氯矽烷之外的矽物質。
適合的氮前驅物包括但不限於分子氮與氨。在氮前驅物暴露期間所採用的處理條件可以影響氮物質與表面上的矽物質的反應性。在一些實施例中,處理條件經配置以使得氮前驅物基本上僅與基板表面反應。如此處所使用,術語「基本上僅」意指氮前驅物與小於約10%的表面矽物質反應。
氮反應物係為在基板表面上形成氮化矽膜的物質。在一些實施例中,氮反應物提供用於形成氮化物膜(例如,SiN)的氮原子。在一或更多個實施例中,氮反應物不包括含氮物質,並藉由促進表面物質之間的反應而形成氮化物膜。適合的氮反應物包括但不限於氮電漿、氨電漿、包含氮、氨、氦、氬、或氧中之二或更多者的混合物的電漿。在一些實施例中,氮反應物包括氬與氨的電漿、或氬與氮的電漿、或氬與氧的電漿、或氦與氨的電漿。
如第6C圖所示,在形成膜350(例如,氮化矽)之後,利用具有高離子濃度的電漿360加工膜350。電漿360可以是定向電漿。如在本說明書與所附申請專利範圍中所使用的術語「定向電漿」係指稱存在於電漿中的能量物質(離子與自由基)在特定方向上移動。舉例而言,在第6C圖中,電漿360係圖示為向下移動,而使得能量物質能夠接觸頂表面320與底表面330上的膜350,但與側壁340上的膜350具有最小的接觸。
具有高離子濃度的電漿具有大於或等於約1010 /cm3 的濃度。在一或更多個實施例中,具有高離子濃度的電漿具有大於或等於約109 /cm3 、1011 /cm3 、1012 /cm3 、1013 /cm3 、或1014 /cm3 的濃度。
定向電漿可以形成為遠端電漿,其中電漿物質在基板表面遠端激發,並朝向基板表面流動。基板與基板支撐件可以不是用於產生電漿的電路徑的一部分。定向電漿亦可以形成為直接電漿,其中基板或基板支撐件可在電漿形成中作為電極。直接電漿通常是擴散電漿,並可以藉由向基板施加偏壓而定向,而使得離子被吸引到基板並朝向基板移動。
如第6D圖所示,利用具有高離子濃度的電漿對膜350加工,以修改膜350在頂部320與底部330的性質。在一些實施例中,改變膜350的性質以形成經修改的頂部膜352與經修改的底部膜353,而影響稀釋HF(1%)中的濕式蝕刻速率,而可從特徵310蝕刻經修改的頂部膜352與經修改的底部膜353,而基本上不影響側壁膜354。在一些實施例中,該加工損傷特徵310的頂部與底部上的膜350,而可以相對於側壁選擇性蝕刻膜。
在一些實施例中,經修改的頂部膜352與經修改的底部膜353的濕式蝕刻速率大於或等於約15Å/分。在一些實施例中,經修改的頂部膜352與經修改的底部膜353的濕式蝕刻速率大於或等於約20Å/分或25Å/分。在一些實施例中,經修改的頂部膜352與經修改的底部膜353的濕式蝕刻速率大於側壁膜354的濕式蝕刻速率的2倍,或3、4、5、6、7、8、9、10、11、12、13、14、15倍。
用於加工的電漿可以是能夠修改膜性質的任何適合的電漿(例如,直接或遠端)。在一些實施例中,加工包含氬、氮、氨、氧、或氦中之一或更多者。
用於加工的時間量可以影響在特徵的頂部與底部上的膜所造成的損傷量。電漿物質與功率可以影響對膜造成的損傷的深度。對膜造成更深的損傷的加工處理可以比對膜造成更淺損傷的加工處理更少重複。舉例而言,He/NH3 電漿可以造成比Ar/NH3 電漿更深的損傷,而使得在利用He/NH3 電漿加工之前,所沉積的膜可以更厚。
可以重複膜350的形成與加工,直到已形成具有預定總厚度的膜。可以依次重複膜形成處理中的每一步驟,以形成用於加工的膜。隨後,可以加工該膜,並重複該處理。在一些實施例中,利用電漿360對膜350加工係發生在沉積具有約1Å至約50Å的範圍內的厚度的膜之後。換言之,在沉積約1Å至約50Å之後,對膜350加工。
本揭示之實施例係利用化學選擇性移除,而非習知定向離子轟擊蝕刻。在該方法的一些實施例中,在溝道頂部、側壁、及底部上沉積具有不同膜性質的共形SiN膜。蝕刻方法可以是材料化學敏感的,並且可以不具有能量離子轟擊部分。取決於所選擇的蝕刻化學,從頂部、側壁、或底部選擇性移除SiN層的量可以不同。
在一些實施例中,沉積處理包含PEALD SiN沉積與電漿加工。從沉積/加工處理取得的薄膜可能針對溝道頂部、側壁、及底部具有不同的膜性質。化學組成差異的一個實例係為頂部、底部、及側壁可以具有不同的H等級與不同的膜密度。另一實例係為頂部、底部、及側壁膜可以具有不同的氧等級。化學組成差異可以產生後續乾式蝕刻處理的蝕刻速率選擇性/差異。
可以藉由諧調沉積與加工步驟以控制膜的加工等級與幾何分佈,而可控制膜性質差異的等級。膜性質的控制係關於最終化學敏感性乾式蝕刻步驟與最終蝕刻輪廓及/或結構上不同位置的不同膜厚度的移除。
因此,在該方法的一些實施例中,對膜350蝕刻。可以相對於側壁膜354選擇性蝕刻經修改的頂部膜352與經修改的底部膜353。如此處所使用,選擇性蝕刻意指頂部與底部的蝕刻的量、速率、或程度大於側壁的蝕刻。第6E圖圖示蝕刻處理的結果。儘管圖式圖示特徵310的頂部及底部與側壁膜354的正方形角部,但是該領域具有通常知識者將理解這僅為說明性,而邊緣與角部並非為完全直的或正方形的。
在一些實施例中,藉由使用高強度電漿的乾式蝕刻處理對膜蝕刻,以從特徵的頂部與底部選擇性移除膜。一些實施例的乾式蝕刻處理包含將膜暴露於來自槽式電漿組件的高強度電漿。
第7圖圖示在阻隔板381中具有狹槽382的電漿組件380的橫截面圖。電漿形成於電漿空腔384中,並透過狹槽382朝向基板表面300流動。第7圖所示的視圖為橫截面圖,其中狹槽382延伸出頁面。形成於電漿空腔384中的電漿流經的狹槽382具有邊緣386。在一些實施例中,相鄰於狹槽382的邊緣386的電漿具有高離子能量與濃度。
如第8圖的視圖所示,一些實施例的阻隔板381係為楔形,並具有定義場域456的內周邊緣451、外周邊緣452、第一側453、及第二側454。細長狹槽382係位於場域456內,並延伸透過阻隔板381的厚度457。
細長狹槽382具有長度L與寬度W。狹槽可以是線性、彎曲、楔形、或橢圓形。如此處所使用,線性狹槽具有細長邊緣,而彼此由不變化超過5%的相對於邊緣之間的平均距離的距離間隔開。若狹槽具有彎曲端,則狹槽的邊緣之間的距離係依據狹槽長度中間的90%而決定。
細長狹槽382的大小與形狀可隨著例如阻隔板381的大小與形狀而變化。狹槽的寬度與長度可影響電漿密度的均勻性。在一些實施例中,細長狹槽382的寬度W在約2mm至約20mm的範圍內、或在約3mm至約16mm的範圍內、或在約4mm至約12mm的範圍內。
相鄰於細長狹槽382的邊緣386的電漿密度大於狹槽的中央部分的電漿密度。減少狹槽的寬度可增加電漿密度。狹槽寬度的減少與電漿密度的增加係為非線性關係。
一些實施例的細長狹槽382的長度L係在阻隔板381的內周邊緣451與外周邊緣452之間的距離的約20%至約95%的範圍內。在一些實施例中,細長狹槽382的長度L大於阻隔板381的內周邊緣451與外周邊緣452之間的距離的約30%、40%、50%、60%、70%、或80%。
細長狹槽382的數目可以變化。在一些實施例中,具有場域456中的第一細長狹槽382與場域456中的第二細長狹槽(未圖示)。每一細長狹槽可以具有與其他細長狹槽相同或不同的形狀。
分析使用具有各種寬度的槽的阻隔板的電漿組件的離子通量均勻性。第9圖與第10圖圖示電漿的離子通量與狹槽寬度的曲線圖。200W與13.5MHz的氬電漿係用於這些研究。分析具有狹槽寬度為19mm、10mm、6mm、4mm、3.5mm、3mm、2.5mm、2mm的阻隔板。發現對於寬的狹槽而言,狹槽的邊緣附近的電漿密度係為峰值。在較大的狹槽寬度處,如第9圖所見,在離子通量中觀察到二個峰值。隨著狹槽寬度減少,電漿密度增加為狹槽開口附近的電漿峰值的合併,如第9圖的2mm的狹槽可見。如第10圖所示,進一步研究指示當狹槽具有約3mm的寬度時,離子通量從二個峰值轉換成單一峰值。
在乾式蝕刻處理期間,來自槽式電漿源的高強度電漿提供膜上的非共形效應。意指在特徵的頂部與底部以及特徵的側面具有不同影響。
高強度電漿可以藉由電漿物質的穿透深度而量化。一些實施例的高強度電漿包括惰性氣體與穩定化學品。適合的惰性氣體包括但不限於氦氣與氬氣。適合的穩定化學品包括但不限於氨、氮、及氧。在一些實施例中,高強度電漿包含氨與氦。在一或更多個實施例中,高強度電漿主要由氨與氦組成。
可以取決於例如惰性氣體、壓力、電漿頻率、及電漿功率而修改高強度電漿乾式蝕刻暴露時間。在一些實施例中,高強度電漿乾式蝕刻係發生至少約15秒、30秒、45秒、1分、2分、3分、4分、5分、10分、15分、20分、30分、或者更多。
高強度電漿可以定位於接近基板表面。基板並未直接透過電漿。阻擋板與基板之間的距離可以取決於例如電漿功率與壓力而變化。在一些實施例中,阻隔板與基板之間的距離在約0.5mm至約5mm、或0.75mm至約3mm、或1mm至約2mm的範圍內。
本揭示的一些實施例係關於使用批次處理腔室(亦稱為空間處理腔室)沉積間隔物材料的處理。第1圖圖示處理腔室100之橫截面圖,處理腔室100包括氣體分配組件120(亦稱為噴射器或噴射器組件)與基座組件140。氣體分配組件120係為用於處理腔室中的任何類型的氣體遞送裝置。氣體分配組件120包括面向基座組件140的前表面121。前表面121可具有任何數目或種類的開口,以傳遞流動朝向基座組件140的氣體。氣體分配組件120亦包括外邊緣124,其在所示實施例中基本上為圓形。
所使用的氣體分配組件120的具體類型可以取決於所使用的特定處理而變化。本揭示之實施例可用於控制基座與氣體分配組件之間的間隙的任何類型的處理系統。儘管可採用各種類型的氣體分配組件(例如,噴淋頭),本揭示之實施例可以特別有用於具有複數個基本上平行的氣體通道的空間氣體分配組件。如在此說明書及隨附申請專利範圍中所使用,術語「基本上平行」意指氣體通道的細長軸在大致相同的方向上延伸。在氣體通道的平行中可以存在輕微的缺陷。在二元反應中,複數個基本上平行的氣體通道可包括至少一個第一活性氣體A通道、至少一個第二活性氣體B通道、至少一個淨化氣體P通道、及/或至少一個真空V通道。將來自第一活性氣體A通道、第二活性氣體B通道、及淨化氣體P通道的氣體流引導朝向晶圓的頂表面。氣體流中之一些者跨越整個晶圓的表面水平移動,並水平移動出淨化氣體P通道的處理區域。從氣體分配組件的一端移動到另一端的基板將依序暴露於處理氣體之每一者,以形成基板表面上的層。
在一些實施例中,氣體分配組件120係為由單一噴射器單元製成的剛性固定主體。在一或更多個實施例中,如第2圖所示,氣體分配組件120係由複數個獨立扇區(例如,噴射器單元122)製成。單件體或多扇區體皆可用於本揭示的各種實施例。
基座組件140係定位於氣體分配組件120下方。基座組件140包括頂表面141以及頂表面141中的至少一個凹槽142。基座組件140亦具有底表面143與邊緣144。取決於所處理基板60之形狀及大小,凹槽142可以是任何合適的形狀及大小。在第1圖所示的實施例中,凹槽142具有平坦底部,以支撐晶圓的底部;然而,凹槽的底部可以變化。在一些實施例中,凹槽具有環繞凹槽的外周邊緣的階段區域,並調整其大小以用於支撐晶圓的外周邊緣。舉例而言,取決於晶圓之厚度與晶圓背側上呈現的特徵之存在,可變化由階段所支撐的晶圓之外周邊緣的量。
在一些實施例中,如第1圖所示,調整基座組件140的頂表面141中的凹槽142之大小,而使得支撐於凹槽142中的基板60具有與基座組件140之頂表面141實質上共面的頂表面61。如在此說明書及隨附申請專利範圍中所使用,術語「基本上共面」意指晶圓的頂表面及基座組件的頂表面係在±0.2mm內共面。在一些實施例中,頂表面係在0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm、或±0.05mm內共面。
第1圖之基座組件140包括能夠提起、降低、及旋轉基座組件140的支撐柱160。基座組件可包括加熱器、或氣體接線、或在支撐柱160之中心內的電氣部件。支撐柱160可以是增加或減少在基座組件140與氣體分配組件120間的間隙之主要構件,以移動基座組件140至合適的位置。基座組件140亦可包括微調諧致動器162,可對基座組件140微調整,以建立基座組件140與氣體分配組件120之間的預定間隙170。
在一些實施例中,間隙170之距離係在約0.1mm至約5.0mm之範圍中、在約0.1mm至約3.0mm之範圍中、在約0.1mm至約2.0mm之範圍中、或在約0.2mm至約1.8mm之範圍中、或在約0.3mm至約1.7mm之範圍中、或在約0.4mm至約1.6mm之範圍中、或在約0.5mm至約1.5mm之範圍中、或在約0.6mm至約1.4mm之範圍中、或在約0.7mm至約1.3mm之範圍中、或在約0.8mm至約1.2mm之範圍中、或在約0.9mm至約1.1mm之範圍中、或約1mm。
圖式所示的處理腔室100係為旋轉料架型腔室,其中基座組件140可托持複數個基板60。如第2圖所示,氣體分配組件120可包括複數個分離的噴射器單元122,每一噴射器單元122能夠在晶圓於噴射器單元下方移動時,在晶圓上沉積膜。二個派形噴射器單元122係圖示為位於基座組件140上方的大約相對側上。噴射器單元122之此數目係僅用於說明目的而顯示。應理解可包括更多或更少噴射器單元122。在一些實施例中,有足夠數目的派形噴射器單元122以形成適合於基座組件140形狀的形狀。在一些實施例中,獨立派形噴射器單元122之每一者可獨立地移動、移除及/或置換而不影響其他噴射器單元122之任一者。舉例而言,可提高一個區段,以允許機器人到達基座組件140與氣體分配組件120之間的區域,以裝載/卸載基板60。
具有多個氣體噴射器之處理腔室可用以同時處理多個晶圓,而使得晶圓經歷相同的處理流程。舉例而言,如第3圖所示,處理腔室100具有四個氣體噴射器組件與四個基板60。在處理的開端處,基板60可定位於噴射器組件30之間。以45°旋轉17基座組件140將導致氣體分配組件120之間的每一基板60移動到用於膜沉積的氣體分配組件120,如氣體分配組件120下方的虛線圓形所示。額外的45°旋轉將讓基板60移動遠離噴射器組件30。基板60與氣體分配組件120的數目可以相同或不同。在一些實施例中,正在處理的晶圓與氣體分配組件具有相同數目。在一或更多個實施例中,正在處理的晶圓數目係為氣體分配組件之數目的一小部分或整數倍數。舉例而言,若有四個氣體分配組件,則有4x個正在處理的晶圓,其中x係為大於或等於一的整數值。在示例性實施例中,氣體分配組件120包括藉由氣體簾幕分離的八個處理區域,而基座組件140可容納六個晶圓。
第3圖所示的處理腔室100僅為一個可能配置的代表,且不應視為限制本揭示之範疇。此處,處理腔室100包括複數個氣體分配組件120。在所示實施例中,具有以均勻間隔圍繞處理腔室100的四個氣體分配組件(亦稱為噴射器組件30)。所示處理腔室100係為八角形;然而,該領域具有通常知識者將瞭解此係為一個可能形狀,且不應視為限制本揭示之範疇。所示氣體分配組件120係為梯形的,但可以是單一圓形部件或由複數個派形區段組成,如第2圖所示。
第3圖所示的實施例包括裝載閘腔室180,或輔助腔室,如緩衝站。此腔室180連接至處理腔室100的一側,以允許例如讓基板(亦稱為基板60)從腔室100裝載/卸載。晶圓機器人可位於腔室180中,以將基板移動到基座上。
旋轉料架(例如,基座組件140)的旋轉可以連續或間歇(不連續)。在連續處理中,晶圓持續旋轉,而使得晶圓輪流暴露至噴射器之每一者。在非連續處理中,可將晶圓移動至噴射器區域並停止,而接著到噴射器之間的區域84並停止。舉例而言,旋轉料架可旋轉而使得晶圓從噴射器間區域移動而橫跨噴射器(或相鄰於噴射器而停止),且接著繼續到旋轉料架可再次暫停的下一個噴射器間區域。噴射器之間的暫停可提供在每一層沉積之間的額外處理步驟(例如,對電漿之暴露)的時間。
第4圖圖示氣體分配組件220之扇區或部分,其可稱為噴射器單元122。噴射器單元122可獨立使用或與其他噴射器單元組合使用。舉例而言,如第5圖所示,第4圖的四個噴射器單元122經組合以形成單一氣體分配組件220。(為了清楚而未顯示分離四個噴射器的接線。)儘管第4圖的噴射器單元122除了淨化氣體埠155與真空埠145之外亦具有第一活性氣體埠125與第二氣體埠135二者,然而噴射器單元122不需要所有這些部件。
參照第4圖與第5圖二者,根據一或更多個實施例的氣體分配組件220可包含複數個扇區(或噴射器單元122),且每一扇區係為相同或不同。氣體分配組件220係位於處理腔室內,且在氣體分配組件220之前表面121中包含複數個細長氣體埠125、135、145。複數個細長氣體埠125、135、145、155從相鄰於內周邊緣123之區域延伸朝向相鄰於氣體分配組件220之外周邊緣124之區域。所示複數個氣體埠包括第一活性氣體埠125、第二氣體埠135、真空埠145、及淨化氣體埠155,該真空埠145環繞第一活性氣體埠與第二活性氣體埠之每一者。
參照第4圖或第5圖所示之實施例,當埠從至少大約內周區域延伸到至少大約外周區域時,然而,埠之延伸可較僅在徑向上從內至外區域更多。埠可在切線上延伸,如真空埠145環繞活性氣體埠125與活性氣體埠135。在第4圖與第5圖所示之實施例中,楔形活性氣體埠125、135在所有邊緣上由真空埠145環繞,包括與內周邊緣與外周邊緣相鄰處。
參照第4圖,隨著基板沿著路徑127移動,基板之每一部分係暴露於各種活性氣體。沿著路徑127,基板暴露至(或「看到」)淨化氣體埠155、真空埠145、第一活性氣體埠125、真空埠145、淨化氣體埠155、真空埠145、第二氣體埠135、及真空埠145。因此,在第4圖所示之路徑127之端點處,基板已暴露至第一活性氣體125與第二活性氣體135以形成一層。所示噴射器單元122形成四分之一圓,但可更大或更小。第5圖所示的氣體分配組件220可視為串聯連接的第4圖的四個噴射器單元122之組合。
第4圖的噴射器單元122圖示分離活性氣體的氣體簾幕150。術語「氣體簾幕」係用於描述任何分離活性氣體以免混合的氣流或真空的組合。第4圖所示之氣體簾幕150包含第一活性氣體埠125旁邊的真空埠145之一部分、在中間的淨化氣體埠155、及第二氣體埠135旁邊的真空埠145之一部分。氣流及真空的此組合可用以防止或最小化第一活性氣體與第二活性氣體之氣相反應。
參照第5圖,來自氣體分配組件220之氣流及真空的組合形成對複數個處理區域250的分離。處理區域大致定義為環繞獨立氣體埠125、135,且在250之間具有氣體簾幕150。第5圖所示的實施例構成之間具有八個分離的氣體簾幕150的八個分離的處理區域250。處理腔室可具有至少二個處理區域。在一些實施例中,至少具有三、四、五、六、七、八、九、十、十一、或十二個處理區域。
在處理期間,基板可在任何給定時間暴露至一個以上的處理區域250。然而,暴露至不同處理區域的部分將具有分離二者的氣體簾幕。舉例而言,若基板之領先邊緣進入包括第二氣體埠135的處理區域,則基板之中間部分將在氣體簾幕150下方,而基板之落後邊緣將在包括第一活性氣體埠125的處理區域中。
工廠介面280(舉例而言,可為裝載閘腔室)係圖示為連接至處理腔室100。基板60係圖示為疊加於氣體分配組件220之上,以提供參考框架。基板60通常可坐落於基座組件上,以托持在氣體分配板120的前表面121附近。基板60係經由工廠介面280裝載進入處理腔室100至基板支撐件或基座組件上(見第3圖)。基板60可圖示為位於處理區域內,因為基板係定位為與第一活性氣體埠125相鄰,且在二個氣體簾幕150a、150b之間。沿著路徑127旋轉基板60將使基板以逆時針方向環繞處理腔室100。因此,基板60將暴露至第一處理區域250a到第八處理區域250h,並包括之間的所有處理區域。
本揭示之實施例係關於包含處理腔室100的處理方法,處理腔室100具有複數個處理區域250a-250h,其中每一處理區域係藉由氣體簾幕150與相鄰區域分離。舉例而言,第5圖所圖示的處理腔室。取決於氣流的佈置,處理腔室中的氣體簾幕與處理區域的數目可以是任何適當的數目。第5圖所示的實施例具有八個氣體簾幕150與八個處理區域250a-250h。
複數個基板60係位於基板支撐件上,例如,第1圖與第2圖所示之基座組件140。環繞處理區域旋轉複數個基板60,以用於處理。通常,在整個處理中密合(氣流與真空)氣體簾幕150,包括沒有活性氣體流入腔室期間。
因此,本揭示的一或更多個實施例係關於利用如第5圖所示的批次處理腔室的處理方法。將基板60放置於具有複數個區段250的處理腔室中,每一區段係藉由氣體簾幕150與相鄰區段分離。
該方法的一些實施例包含將其上具有特徵的基板表面暴露於沉積環境以沉積膜。如此處所使用,「沉積環境」包含獨立或依序沉積膜的一或更多個處理區域或處理條件。
在示例性處理中,基板表面的至少一部分係暴露於處理腔室的第一區段250a中的第一處理條件。一些實施例的第一處理條件包含矽前驅物,以形成矽膜。在一或更多個實施例中,第一處理條件包含二氯矽烷。
基板表面透過氣體簾幕150橫向移動至第二區段250b。矽層在第二區段250b中暴露於第二處理條件。一些實施例的第二處理條件包含氮前驅物,以形成氮膜。在一或更多個實施例中,第二處理條件包含氨。
基板表面從第二處理區域橫向移動至第三區段250c。一些實施例的第三區段250c包含第三處理條件,該第三處理條件包含在特徵的頂部、底部、及側壁上形成膜的反應物。第四區段250d可以包含惰性環境。在一些實施例中,第三區段250c包括惰性環境,而使得基本上不存在表面反應,而第四區段250d包含第三處理條件。在一或更多個實施例中,第三處理條件包含N2 /Ar電漿。
可以重複暴露於第一處理條件、第二處理條件、及第三處理條件,以形成具有預定厚度的膜。舉例而言,參照第5圖,第一區段250a與第五區段250e可包含第一處理條件;第二區段250b與第六區段250f可包含第二處理條件;第三區段250c與第七區段250g可包含惰性環境;以及第四區段250d與第八區段250h可包含第三處理條件。在此佈置中,基板的每一旋轉形成二個層。該領域具有通常知識者將理解,使用例如「第一」與「第二」之類的序數描述處理區域並非暗示處理腔室內的具體位置或處理腔室內的暴露順序。
一旦已形成預定厚度的層,第一處理條件、第二處理條件、及第三處理條件可以改變成惰性環境。舉例而言,第三區段250c中的惰性環境可以改變成包含高離子濃度電漿的加工環境,以選擇性降低特徵的頂部與底部上的氮化矽膜的濕式蝕刻速率。舉例而言,高離子濃度電漿可包含He/NH3 。在暴露於加工環境之後,處理腔室的獨立區段可以改變回到先前的設定,或者可停止該處理。
根據一或更多個實施例,基板在形成層之前及/或之後經受處理。此處理可在相同腔室中執行,或在一或更多個分離的處理腔室中執行。在一些實施例中,將基板從第一腔室移動至分離的第二腔室,以用於進一步處理。基板可從第一腔室直接移動至分離的處理腔室,或者可從第一腔室移動至一或更多個轉移腔室,而接著移動到分離的處理腔室。因此,處理設備可包含與轉移站連通的多個腔室。此種類的設備可指稱為「群集工具」或「群集系統」及類似者。
一般而言,群集工具係為模組化系統,該模組化系統包含執行多種功能的多個腔室,該等功能包括基板之中心找尋及定向、退火、退火、沉積、及/或蝕刻。根據一或更多個實施例,群集工具至少包括第一腔室與中央轉移腔室。中央轉移腔室可容納機器人,該機器人可在處理腔室及裝載閘腔室之間梭運基板。轉移腔室通常維持在真空條件下,並提供中繼階段,該中繼階段用於從一個腔室梭運基板至位於群集工具之前端的另一腔室及/或裝載閘腔室。可調配用於本發明的二個已知群集工具係為Centura®及Endura®,二者均可得自Calif的Santa Clara的Applied Materials, Inc.。然而,腔室之組合及確切配置可經修改以用於執行如本文中所描述之處理的特定步驟。其他可使用的處理腔室包括但不限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、熱加工(如RTP)、電漿氮化、退火、定向、羥基化反應、及其他基板處理。藉由在群集工具上實現在腔室中的處理,可在沉積後續膜之前,在無氧化下防止具大氣雜質之基板的表面汙染。
根據一或更多個實施例,基板係連續地在真空下或「裝載閘」條件下,且在從一個腔室移動到下一腔室時不暴露至周圍空氣。因此,轉移腔室係在真空下,且在真空壓力下為「泵降」。惰性氣體可存在於處理腔室或轉移腔室中。在一些實施例中,惰性氣體係作為淨化氣體,以移除一些或全部的反應物。根據一或更多個實施例,將淨化氣體噴射於沉積腔室之出口處,用以避免反應物從沉積腔室移動至轉移腔室及/或額外的處理腔室。因此,惰性氣體之流動在腔室的出口處形成簾幕。
可在單一基板沉積腔室中處理基板,其中在處理另一基板之前裝載、處理、及卸載單一基板。亦可利用類似於輸送系統的連續方式處理基板,其中將多個基板獨立裝載至腔室的第一部分,移動透過腔室,並且從腔室的第二部分卸載。腔室與相關聯的輸送器系統的形狀可以形成直線路徑或彎曲路徑。此外,處理腔室可以是旋轉料架,其中多個基板環繞中心軸線移動,並暴露於整個旋轉料架路徑的沉積、蝕刻、退火、清洗等的處理。
在處理期間,基板可經加熱或冷卻。此類加熱或冷卻可藉由任何合適的手段達成,包括但不限於改變基板支撐的溫度、及將經加熱或經冷卻的氣體流至基板表面。在一些實施例中,基板支撐包括加熱器/冷卻器,該加熱器/冷卻器可經控制用以利用傳導方式改變基板溫度。在一或更多個實施例中,所採用氣體(活性氣體或惰性氣體)經加熱或冷卻以局部改變基板溫度。在一些實施例中,加熱器/冷卻器係位於鄰近於基板表面的腔室內,以利用傳導方式改變基板溫度。
基板在處理期間亦可靜止或旋轉。旋轉的基板可連續地或以離散步進方式旋轉。舉例而言,基板可在整個處理過程中旋轉,或基板可在對不同活性或淨化氣體之暴露之間小量旋轉。在處理期間旋轉基板(連續或步進式)可以有助於藉由最小化例如氣流幾何的局部可變性的效應,而產生更均勻的沉積或蝕刻。
在原子層沉積型腔室中,基板可以在空間或時間上分離的處理中暴露於第一與第二前驅物。時間ALD為傳統處理,其中第一前驅物流入腔室中而與表面反應。在第二前驅物流動之前,從腔室淨化第一前驅物。在空間ALD中,第一與第二前驅物同時流至腔室,但在空間上分離,而使得在流動之間存在防止前驅物混合的區域。在空間ALD中,基板相對於氣體分配板移動,或反之亦然。
在該等方法的一或更多個部分在一個腔室中進行的實施例中,該方法可以是空間ALD處理。儘管上述一或更多個化學品可能不相容(亦即,導致基底表面上及/或腔室上的沉積之外的反應),但空間分離確保試劑並未暴露於氣相中之每一者。舉例而言,時間ALD係關於淨化沉積腔室。然而,在實施中,有時候不可能在額外試劑流入之前將過量試劑從腔室中淨化。因此,腔室中的任何剩餘試劑可能反應。利用空間分離,不需要淨化過量試劑,並讓交叉污染受到限制。此外,淨化腔室會使用大量時間,而因此藉由消除淨化步驟可以增加產量。
參照整個本說明書的「一個實施例」、「某些實施例」、「一或更多個實施例」、或「實施例」意指結合實施例描述的特定特徵、結構、材料、或特性係包括在本揭示的至少一個實施例中。因此,整個本說明書的各處中出現的如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」、或「在實施例中」的短語不一定指稱本揭示的相同實施例。此外,在一或更多個實施例中,特定特徵、結構、材料、或特性可以利用任何合適的方式組合。
儘管已參照特定實施例而描述本揭示,但應理解,這些實施例僅為本揭示之原理與應用的說明。而該領域具有通常知識者將理解,在不悖離本揭示的精神與範圍的情況下,可以對本揭示的方法與設備進行各種修改及變化。因此,本揭示意欲包括在隨附專利請求範圍及其等同物的範圍內的修改及變化。
17‧‧‧旋轉
60‧‧‧基板
61‧‧‧頂表面
84‧‧‧區域
100‧‧‧處理腔室
120‧‧‧氣體分配板
121‧‧‧前表面
122‧‧‧噴射器單元
123‧‧‧內周邊緣
124‧‧‧外周邊緣
125‧‧‧第一活性氣體埠
127‧‧‧路徑
135‧‧‧第二氣體埠
140‧‧‧基座組件
141‧‧‧頂表面
142‧‧‧凹槽
143‧‧‧底表面
144‧‧‧邊緣
145‧‧‧真空埠
150‧‧‧氣體簾幕
155‧‧‧淨化氣體埠
160‧‧‧支撐柱
162‧‧‧微調諧致動器
170‧‧‧間隙
180‧‧‧腔室
250‧‧‧處理區域
250a‧‧‧第一處理區域
250b‧‧‧第二處理區域
250c‧‧‧第三處理區域
250d‧‧‧第四處理區域
250e‧‧‧第五處理區域
250f‧‧‧第六處理區域
250g‧‧‧第七處理區域
250h‧‧‧第八處理區域
280‧‧‧工廠介面
300‧‧‧基板表面
310‧‧‧特徵
320‧‧‧頂部
330‧‧‧底部
340‧‧‧側壁
350‧‧‧膜
352‧‧‧頂部膜
353‧‧‧底部膜
354‧‧‧側壁膜
360‧‧‧電漿
380‧‧‧電漿組件
381‧‧‧阻隔板
382‧‧‧狹槽
384‧‧‧電漿空腔
386‧‧‧邊緣
451‧‧‧內周邊緣
452‧‧‧外周邊緣
453‧‧‧第一側
454‧‧‧第二側
456‧‧‧場域
457‧‧‧厚度
為使本揭示的上述特徵可詳細地被理解,本揭示(簡短概要如上)的更特定描述可參照實施例而得,該等實施例之一些係繪示於隨附圖式中。然而,應注意隨附圖式僅圖示本揭示之典型實施例,而非視為限定本揭示的保護範疇,本揭示可接納其他等效實施例。
第1圖圖示根據本揭示之一或更多個實施例的批次處理腔室的橫截面圖;
第2圖圖示根據本揭示之一或更多個實施例的批次處理腔室的局部透視圖;
第3圖圖示根據本揭示之一或更多個實施例的批次處理腔室的示意圖;
第4圖圖示根據本揭示之一或更多個實施例的用於批次處理腔室中的楔形氣體分配組件的一部分的示意圖;
第5圖圖示根據本揭示之一或更多個實施例的批次處理腔室的示意圖;以及
第6A至6E圖圖示根據本揭示之一或更多個實施例的處理方法;
第7圖圖示根據本揭示之一或更多個實施例的具有狹槽的電漿組件的橫截面的示意圖;
第8圖圖示根據本揭示之一或更多個實施例的具有狹槽的楔形阻隔板;
第9圖圖示根據本揭示之一或更多個實施例的離子通量與阻隔板狹槽寬度之曲線圖;以及
第10圖圖示根據本揭示之一或更多個實施例的離子通量與阻隔板狹槽寬度之曲線圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
381‧‧‧阻隔板
382‧‧‧狹槽
451‧‧‧內周邊緣
452‧‧‧外周邊緣
453‧‧‧第一側
454‧‧‧第二側
456‧‧‧場域
457‧‧‧厚度

Claims (20)

  1. 一種處理方法,包含以下步驟: 提供其上具有至少一個特徵的一基板表面,該至少一個特徵包含一頂部、一底部、及一側壁; 在該至少一個特徵上形成一膜,而使得該膜形成於該頂部、該底部、及該側壁上; 利用一電漿對該膜加工,以改變該特徵的相對於該側壁的該頂部與底部的該膜的一性質;以及 將經加工的該膜暴露至一高強度電漿,以對該膜選擇性乾式蝕刻。
  2. 如請求項1所述之方法,進一步包含以下步驟:重複形成該膜,並依序加工該膜,以在對該膜乾式蝕刻之前沉積一總厚度的一膜。
  3. 如請求項1所述之方法,其中該膜包含SiN。
  4. 如請求項1所述之方法,其中該高強度電漿包含一惰性氣體與一穩定化學品。
  5. 如請求項4所述之方法,其中該惰性氣體包含氦氣。
  6. 如請求項4所述之方法,其中該穩定化學品包含氨。
  7. 如請求項1所述之方法,其中該高強度電漿主要由氦與氨組成。
  8. 如請求項1所述之方法,其中該高強度電漿係形成於具有帶有至少一個狹槽的一阻隔板的一電漿組件中,每一狹槽具有該電漿流過的邊緣。
  9. 如請求項8所述之方法,其中相鄰於該狹槽的該等邊緣的該電漿具有一高離子能量與濃度。
  10. 如請求項8所述之方法,其中該狹槽具有約2mm至約20mm的範圍中的一寬度。
  11. 如請求項8所述之方法,其中該阻隔板包含二個狹槽。
  12. 如請求項1所述之方法,其中對該膜加工之該步驟係發生在沉積具有約1Å至約50Å的範圍內的一厚度的一膜之後。
  13. 一種處理方法,包含以下步驟: 將一基板表面定位於一處理腔室中,該基板表面其上具有至少一個特徵的,該至少一個特徵具有一頂部、一底部、及側壁; 將該基板表面暴露於包含至少一個沉積循環的一沉積環境,該沉積循環包含依序暴露於一矽前驅物與一含氮反應物,以在該至少一個特徵的該頂部、底部、及側壁上形成一氮化矽膜; 將該氮化矽膜暴露於一加工環境,以修改沉積在該至少一個特徵的該頂部與底部上的該氮化矽膜,該加工環境包含具有一高離子濃度的一電漿;以及 使用在一電漿組件中產生的一高強度電漿對經修改的該氮化矽膜乾式蝕刻,其中該電漿組件具有一阻隔板而與該基板間隔,該阻隔板包含至少一個狹槽。
  14. 如請求項13所述之方法,其中該高強度電漿包含一惰性氣體與一穩定化學品。
  15. 如請求項14所述之方法,其中該惰性氣體包含氦氣。
  16. 如請求項14所述之方法,其中該穩定化學品包含氨。
  17. 如請求項13所述之方法,其中該阻隔板包含至少二個狹槽。
  18. 如請求項13所述之方法,其中該狹槽具有約2mm至約20mm的範圍中的一寬度。
  19. 一種處理方法,包含以下步驟: 將具有帶有一特徵的一基板表面的一基板放置到包含複數個處理區域的一處理腔室中,每一處理區域係藉由一氣體簾幕與相鄰處理區域分離,該特徵包含一頂部、一底部、及一側壁; 將該基板表面的至少一部分暴露於該處理腔室的一第一處理區域中的一第一處理條件,該第一處理條件包含一矽前驅物; 將該基板表面透過一氣體簾幕橫向移動至該處理腔室的一第二處理區域; 將該基板表面暴露於該處理腔室的該第二處理區域中的一第二處理條件,該第二處理條件包含一氮反應物,以在該特徵的該頂部、底部、及側壁上形成一氮化矽膜; 重複暴露於該第一處理條件與該第二處理條件,以形成具有一預定厚度的一氮化矽膜; 將該基板表面移動至該處理腔室的一第三處理區域,該第三處理區域包含一加工環境,該加工環境包含一高離子濃度電漿,以相對於該特徵的該等側壁,選擇性改變該特徵的該頂部與底部上的該氮化矽膜的一性質; 重複形成一預定厚度的一氮化矽膜,並將該氮化矽膜暴露於該高離子濃度電漿,以形成具有一總厚度的一氮化矽膜; 將該基板表面移動至該處理腔室的一第四處理區域,該第四處理區域包含一高強度電漿,以選擇性乾式蝕刻該特徵的該頂部與底部,該高強度電漿係由具有帶有一狹槽的一阻隔板的一電漿組件所產生。
  20. 如請求項19所述之方法,其中該高強度電漿主要由氦與氨組成。
TW106107932A 2016-03-13 2017-03-10 用於選擇性乾式蝕刻的方法及設備 TWI700745B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662307537P 2016-03-13 2016-03-13
US62/307,537 2016-03-13
US201662336645P 2016-05-14 2016-05-14
US62/336,645 2016-05-14

Publications (2)

Publication Number Publication Date
TW201732925A true TW201732925A (zh) 2017-09-16
TWI700745B TWI700745B (zh) 2020-08-01

Family

ID=59786899

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106107932A TWI700745B (zh) 2016-03-13 2017-03-10 用於選擇性乾式蝕刻的方法及設備

Country Status (5)

Country Link
US (1) US10134581B2 (zh)
KR (1) KR102301585B1 (zh)
CN (1) CN108778739B (zh)
TW (1) TWI700745B (zh)
WO (1) WO2017160649A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI773446B (zh) * 2020-07-22 2022-08-01 美商應用材料股份有限公司 氮化矽膜之多層沉積及處理
TWI826506B (zh) * 2018-08-21 2023-12-21 南韓商周星工程股份有限公司 薄膜形成裝置及使用其的薄膜形成方法

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017160647A1 (en) * 2016-03-13 2017-09-21 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10535529B2 (en) 2018-06-05 2020-01-14 International Business Machines Corporation Semiconductor fin length variability control
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10665715B2 (en) 2018-08-28 2020-05-26 International Business Machines Corporation Controlling gate length of vertical transistors
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN112805405B (zh) * 2018-09-24 2024-04-23 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
JP7336873B2 (ja) * 2018-11-30 2023-09-01 東京エレクトロン株式会社 基板処理方法
CN111261514A (zh) * 2018-11-30 2020-06-09 东京毅力科创株式会社 基片处理方法
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN109979816A (zh) * 2019-03-26 2019-07-05 上海华力集成电路制造有限公司 改善隔离侧墙形貌的方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) * 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR102240080B1 (ko) * 2019-10-28 2021-04-14 주식회사 테스 기판처리장치
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11908754B2 (en) * 2021-03-04 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for controlling profile of critical dimension
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202315962A (zh) * 2021-09-08 2023-04-16 荷蘭商Asm Ip私人控股有限公司 拓樸選擇性沉積方法
US11978625B2 (en) 2021-10-18 2024-05-07 Applied Materials, Inc. Methods of forming metal nitride films

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5116460A (en) * 1991-04-12 1992-05-26 Motorola, Inc. Method for selectively etching a feature
US5899747A (en) * 1997-01-27 1999-05-04 Vanguard International Semiconductor Corporation Method for forming a tapered spacer
US6171917B1 (en) * 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6444531B1 (en) * 2000-08-24 2002-09-03 Infineon Technologies Ag Disposable spacer technology for device tailoring
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
KR20060053784A (ko) * 2004-11-17 2006-05-22 삼성전자주식회사 스페이서 나이트라이드 막질을 개선시킬 수 있는 반도체소자의 제조 방법
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8716154B2 (en) * 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
TWI518792B (zh) * 2011-09-26 2016-01-21 聯華電子股份有限公司 半導體製程
US20150031218A1 (en) * 2012-03-15 2015-01-29 Tokyo Electron Limited Film forming process and film forming apparatus
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9093389B2 (en) * 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US8871651B1 (en) * 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9171736B2 (en) * 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI826506B (zh) * 2018-08-21 2023-12-21 南韓商周星工程股份有限公司 薄膜形成裝置及使用其的薄膜形成方法
TWI773446B (zh) * 2020-07-22 2022-08-01 美商應用材料股份有限公司 氮化矽膜之多層沉積及處理

Also Published As

Publication number Publication date
US20170263438A1 (en) 2017-09-14
TWI700745B (zh) 2020-08-01
US10134581B2 (en) 2018-11-20
CN108778739A (zh) 2018-11-09
KR20180116455A (ko) 2018-10-24
KR102301585B1 (ko) 2021-09-10
WO2017160649A1 (en) 2017-09-21
CN108778739B (zh) 2021-07-16

Similar Documents

Publication Publication Date Title
CN108778739B (zh) 用于选择性干式蚀刻的方法及设备
TWI722132B (zh) 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
JP2018533218A (ja) 表面毒化処理によるボトムアップ式間隙充填
US9443716B2 (en) Precise critical dimension control using bilayer ALD
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
US20170170009A1 (en) In-Situ Film Annealing With Spatial Atomic Layer Deposition
US11978625B2 (en) Methods of forming metal nitride films
US11515145B2 (en) Deposition of silicon boron nitride films