CN105739251A - 具有高wn/w蚀刻选择性的剥离组合物 - Google Patents

具有高wn/w蚀刻选择性的剥离组合物 Download PDF

Info

Publication number
CN105739251A
CN105739251A CN201511020997.8A CN201511020997A CN105739251A CN 105739251 A CN105739251 A CN 105739251A CN 201511020997 A CN201511020997 A CN 201511020997A CN 105739251 A CN105739251 A CN 105739251A
Authority
CN
China
Prior art keywords
acid
compositions
ammonium
microelectronic device
water
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201511020997.8A
Other languages
English (en)
Other versions
CN105739251B (zh
Inventor
刘文达
李翊嘉
陈天牛
W·J·小卡斯特尔
稻冈诚二
G·E·帕里斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN105739251A publication Critical patent/CN105739251A/zh
Application granted granted Critical
Publication of CN105739251B publication Critical patent/CN105739251B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3956Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

一种具有高WN/W蚀刻选择性的剥离组合物。用于清洁集成电路衬底的组合物,所述组合物包含:水;氧化剂,其包含氧化物质的铵盐;腐蚀抑制剂,其包含具有通式RˊNH2的伯烷基胺,其中Rˊ是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基;任选的水可混溶的有机溶剂;任选的有机酸;任选的缓冲物质;任选的氟化物离子源;和任选的金属螯合剂。

Description

具有高WN/W蚀刻选择性的剥离组合物
相关申请的交叉引用
本申请根据35U.S.C.§119(e)要求2014年12月30日提交的较早提交的美国专利申请序列号62/097,647的优先权权益,其公开内容通过引用全部并入本文。
背景技术
本发明涉及可用于微电子工业以清洁半导体晶片衬底的组合物。特别地,本发明涉及包含浴(bath)稳定剂的碱性剥离或清洁组合物,其用于通过去除污染物而不损坏集成电路来清洁具有钨金属线和通孔(via)的晶片。
半导体电路中的互连电路由被绝缘介电材料围绕的导电金属电路组成。在过去,从原硅酸四乙酯(TEOS)气相沉积的硅酸盐玻璃广泛用作介电材料,而铝合金用于金属互连器。
对较高加工速度的需要已经导致电路元件的较小的尺寸(sizing),以及用较高性能的材料替代TEOS和铝合金。由于铜的较高导电性,铝合金已经被铜或铜合金替代。TEOS和氟化的硅酸盐玻璃(FSG)已经被所谓的低k电介质,包括低极性材料例如有机聚合物、混合的有机/无机材料、有机硅酸盐玻璃(OSG)和碳掺杂的氧化物(CDO)玻璃替代。包含在这些材料中的多孔性,即充气孔,进一步降低材料的介电常数。
在集成电路的双重镶嵌加工期间,光刻法用于将图案成像在装置晶片上。光刻技术包括涂覆、曝光和显影步骤。用正性或负性光刻胶物质涂覆晶片并随后用掩模覆盖,所述掩模在随后的工艺中限定待保持或去除的图案。将掩模适当放置后,掩模已将一束单色辐射,例如紫外(UV)光或深UV(DUV)光(≈250nm或193nm)导向通过掩模,以使暴露的光刻胶材料或多或少地可溶于选择的冲洗溶液中。然后去除或“显影”可溶性光刻胶材料,从而留下与掩模相同的图案。
随后,将气相等离子体蚀刻用于将显影的光刻胶涂层的图案转印至下面的层,其可以包括硬掩膜(hardmask)、层间电介质(ILD)和/或蚀刻停止层。等离子体蚀刻后的残余物通常沉积在后端布线(back-end-of-the-line,BEOL)的结构上,如果不去除就可能妨碍随后的硅化或接触形成。等离子体蚀刻后的残余物通常包括在衬底上和等离子体气体中存在的化学元素。例如,如果使用WN硬掩膜,例如作为ILD上的覆盖层(cappinglayer),那么等离子体蚀刻后的残余物包括含钨物质,其难以利用常规的湿法清洁化学作用去除。此外,常规清洁化学作用经常损坏ILD,吸收到ILD孔中,从而增大介电常数,和/或腐蚀金属结构。例如,缓冲的氟化物和基于溶剂的化学作用无法完全去除含WN和W的残余物,而含羟胺和氨-过氧化物化学作用腐蚀金属线路诸如,例如铜或钨。
除了期望去除含钨硬掩膜和/或含钨等离子体蚀刻后的残余物之外,还优选去除在等离子体蚀刻后工艺期间沉积的其他材料,例如图案化的装置侧壁上的聚合物残余物和在装置的开放通孔结构(openviastructure)中的含铜残余物。迄今为止,没有一种湿法清洁组合物成功地去除所有的残余物和/或硬掩膜材料,同时与ILD、其他低k介电材料和金属互连材料相容。
新材料例如低k电介质集成到微电子装置中对清洁性能提出了新的要求。同时,不断缩小的装置尺寸降低了对临界尺寸变化以及装置元件损伤的容忍度。可以改变蚀刻条件以满足新材料的需要。同样,必须改变等离子体蚀刻后的清洁组合物。重要的是,清洁剂不应损坏装置上的下层介电材料或腐蚀金属互连材料,例如铜、钨、钴、铝、钌及其硅化物。
出于这一点,本发明的一个目的是提供用于从微电子装置中选择性地和有效地去除含钨的等离子体蚀刻后的残余物、聚合物侧壁残余物、含铜通孔残余物和/或含钨硬掩膜层的改进的水性组合物,所述组合物与ILD和金属互连材料相容。
本发明的另一个目的是提供改进的水性组合物,其相对于常规的含过氧化物清洁组合物具有延长的浴寿命(bath-life)。
发明简述
本发明大体上涉及清洁组合物和使用其的方法。本发明一方面涉及用于从其上具有等离子体蚀刻后的残余物和/或含钨硬掩膜的微电子装置中清除所述残余物和/或硬掩膜,同时不损害微电子装置表面上的金属和ILD材料的氧化水性组合物和方法。
本发明的氧化水性清洁组合物包含水;氧化剂,其包含氧化物质的铵盐;腐蚀抑制剂,其包含具有通式R'NH2的伯烷基胺,其中R'是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基;任选的水可混溶的有机溶剂;任选的有机酸;任选的缓冲物质;任选的氟化物离子源(fluorideionsource);和任选的金属螯合剂。
另一方面,本发明提供了从其上具有WN硬掩膜和W金属的微电子装置中去除所述WN硬掩膜的材料的方法,所述方法包括将微电子装置与水性清洁组合物接触足够的时间以至少部分地从微电子装置清除所述WN硬掩膜,其中所述水性清洁组合物包含水;氧化剂,其包含氧化物质的铵盐;腐蚀抑制剂,其包含具有通式R'NH2的伯烷基胺,其中R'是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基;任选的水可混溶的有机溶剂;任选的有机酸;任选的缓冲物质;任选的氟化物离子源;和任选的金属螯合剂,其中获得>6.0的WN/W选择性。
附图说明
本发明将在下文中结合附图进行描述,其中同样的数字表示同样的元件:
图1是显示在其蚀刻WN相对于W的选择性方面、在本发明组合物功效方面的浴寿命的图表;和
图2是显示图1中所示浴的pH稳定性的图表。
发明详述
以下详细说明仅提供优选的示例性实施方式,而不意欲限制本发明的范围、适用性或构造。更确切地说,优选的示例性实施方式的以下详细描述将为本领域技术人员提供使能够实施本发明的优选的示例性实施方式的描述。在不偏离如所附权利要求所述的本发明的精神和范围的情况下,可以在要素的功能和排列中进行各种改变。
本发明一方面涉及清洁组合物,其包含氧化物质的铵盐作为氧化剂和作为腐蚀抑制剂的正辛胺。优选地,本发明涉及用于从其上具有含钨等离子体蚀刻后的残余物、聚合物侧壁残余物、含金属通孔和线路残余物和/或硬掩膜层的微电子装置中清除所述残余物和/或层的氧化水性组合物,所述组合物与微电子装置表面上的超低k(ULK)介电材料例如OSG和多孔CDO以及金属互连材料例如铜和钨相容。
为便于提及,“微电子装置”对应于为用于微电子、集成电路或电脑芯片应用而制造的半导体衬底、平板显示器和微机电***(MEMS)。应理解,术语“微电子装置”不意图在任何情况下是限制性的,且包括将最终变成微电子装置或微电子组件的任何衬底。
本文使用的“蚀刻后的残余物”和“等离子体蚀刻后的残余物”对应于气相等离子体蚀刻工艺例如BEOL双重镶嵌加工后剩余的材料。蚀刻后的残余物可以在性质上是有机的、有机金属的、有机硅的或无机的,例如含硅材料、硬掩膜覆盖层材料(例如含钨材料)、含氮材料、含氧材料、聚合物残余物材料、含铜残余物材料、蚀刻气体残余物例如氯气和氟气、及其组合。
如本文所定义,“低k介电材料”对应于用作分层的微电子装置中的介电材料的任何材料,其中所述材料的介电常数小于约3.5。优选地,低k介电材料包括低极性材料例如含硅有机聚合物、含硅混合的有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化的硅酸盐玻璃(FSG)、二氧化硅和碳掺杂的氧化物(CDO)玻璃。应理解,低k介电材料可以具有不同的密度和不同的孔隙度。
如本文所定义,术语“聚合物侧壁残余物”对应于在等离子体蚀刻加工之后留在图案化装置的侧壁上的残余物。该残余物在性质上基本上是聚合物的,然而应理解,无机物质例如含钨、硅和/或铜的物质也可能存在于残余物中。
如本文所使用,“约”意欲对应于设定值的±5%。
如本文所使用,用于从其上具有含钨的蚀刻后的残余物、聚合物侧壁残余物、含铜通孔和线路残余物和/或硬掩膜层的微电子装置中清除所述残余物和/或材料的“适合性”对应于至少部分地从微电子装置中去除所述残余物和/或材料。优选地,利用本发明的组合物从微电子装置中去除至少约90%的一种或多种所述材料、更优选至少95%的一种或多种所述材料、和最优选至少99%的一种或多种所述材料。
如本文所使用,“硬掩膜”或“硬掩膜覆盖层”对应于沉积在介电材料上以在等离子体蚀刻步骤期间保护所述介电材料的材料。硬掩膜覆盖层通常是氮化硅、氮氧化硅和其他类似的化合物。本文进一步设想的硬掩膜覆盖层包括氮化钨。
本发明的组合物可以在在下文中更充分描述的各种各样的具体制剂中具体化。
在所有这些组合物中,其中所述组合物的具体组分就包括为零的下限的重量百分比范围来论述,应理解这些组分可以在组合物的各种具体实施方式中存在或不存在,以及在存在这些组分的情况下,它们可以以基于其中使用这些组分的组合物的总重量低到0.001重量百分比的浓度存在。
众所周知,难以利用现有技术的含过氧化物组合物蚀刻氮化钨化合物。本发明人发现了一种不含过氧化氢的清洁组合物,其相对于金属诸如例如钨,从其上选择性具有含钨残余物、含钨硬掩膜材料(例如氮化钨)、或两者的微电子装置的表面有效地和选择性地去除所述含钨残余物、含钨硬掩膜材料(例如氮化钨)、或两者。此外,所述组合物相对于现有技术的过氧化氢组合物具有明显更长的浴寿命,并且将大幅度去除聚合物侧壁残余物和含铜残余物。如本文所详细描述的,本发明的组合物可以配制成从微电子装置表面大幅度去除硬掩膜层,而不明显损坏下面的低k介电材料和金属互连材料。
一方面,本发明涉及用于清洁硬掩膜层和/或选自含钨残余物、聚合物残余物、含铜残余物及其组合的等离子体蚀刻后的残余物的氧化清洁组合物,所述组合物包含水;氧化剂,其包含氧化物质的铵盐;腐蚀抑制剂,其包含具有通式R'NH2的伯烷基胺,其中R'是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基;任选的水可混溶的有机溶剂;任选的有机酸;任选的缓冲物质;任选的氟化物离子源;和任选的金属螯合剂。
在本发明的宽泛的实践中,本发明的清洁组合物可以包含、由以下组成或基本上由以下组成:水;氧化剂,其包含氧化物质的铵盐;腐蚀抑制剂,其包含具有通式R'NH2的伯烷基胺,其中R'是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基;任选的水可混溶的有机溶剂;任选的有机酸;任选的缓冲物质;任选的氟化物离子源;和任选的金属螯合剂。
本发明的清洁组合物是基于水的,并因此包含水。在本发明中,水以多种方式起作用,诸如,例如,溶解组合物的一种或多种固体组分、作为组分的载体、作为去除残余物的助剂、作为组合物的粘度调节剂和作为稀释剂。优选地,所述清洁组合物所使用的水是去离子(DI)水。
据信对于大部分应用,水将构成,例如约10到约90wt.%的水。本发明的其他优选实施方式可以包含约18到约90wt.%的水。本发明的其他优选实施方式可以包含约35到约60wt.%的水。本发明的其他优选实施方式可以包含约12到约25wt.%的水。本发明的其他优选实施方式可以包含某种含量的水以实现其他组分的期望的重量百分比。
氧化剂
本发明的清洁组合物优选包含氧化剂,其包含氧化物质的铵盐。本文设想的氧化剂包括,但不限于,亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、四甲基亚氯酸铵((N(CH3)4)ClO2)、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵((N(CH3)4IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、四甲基过硫酸铵((N(CH3)4)S2O8)及其组合。在优选的实施方式中,氧化剂是过硫酸铵或包含过硫酸铵。
本发明清洁组合物中氧化剂的浓度可以为清洁组合物总重量的约0.1重量%到约5重量%。在另一个实施方式中,氧化剂的浓度可以为清洁组合物总重量的约0.5重量%到约2重量%。在又一个实施方式中,氧化剂的浓度可以为清洁组合物总重量的约0.5重量%到约1.5重量%。
本文设想可以将氧化剂直接加入清洁组合物中。本发明的一个优选的方面涉及包含过硫酸铵,且在使用前可以储存至少6小时、更优选至少12小时、甚至更优选至少24小时的组合物。
根据本发明的清洁组合物基本上不含过氧化氢。
腐蚀抑制剂
本发明清洁组合物优选包含腐蚀抑制剂,其包含多胺和/或具有通式R'NH2的伯烷基胺,其中R'是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基。在一个具体的实施方式中,伯烷基胺在烷基中包含约4到约30个碳原子,且更优选在烷基中包含约8到约20个碳原子。所述烷基可以是未取代或取代的,例如被上文描述的与烃基有关的取代基取代,参考上文。
伯烷基胺的代表性实例包括脂族伯脂肪族胺。典型的脂肪族胺包括烷基胺例如正己胺、正辛胺、正癸胺、正十二胺、正十四胺、正十五胺、正十六胺、正十八胺(硬脂胺)等。优选的伯烷基胺腐蚀抑制剂是正辛胺。
多胺的代表性实例包括3,3'-亚氨基双(N,N-二甲基丙胺)、双(3-氨基丙基)胺、五甲基二亚乙基三胺(PMDETA)、聚乙烯亚胺(polyethylenimine)(PEI)等。优选的多胺是聚乙烯亚胺(PEI)。
在本发明的某些实施方式中,存在第二(即辅助的)腐蚀抑制剂以进一步减少钨金属的腐蚀。在这种实施方式中,第二腐蚀抑制剂优选是包含羧基的有机酸和/或其酸酐,包括甲酸、乙酸、丙酸、丁酸、异丁酸、草酸、丙二酸、琥珀酸、戊二酸、马来酸、富马酸、苯甲酸、邻苯二甲酸、1,2,3-苯三甲酸、羟基乙酸、乳酸、马来酸、柠檬酸、乙酸酐和水杨酸。
据信对于大部分应用,腐蚀抑制剂将占组合物的约0.01到约5重量%;优选它占组合物的约0.01到约4重量%;最优选约0.01到约3重量%。
水可混溶的溶剂
本发明的清洁组合物任选包括一种或多种水可混溶的有机溶剂。在本发明的各种实施方式中,衬底上的金属线通常决定是否使用水可混溶的有机溶剂。例如,当衬底上存在铝线时,水和氟化物离子的组合通常将趋向蚀刻铝。在这种实施方式中,使用水可混溶的有机溶剂可以明显降低(如果没有消除)铝的蚀刻。
可以使用的水可混溶的有机溶剂的实例是乙二醇、丙二醇、1,4-丁二醇、三丙二醇甲醚、丙二醇丙醚、二乙二醇正丁醚(例如可以以商业名DowanolDB商购的)、己氧基丙胺、聚(氧乙烯)二胺、二甲亚砜、四氢糠醇、甘油、醇类、亚砜、或其混合物。优选的溶剂是醇类、二醇类或其混合物。最优选的溶剂是二醇类诸如,例如,丙二醇。
据信对于大部分应用,当存在时,水可混溶的有机溶剂的量将占组合物的约1到75重量%。优选地,溶剂占组合物的5到约70重量%,最优选占约5到约60重量%。
缓冲物质
此外,本发明的清洁组合物任选包含缓冲物质来控制组合物的pH,通常控制在约3到约7和更通常约3.5到约6.5的范围内。
可以包括任选的缓冲物质以使pH稳定。优选地,缓冲剂包括弱酸的四烷基铵盐,其中四烷基铵盐包括由[NR1R2R3R4]+表示的四烷基铵阳离子,其中R1、R2、R3和R4可以彼此相同或不同且选自C1-C6直链或支链烷基(例如甲基、乙基、丙基、丁基、戊基、己基)或C6-C10取代或未取代的芳基(例如苄基),以及弱酸包括:硼酸;和羧酸例如乳酸、马来酸、抗坏血酸、苹果酸、苯甲酸、富马酸、琥珀酸、草酸、丙二酸、扁桃酸、马来酸酐、乙酸、丙酸、柠檬酸、邻苯二甲酸、其他脂族和芳族羧酸,以及上述酸的组合。优选地,所述缓冲物质包括柠檬酸的四甲基铵盐或硼酸的四甲基铵盐。可商购的四烷基铵氢氧化物可与上述酸物质组合用于生产弱酸的优选的四烷基铵盐。例如,可使用四乙基氢氧化铵(TEAH)、四甲基氢氧化铵(TMAH)、四丙基氢氧化铵(TPAH)和四丁基氢氧化铵(TBAH)。不可商购的四烷基铵氢氧化物可以以本领域普通技术人员已知的类似于用于制备TMAH、TEAH、TPAH和TBAH的公开的合成方法的方式来制备。最优选地,当存在金属螯合剂时包括缓冲物质以稳定组合物的pH,使得金属不腐蚀并使得过氧化物不快速分解。
在本发明的上下文中,保持稳定的pH是优选的,因为这对于实现延长的浴寿命(即大于6.0小时)是重要的。
据信对于大部分应用,缓冲剂将占组合物的约0.05到约20重量%;优选地,它占组合物的约0.1到约15重量%;最优选约0.2到约10重量%。
其他任选组分
可用于清洁组合物的另一种任选成分是金属螯合剂;它可以起到增大组合物将金属保留在溶液中的能力和增强金属残余物溶解的作用。用于该目的的螯合剂的典型实例是以下有机酸及其异构体和盐:(乙二胺)四乙酸(EDTA)、丁二胺四乙酸、(1,2-环己二胺-)四乙酸(CyDTA)、二乙三胺五乙酸(DETPA)、乙二胺四丙酸、(羟乙基)乙二胺三乙酸(HEDTA)、N,N,N',N'-乙二胺四(亚甲基膦)酸(EDTMP)、三乙四胺六乙酸(TTHA)、1,3-二氨基-2-羟基丙烷-N,N,N',N'-四乙酸(DHPTA)、甲基亚氨基二乙酸、丙二胺四乙酸、氨三乙酸(NTA)、柠檬酸、酒石酸、葡糖酸、糖二酸(saccharicacid)、甘油酸、草酸、邻苯二甲酸、马来酸、扁桃酸、丙二酸、乳酸、水杨酸、儿茶酚、没食子酸、没食子酸丙酯、连苯三酚、8-羟基喹啉和半胱氨酸。优选的螯合剂是氨基羧酸例如EDTA、CyDTA和氨基膦酸例如EDTMP。
据信对于大部分应用,螯合剂将以组合物的0到约5重量%的量;优选以约0.1到约2重量%的量存在于组合物中。
本发明的清洁组合物还任选包含一种或多种氟化物离子源。氟化物离子的主要功能是增加WN硬掩膜的蚀刻率。提供根据本发明的氟化物离子源的典型化合物是氢氟酸及其盐、氟化铵、季铵氟化物诸如,例如,四甲基氟化铵和四丁基氟化铵、氟硼酸盐、氟硼酸、四丁基四氟硼酸铵和六氟化铝。
在优选的实施方式中,氟化物离子源是氟化铵;然而,当使用氟化铵时,优选从体系中去除铵离子。尽管这可以通过使所制备的清洁组合物在室温下保持长时间段来实现,但它们也可通过加热溶液来去除。
在选择氟化物离子源时,应考虑所述源是否趋向于释放离子,所述离子将趋向于不利地影响被清洁的表面。例如,在清洁半导体元件时,清洁组合物中钠或钙离子的存在可对元件表面具有不良影响。
对于大部分应用,据信用作清洁组合物中的氟化物离子源的化合物的量将占约0.1到约5重量%。优选地,所述化合物占约0.1到约3重量%和最优选地,占约0.1到约2.5重量%。然而,应理解,所使用的氟化物离子的量通常将取决于被清洁的具体衬底。例如,在某些清洁应用中,当清洁包含对氟化物蚀刻具有高耐受性的介电材料的衬底时,氟化物离子的量可以相对地高。相反,在其他应用中,氟化物离子的量应相对地低,例如当清洁包含对氟化物蚀刻具有低耐受性的介电材料的衬底时。
其他通常已知的组分例如染料、杀生物剂等可以以常规的量(例如,多达组合物总体的约5重量%的量)包含在清洁组合物中。
本发明的清洁组合物通常通过在室温下在容器中将组分混合在一起,直到所有固体都已溶解于水基介质来制备。
需要时(在制造区,等)可以通过将水添加至清洁组合物浓缩物来稀释浓缩的氧化水性清洁组合物。清洁组合物优选在(水:清洁组合物)约0.1:1至约20:1,优选约1:1至约10:1的范围内稀释。
本发明的氧化水性清洁组合物相对于现有技术的包含过氧化物的浴具有延长的浴寿命。优选地,浴寿命在45℃时为大于9小时。如本文所使用,术语“浴寿命”通常是指与新鲜浴的性能相比,在具体的工艺温度下在浴中保持剥离剂的性能(例如,pH、蚀刻率、残余物去除能力)。
此外,所述清洁组合物优选从微电子装置的上表面、侧壁和通孔和线路选择性去除WN硬掩膜和/或等离子体蚀刻后的残余物,而不损害装置上存在的ILD和/或金属互连层。与本发明的使用有关的另一个优点是,不需要清洁后烘焙步骤以去除可吸收到ILD材料的孔中的挥发性材料。
本发明的氧化水性清洁组合物容易地通过简单添加各成分并混合至均匀状态来配制。此外,所述氧化水性清洁组合物可以容易地配制成单包装制剂或在使用时混合的多部分制剂,优选多部分制剂。多部分制剂的单个部分可以在工具处或在工具上游的储槽中混合。在本发明的宽泛实践中,各成分的浓度可以以所述氧化水性清洁组合物的特定倍数(即更稀释或更浓缩的)宽泛改变,且应理解,本发明的氧化水性清洁组合物可以不同地和选择性地包含、由以下组成或基本上由以下组成:与本文公开一致的成分的任意组合。
性能
当用在包括W金属线和WN硬掩膜的衬底上时,本发明的组合物令人惊讶地表现出优异的WN/N选择性。术语“选择性”通常用于指两种材料的蚀刻率的比率。根据本发明的组合物优选地表现出>6:1的湿蚀刻率WN/W选择性,更优选地表现出>10:1的湿蚀刻率WN/W选择性,和更优选地表现出>50:1的湿蚀刻率WN/W选择性。
本发明的组合物表现出以下性能特征:
WN蚀刻率>/min
W蚀刻率</min
氧化物蚀刻率</min
WN/W蚀刻率选择性>6:1
工艺温度<70℃
浴寿命>6hr
因此,在另一个实施方式中,本发明提供从其上具有WN硬掩膜和W金属的微电子装置中去除所述WN硬掩膜的材料的方法,所述方法包括将所述微电子装置与水性清洁组合物接触足够的时间以至少部分地从微电子装置中清除所述WN硬掩膜,其中所述水性清洁组合物包含水;氧化剂,其包含过硫酸铵;腐蚀抑制剂,其包含具有通式R'NH2的伯烷基胺,其中R'是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基;任选的水可混溶的有机溶剂;任选的有机酸;任选的缓冲物质;任选的氟化物离子源;和任选的金属螯合剂,其中获得>6.0的WN/W选择性。
在等离子体蚀刻后的清洁和/或含钨硬掩膜去除的应用中,将所述氧化水性清洁组合物以任何适合的方式施加至待清洁的装置,例如通过将所述氧化水性清洁组合物喷到待清洁的装置的表面上;通过将待清洁的装置浸渍到所述氧化水性清洁组合物的静态或动态体积中;通过将待清洁的装置与另外的材料(例如衬垫或纤维吸附剂施加器元件)接触,其将所述氧化水性清洁组合物吸收在其上;或通过使得所述氧化水性清洁组合物与待清洁的装置去除性接触的其他任何合适手段、方式或技术。此外,本文设想批量或单个晶片加工。
在将本发明的组合物用于从其上具有等离子体蚀刻后的残余物的微电子装置中去除所述残余物时,所述氧化水性清洁组合物通常在约25℃到约70℃、优选约30℃到约60℃的温度下与所述装置接触约1分钟到约60分钟的时间。这种接触时间和温度是说明性的,且在本发明的宽泛实践内,可以使用对于从所述装置至少部分地去除蚀刻后的残余物材料和/或硬掩膜层而言有效的其他任何适合的时间和温度条件。从微电子装置“至少部分地去除”残余物材料和/或硬掩膜层对应于去除至少90%的所述材料,优选去除至少95%。最优选地,利用本发明组合物去除至少99%的所述残余物材料和/或硬掩膜层。
实现期望的去除作用后,将优选是水可混溶的所述氧化水性清洁组合物容易地从曾经施加其的装置去除,例如通过冲洗、洗涤或其他去除步骤,如可以是本发明组合物的给定最终用途应用所期望和有效的。例如,所述装置可以用包括去离子水的冲洗溶液冲洗和/或干燥(例如,旋转干燥、N2、蒸汽干燥等)。
本发明的另一方面涉及从微电子装置表面去除等离子体蚀刻后的残余物材料的两步法。第一步包括将本发明的氧化水性清洁组合物在约25℃到约70℃,优选约30℃到约60℃范围的温度下与所述装置接触约1分钟到约60分钟的时间。此后,将所述装置在约20℃到约25℃范围的温度下与稀释的氢氟酸组合物接触15秒到约60秒。稀释的氢氟酸组合物的稀释度可以是约100:1-约1000:1(水:HF),优选约400:1-约600:1。优选地,在与氧化水性清洁组合物接触之后和与稀释的HF接触之前,将所述装置用冲洗组合物(例如去离子水)冲洗。
实施例
制备清洁组合物的通用程序
作为本实施例的主题的所有组合物都通过用1”Teflon涂覆的搅拌棒混合600mL烧杯中的500g材料来制备。然后可以以任何顺序添加组分。
蚀刻率测量
对于以下实施例,如下测定蚀刻率大小:
在3分钟时间段内从40℃到65℃测量蚀刻率。W和WN蚀刻率(ER)通过4点探测(probe),TEOS薄膜通过椭率计测量。通过处理前后的厚度差除以浸渍时间计算蚀刻率。
实施例组合物
表1.评估钨腐蚀抑制剂
148A 148B 148D 148E 148F 149B* 149A 148Q
APS 2 2 2 2 2 2 2 2
H2O 95 30 95 95 95 94.5 91.5 88.5
乙酸铵 3 3 3 3 3 3 6 9
PG 60
lupasol 0.15 0.5
CTAB 0.2
辛胺 0.5 0.5 0.5
WNER >100 0.7 >67
WER 58 103 51.7 0.3 34.7 10 25 36
WN/N选择性 >1.7 >6.7
氧化物ER <1
工艺温度(℃) 60 60 60 60 60 55 55 55
表2.评估钨腐蚀抑制剂
161M 161L 161K 161J 161I 161N 161O 161P 161Q
AFS 4 4 4 4 4 4 4 4 4
乙酸铵 1.3 1.3 1.3 1.3 1.3 1.3 1.3 1.3 1.3
乙酸 1 1 1 1 1 1 1 1 1
辛胺 0 0.3 0 0.3 0.3 0.3 0.3 0.3 0.3
DIW 93.7 93.4 92.7 92.4 92.9 92.4 92.4 92.4 92.4
柠檬酸 1 1 0.5
丙二酸 1
IDA 1
己二酸 1
H2SO4 0.45
pH 4.75 4.88 4.27 4.43 4.63 4.3 4.48 4.6 4.4
WNE/R >67 >67 >67 >67 >67 >67 >67 39.3 49.6
W E/R 64.4 25.83333 64.2 5 10.86 1.26 19.8 5.8 1.16
工艺温度(℃) 50 50 50 50 50 50 50 50 50
在有机酸的帮助下,W蚀刻率可以进一步降低。因此,有机酸可以用作辅助性的W腐蚀抑制剂。
优选的组合物
以下组合物是优选的。
制剂165K
165K
APS 3
乙酸铵 1.3
乙酸 1
辛胺 0.3
DIW 91.4
TEAH(35%) 3
参考图1和2,能够看出保持稳定的pH对于浴寿命延长是重要的。制剂165K表现出有前途的WN蚀刻能力并保持高的WN/W选择性。165K的浴寿命在45℃下高于9小时。
氟化物离子的影响
以下数据显示了将氟化物离子添加至包含过硫酸铵的组合物中提高了WN蚀刻率。
表3.氟化物对于WN蚀刻率的影响
163A 164B 164C 164D 164H
APS 3 3 3 3 3
乙酸铵 1.3 1.3 1.3 1.3 1.3
乙酸 1 1 1 1 1
辛胺 0.3 0.3 0.3 0.3 0.3
DIW 94.4 94.25 93.9 94 94.15
氟化氢铵 0.15
NH4F(40%) 0.5 0.4
HF(49%) 0.25
pH 4.64 4.51 4.65 4.64 4.31
WN E/R 43.4 >67 63.6 64.34 >67
W E/R 8.8 15 17.67 3.67 20.7
工艺温度(℃) 45 45 45 45 45
尽管本发明的原理已经结合优选实施方案进行描述,但是应明确理解这种描述仅以举例方式进行,而不作为本发明范围的限制。

Claims (20)

1.用于清洁集成电路衬底的组合物,所述组合物包含:
a.水;
b.氧化剂,其包含氧化物质的铵盐;
c.腐蚀抑制剂,其包含具有通式R'NH2的伯烷基胺,其中R'是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基;
d.任选的水可混溶的有机溶剂;
e.任选的有机酸;
f.任选的缓冲物质;
g.任选的氟化物离子源;和
h.任选的金属螯合剂。
2.权利要求1所述的组合物,其中所述金属螯合剂存在且选自(乙二胺)四乙酸(EDTA)、丁二胺四乙酸、(1,2-环己二胺-)四乙酸(CyDTA)、二乙三胺五乙酸(DETPA)、乙二胺四丙酸、(羟乙基)乙二胺三乙酸(HEDTA)、N,N,N',N'-乙二胺四(亚甲基膦)酸(EDTMP)、三乙四胺六乙酸(TTHA)、1,3-二氨基-2-羟基丙烷-N,N,N',N'-四乙酸(DHPTA)、甲基亚氨基二乙酸、丙二胺四乙酸、氨三乙酸(NTA)、柠檬酸、酒石酸、葡糖酸、糖二酸、甘油酸、草酸、邻苯二甲酸、马来酸、扁桃酸、丙二酸、乳酸、水杨酸、儿茶酚、没食子酸、没食子酸丙酯、连苯三酚、8-羟基喹啉和半胱氨酸。
3.权利要求2所述的组合物,其中所述金属螯合剂选自EDTA、CyDTA和EDTMP。
4.用于清洁集成电路衬底的组合物,所述组合物由以下组成:
约12到约25wt.%的水;
约0.50到约2.0wt.%的氧化剂,其包含氧化物质的铵盐;
约0.01到约3.0wt.%的腐蚀抑制剂,其包含具有通式R'NH2的伯烷基胺,其中R'是包含最多约150个碳原子的烷基且将更通常是包含约4到约30个碳原子的脂族烷基;
约5到约60wt.%的水可混溶的有机溶剂;
约0.2到约10wt.%的缓冲物质;和
约0.1到约3wt.%的氟化物离子源。
5.前述权利要求任一项所述的组合物,其具有3.0-6.5的pH。
6.前述权利要求任一项所述的组合物,其中所述氧化物质的铵盐选自亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO3)、过硫酸铵((NH4)2S2O8)、四甲基亚氯酸铵((N(CH3)4)ClO2)、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵((N(CH3)4IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、四甲基过硫酸铵((N(CH3)4)S2O8)及其组合。
7.权利要求6所述的组合物,其中所述氧化物质的铵盐是过硫酸铵。
8.前述权利要求任一项所述的组合物,其中所述缓冲物质包含弱酸的四烷基铵盐,其中
所述四烷基铵盐包括由[NR1R2R3R4]+表示的四烷基铵阳离子,其中R1、R2、R3和R4可以彼此相同或不同且选自C1-C6直链或支链烷基或C6-C10取代或未取代的芳基,和
所述弱酸选自硼酸、乳酸、马来酸、抗坏血酸、苹果酸、苯甲酸、富马酸、琥珀酸、草酸、丙二酸、扁桃酸、马来酸酐、乙酸、丙酸、柠檬酸、邻苯二甲酸、芳族羧酸及其组合。
9.权利要求8所述的组合物,其中所述缓冲物质是柠檬酸的四甲基铵盐或硼酸的四甲基铵盐。
10.前述权利要求任一项所述的组合物,其中所述腐蚀抑制剂选自正己胺、正辛胺、正癸胺、正十二胺、正十四胺、正十五胺、正十六胺和正十八胺(硬脂胺)。
11.权利要求10所述的组合物,其中所述腐蚀抑制剂是正辛胺。
12.前述权利要求任一项所述的组合物,其中所述腐蚀抑制剂选自3,3'-亚氨基双(N,N-二甲基丙胺)、双(3-氨基丙基)胺、五甲基二亚乙基三胺(PMDETA)、和聚乙烯亚胺(PEI)。
13.权利要求12所述的组合物,其中所述腐蚀抑制剂是聚乙烯亚胺。
14.前述权利要求任一项所述的组合物,其中所述水可混溶的有机溶剂选自乙二醇、丙二醇、1,4-丁二醇、三丙二醇甲醚、丙二醇丙醚、二乙二醇正丁醚、己氧基丙胺、聚(氧乙烯)二胺、二甲亚砜、四氢糠醇、甘油、醇类、亚砜及其混合物。
15.权利要求14所述的组合物,其中所述水可混溶的有机溶剂是二醇。
16.前述权利要求任一项所述的组合物,其中所述氟化物离子源选自氢氟酸和/或其盐、氟化铵、四甲基氟化铵、四丁基氟化铵、氟硼酸盐、氟硼酸、四丁基四氟硼酸铵和六氟化铝。
17.从其上具有WN硬掩膜和W金属的微电子装置中去除所述WN硬掩膜的材料的方法,所述方法包括将所述微电子装置与根据前述权利要求任一项所述的水性清洁组合物接触足够的时间以至少部分地从所述微电子装置清除所述WN硬掩膜,其中获得>6.0的WN/W选择性。
18.权利要求17所述的方法,其中所述接触包括选自以下的工艺:将所述水性组合物喷到所述微电子装置的表面上;将所述微电子装置浸渍到足够体积的水性组合物中;将所述微电子装置的表面与用所述水性组合物饱和的另外的材料接触;和将所述微电子装置与循环的水性组合物接触。
19.权利要求17或18所述的方法,其进一步包括在与所述水性组合物接触后用去离子水冲洗所述微电子装置。
20.权利要求19所述的方法,其进一步包括将所述微电子装置与稀氢氟酸接触。
CN201511020997.8A 2014-12-30 2015-12-30 具有高wn/w蚀刻选择性的剥离组合物 Active CN105739251B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462097647P 2014-12-30 2014-12-30
US62/097,647 2014-12-30
US14/976,737 US10301580B2 (en) 2014-12-30 2015-12-21 Stripping compositions having high WN/W etching selectivity
US14/976,737 2015-12-21

Publications (2)

Publication Number Publication Date
CN105739251A true CN105739251A (zh) 2016-07-06
CN105739251B CN105739251B (zh) 2020-12-25

Family

ID=55409658

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201511020997.8A Active CN105739251B (zh) 2014-12-30 2015-12-30 具有高wn/w蚀刻选择性的剥离组合物

Country Status (9)

Country Link
US (1) US10301580B2 (zh)
EP (1) EP3040409B1 (zh)
JP (1) JP6339555B2 (zh)
KR (1) KR101884367B1 (zh)
CN (1) CN105739251B (zh)
MY (1) MY173068A (zh)
PH (1) PH12016000003B1 (zh)
SG (1) SG10201510714XA (zh)
TW (1) TWI573867B (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106350308A (zh) * 2016-08-25 2017-01-25 重庆合川盐化工业有限公司 一种用于清洁金属和瓷器的盐复合物及对金属和瓷器进行清洁的方法
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
CN107229193A (zh) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN109136948A (zh) * 2018-11-15 2019-01-04 济南大学 一种复合型金属表面除锈清洗剂的制备方法
CN109267079A (zh) * 2018-11-15 2019-01-25 济南大学 一种中性金属表面除锈清洗剂的制备方法
CN109295466A (zh) * 2018-11-15 2019-02-01 济南大学 一种用于桥梁钢表面除锈剂的制备方法
CN109423291A (zh) * 2017-08-25 2019-03-05 弗萨姆材料美国有限责任公司 在制造半导体器件过程中从硅-锗/硅叠层中选择性地去除硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110383179A (zh) * 2018-02-14 2019-10-25 默克专利股份有限公司 光刻胶去除剂组合物
CN111164183A (zh) * 2017-09-29 2020-05-15 弗萨姆材料美国有限责任公司 用于在半导体器件制造过程中从硅-锗/硅堆叠同时去除硅和硅-锗合金的蚀刻溶液
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
CN113039634A (zh) * 2018-11-15 2021-06-25 东京毅力科创株式会社 用于使用自限制和溶解度受限反应的原子层湿法蚀刻的加工***和平台
CN113817471A (zh) * 2017-09-06 2021-12-21 恩特格里斯公司 用于蚀刻含氮化硅衬底的组合物及方法
CN113950520A (zh) * 2019-06-13 2022-01-18 弗萨姆材料美国有限责任公司 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物
CN114258424A (zh) * 2019-06-13 2022-03-29 富士胶片电子材料美国有限公司 蚀刻组合物
US11549086B2 (en) 2017-07-25 2023-01-10 Shanghai Sinyang Semiconductor Materials Co., Ltd. Cleaning agent and preparation method and use thereof

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6970675B2 (ja) * 2016-09-29 2021-11-24 富士フイルム株式会社 処理液および積層体の処理方法
US10312073B2 (en) * 2017-04-28 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective removal of carbon-containing and nitrogen-containing silicon residues
WO2019082681A1 (ja) * 2017-10-23 2019-05-02 メック株式会社 膜形成基材の製造方法、膜形成基材及び表面処理剤
JP7306373B2 (ja) * 2018-03-14 2023-07-11 三菱瓦斯化学株式会社 ドライエッチング残渣を除去するための洗浄液及びこれを用いた半導体基板の製造方法
WO2020102228A1 (en) * 2018-11-15 2020-05-22 Entegris, Inc. Silicon nitride etching composition and method
CN113287187A (zh) * 2019-01-11 2021-08-20 弗萨姆材料美国有限责任公司 氧化铪腐蚀抑制剂
FR3093001B1 (fr) * 2019-02-22 2022-06-10 Prevor Int Composition pour enlever des residus chimiques et ses utilisations
CN114761878A (zh) * 2019-10-17 2022-07-15 弗萨姆材料美国有限责任公司 用于euv掩模保护结构的蚀刻组合物及方法
IL294958A (en) * 2020-01-30 2022-09-01 Showa Denko Kk A method for removing metal compounds
US20210317389A1 (en) * 2020-04-14 2021-10-14 William Quan Chemical product for rapid removal of food burned on to the surfaces of cooktops

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1914309A (zh) * 2004-03-05 2007-02-14 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 改进的用于cmp后清洗的酸性化学处理剂
CN101290482A (zh) * 2007-04-19 2008-10-22 安集微电子(上海)有限公司 一种清洗等离子刻蚀残留物的清洗液
CN101366107A (zh) * 2005-10-05 2009-02-11 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂
CN101971296A (zh) * 2007-12-21 2011-02-09 朗姆研究公司 用于带有盖层的衬底的沉积后清洁方法和配方
CN103154321A (zh) * 2010-10-06 2013-06-12 高级技术材料公司 选择性蚀刻金属氮化物的组合物及方法
CN104145324A (zh) * 2011-12-28 2014-11-12 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09279189A (ja) 1996-04-08 1997-10-28 Nippon Steel Corp 半導体基板用洗浄液
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6551935B1 (en) 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
JP4159944B2 (ja) 2003-07-31 2008-10-01 花王株式会社 レジスト用剥離剤組成物
US7022255B2 (en) * 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
JP2006210857A (ja) 2005-01-24 2006-08-10 Lee Kigen 不純物除去用洗浄液組成物及びこれを用いた不純物除去方法
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
KR100678482B1 (ko) 2006-01-17 2007-02-02 삼성전자주식회사 실리콘 표면의 세정용액 및 이를 사용하는 반도체 소자의제조방법들
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
EP2342738A4 (en) * 2008-10-02 2013-04-17 Advanced Tech Materials USE OF TENSID / DETOINT MIXTURES FOR INCREASED METAL LOADING AND SURFACE PASSIVATION OF SILICON SUBSTRATES
TW201634701A (zh) * 2010-04-15 2016-10-01 安堤格里斯公司 廢棄印刷電路板之回收利用方法
JP5508130B2 (ja) * 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
CN112442374A (zh) * 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1914309A (zh) * 2004-03-05 2007-02-14 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 改进的用于cmp后清洗的酸性化学处理剂
CN101366107A (zh) * 2005-10-05 2009-02-11 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂
CN101290482A (zh) * 2007-04-19 2008-10-22 安集微电子(上海)有限公司 一种清洗等离子刻蚀残留物的清洗液
CN101971296A (zh) * 2007-12-21 2011-02-09 朗姆研究公司 用于带有盖层的衬底的沉积后清洁方法和配方
CN103154321A (zh) * 2010-10-06 2013-06-12 高级技术材料公司 选择性蚀刻金属氮化物的组合物及方法
CN104145324A (zh) * 2011-12-28 2014-11-12 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106350308B (zh) * 2016-08-25 2019-02-26 重庆合川盐化工业有限公司 一种用于清洁金属和瓷器的盐复合物及对金属和瓷器进行清洁的方法
CN106350308A (zh) * 2016-08-25 2017-01-25 重庆合川盐化工业有限公司 一种用于清洁金属和瓷器的盐复合物及对金属和瓷器进行清洁的方法
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
US11549086B2 (en) 2017-07-25 2023-01-10 Shanghai Sinyang Semiconductor Materials Co., Ltd. Cleaning agent and preparation method and use thereof
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107229193A (zh) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN109423291B (zh) * 2017-08-25 2022-09-30 弗萨姆材料美国有限责任公司 在制造半导体器件过程中从硅-锗/硅叠层中选择性地去除硅-锗合金的蚀刻溶液
CN109423291A (zh) * 2017-08-25 2019-03-05 弗萨姆材料美国有限责任公司 在制造半导体器件过程中从硅-锗/硅叠层中选择性地去除硅-锗合金的蚀刻溶液
CN113817471B (zh) * 2017-09-06 2022-11-15 恩特格里斯公司 用于蚀刻含氮化硅衬底的组合物及方法
CN113817471A (zh) * 2017-09-06 2021-12-21 恩特格里斯公司 用于蚀刻含氮化硅衬底的组合物及方法
CN111164183A (zh) * 2017-09-29 2020-05-15 弗萨姆材料美国有限责任公司 用于在半导体器件制造过程中从硅-锗/硅堆叠同时去除硅和硅-锗合金的蚀刻溶液
CN111164183B (zh) * 2017-09-29 2021-07-20 弗萨姆材料美国有限责任公司 用于在半导体器件制造过程中从硅-锗/硅堆叠同时去除硅和硅-锗合金的蚀刻溶液
CN110383179B (zh) * 2018-02-14 2021-10-29 默克专利股份有限公司 光刻胶去除剂组合物
CN110383179A (zh) * 2018-02-14 2019-10-25 默克专利股份有限公司 光刻胶去除剂组合物
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
CN113039634A (zh) * 2018-11-15 2021-06-25 东京毅力科创株式会社 用于使用自限制和溶解度受限反应的原子层湿法蚀刻的加工***和平台
CN109295466A (zh) * 2018-11-15 2019-02-01 济南大学 一种用于桥梁钢表面除锈剂的制备方法
CN109267079A (zh) * 2018-11-15 2019-01-25 济南大学 一种中性金属表面除锈清洗剂的制备方法
CN109136948A (zh) * 2018-11-15 2019-01-04 济南大学 一种复合型金属表面除锈清洗剂的制备方法
CN113950520A (zh) * 2019-06-13 2022-01-18 弗萨姆材料美国有限责任公司 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物
CN114258424A (zh) * 2019-06-13 2022-03-29 富士胶片电子材料美国有限公司 蚀刻组合物
CN114258424B (zh) * 2019-06-13 2023-07-04 富士胶片电子材料美国有限公司 蚀刻组合物
CN113950520B (zh) * 2019-06-13 2024-03-01 弗萨姆材料美国有限责任公司 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物

Also Published As

Publication number Publication date
EP3040409A1 (en) 2016-07-06
MY173068A (en) 2019-12-24
TW201623598A (zh) 2016-07-01
US10301580B2 (en) 2019-05-28
SG10201510714XA (en) 2016-07-28
PH12016000003A1 (en) 2017-07-17
TWI573867B (zh) 2017-03-11
JP2016127291A (ja) 2016-07-11
EP3040409B1 (en) 2017-12-27
JP6339555B2 (ja) 2018-06-06
PH12016000003B1 (en) 2017-07-17
KR101884367B1 (ko) 2018-08-01
CN105739251B (zh) 2020-12-25
US20160186105A1 (en) 2016-06-30
KR20160082231A (ko) 2016-07-08

Similar Documents

Publication Publication Date Title
CN105739251A (zh) 具有高wn/w蚀刻选择性的剥离组合物
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
JP4750807B2 (ja) 半導体基材用洗浄剤
TWI713458B (zh) 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑
JP6329909B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
CN101366107B (zh) 用于除去蚀刻后残余物的含水氧化清洗剂
KR100822156B1 (ko) 잔재물을 제거하기 위한 수성 세정 조성물 및 이것을사용하는 방법
KR100942009B1 (ko) 포토레지스트, 에칭 잔류물 및 barc를 제거하기 위한제제
TWI626305B (zh) 清潔配方
TWI838332B (zh) 用於移除半導體基材上的殘餘物之清潔調配物
WO2006110645A2 (en) Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
TW200428512A (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
JP2007519942A (ja) レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
JP2021536669A (ja) セリア粒子向けのcmp後洗浄用組成物
JP2009231354A (ja) 半導体デバイス用洗浄液、および洗浄方法
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
TWI804519B (zh) 蝕刻組成物
JP2014132094A (ja) 酸性、有機溶媒ベースの多目的マイクロエレクトロニクス洗浄組成物
TWI743026B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
JP5007089B2 (ja) レジストの剥離方法
TW202330894A (zh) 微電子裝置清潔組合物
JP5730790B6 (ja) 酸性、有機溶媒ベースの多目的マイクロエレクトロニクス洗浄組成物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20170602

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

GR01 Patent grant
GR01 Patent grant