CN104379807A - 原子层沉积 - Google Patents

原子层沉积 Download PDF

Info

Publication number
CN104379807A
CN104379807A CN201380029364.6A CN201380029364A CN104379807A CN 104379807 A CN104379807 A CN 104379807A CN 201380029364 A CN201380029364 A CN 201380029364A CN 104379807 A CN104379807 A CN 104379807A
Authority
CN
China
Prior art keywords
deposition
substrate
deposition step
cycle
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380029364.6A
Other languages
English (en)
Inventor
G.阿马拉藤加
Y.乔伊
S.希瓦雷迪
N.布朗
C.科利斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dyson Technology Ltd
Dyson Ltd
Original Assignee
Dyson Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dyson Ltd filed Critical Dyson Ltd
Publication of CN104379807A publication Critical patent/CN104379807A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

一种通过使用原子层沉积过程将材料沉积到基底上的方法,其中所述沉积过程包括第一沉积步骤、第一沉积步骤后的第二沉积步骤和在所述第一沉积步骤和第二沉积步骤之间的至少一分钟的延迟。每个沉积步骤包括多个沉积循环。延迟通过在选择的一个沉积循环结束处延迟净化气体被供应到容纳基底的处理腔室的时间段而被引入到沉积过程中。

Description

原子层沉积
技术领域
本发明涉及一种使用原子层沉积涂覆基底的方法。
背景技术
原子层沉积(ALD)是薄膜沉积技术,通过这个技术,给定量的材料在每个沉积循环期间被沉积。因此,控制涂层厚度是简单的。一个缺点是涂层增厚的速度。
ALD是基于一种材料的单独的或部分的单层的顺序沉积的方法。膜要被沉积的表面在被生长反应器净化以移除所有残余化学活性源气体或副产物后被顺序地暴露于不同的前体。当生长表面被暴露到前体时,它被前体的单层完全浸透。该单层的厚度取决于前体与生长表面的反应性。这导致一定数量的优点,例如良好的均匀性和一致性,和容易且精确的膜厚度控制。
两种类型的ALD为热和等离子体增强ALD(PEALD)。在二元反应上,ALD与化学气相沉积(CVD)是非常相似的。ALD的方法是基于二元反应找到CVD过程,然后单独地或顺序地施加两种不同类型的反应物。在ALD中,所述反应在不同的温度下同时发生,并且将被称为热ALD,因为该反应能够在没有等离子体协助或基体协助的情况下进行。单元素膜很难通过使用热ALD过程进行沉积但能够通过使用等离子体或基体增强ALD进行沉积。热ALD趋于更快并且以更好的纵横比产生膜,所以将热ALD和PEALD过程组合是已知的。等离子体中的其他能量物或基体帮助引起不可能仅使用热能进行的反应。除了单元素材料以外,混合材料也能够使用等离子体ALD进行沉积。一个重要的优势是,等离子体ALD相比于热ALD能够在低得多的温度下沉积膜。氧气等离子体ALD也能够将金属氧化物保形地沉积在疏水表面上。
在ALD中,膜的生长循环性地发生。参见图18,在最简单的情况中,一个循环由四个阶段组成。在过程的开始,腔室处于基本真空压力600,在整个沉积过程中,惰性气体(氩气或氮气)流被持续地引入沉积腔室中,形成恒定的基本压力610。气流还在净化循环中用作净化气体。沉积循环如下:
(i)暴露第一前体620,导致沉积腔室中压力的突升;
(ii)用气流630进行净化或对反应腔室抽真空;
(iii)暴露第二前体640,导致沉积腔室中的压力的突升;和
(iv)净化或抽真空650。
沉积循环被尽可能多次地重复以获得预期的膜厚度。
发明内容
根据第一方面,本发明提供了在基底上沉积材料的方法,包括以下步骤:
提供基底;和
通过原子层沉积在基底上沉积涂层,其中所述沉积包括第一沉积步骤、沉积中断、和随后的第二沉积步骤。
每个沉积步骤包括多个沉积循环。每个沉积循环包括产生涂层所需的所有沉积阶段。例如,为了产生氧化物,每个沉积循环包括用于例如每个金属前体和氧化前体的一个或多个沉积阶段,对于氧化铪的产生,存在用于每个铪前体和氧化前体的一个沉积阶段。涂层能够被认为是通过被中断或延迟而分开的两个沉积步骤产生的。因此,涂层通过完成多个沉积循环、中断、然后完成包括多个沉积循环的第二组而产生。
所述暂停是沉积过程中的中断或延迟,其被发现对于沉积在基底上的材料的某些性质是有利的。延迟优选地具有至少一分钟的持续时间。由此,在本发明的第二方面中提供了一种通过使用原子层沉积过程将材料沉积到基底上的方法,其中所述沉积过程包括第一沉积步骤、第一沉积步骤后的第二沉积步骤和在所述第一沉积步骤和第二沉积步骤之间的至少一分钟的延迟时间段。
第一和第二沉积步骤之间的延迟或中断不同于净化或暴露阶段。净化必须紧接在每个暴露阶段之后以对沉积腔室抽真空,无论有没有形成一个原子层(即,金属氧化物)。另一方面,延迟只发生在一个完全的原子层沉积后并且该延迟断开或介入连续的沉积过程流。因此,延迟能够与净化阶段区分开,因为延迟不是沉积循环中的一个阶段。同样地,延迟能够与反应物被引入腔室的暴露阶段区分开,因为在该阶段中压力升高并且额外地这是沉积循环中的阶段之一。此外,优选的是,腔室中的温度在延迟或中断期间被保持。因此,用于延迟或中断的温度条件大致类似于沉积步骤的温度条件。延迟或中断不是最终被涂覆的基底的温度升高的后沉积退火步骤,而是两个沉积步骤或两组沉积循环之间的中间步骤。
延迟优选地通过保持处理腔室中的恒定的基本压力被引入沉积,例如在第一沉积步骤和第二沉积步骤之间通过保持基底所在的处理腔室中的氩气气体的恒定流至少一分钟的时间,所以本发明的第三方面提供了一种在腔室中通过使用原子层沉积过程将材料沉积到基底上的方法,其中所述沉积过程包括第一沉积步骤、第一沉积步骤后的第二沉积步骤,和在所述第一沉积步骤和第二沉积步骤之间在所述腔室中保持大致恒定的压力的一段时间。
该持续的时间段优选地为至少一分钟并优选地在1分钟至120分钟的范围内,更优选地在10分钟至90分钟的范围内。每个沉积步骤优选包括多个连续的沉积循环。每个沉积步骤优选地包括至少五十个沉积循环,并且至少一个沉积步骤可包括至少一百个沉积循环。在一个示例中,每个沉积步骤包括两百个连续沉积循环。沉积步骤之间的延迟的持续时间优选地长于每个沉积循环的持续时间。每个沉积循环的持续时间优选地在从40至50秒的范围内。
沉积步骤之间的延迟具有的持续时间长于连续的沉积循环之间的任何延迟。优选的是,连续的沉积循环之间基本没有延迟,但是无论如何在沉积步骤之间中断的引入是连续的沉积循环之间的任何延迟之外增加的。在连续的沉积循环之间存在持续任何时间的延迟的情形中,本发明可被认为是在选择的两个沉积循环之间的延迟中选择性的增加。
每个沉积循环优选地开始于将前体供给到容纳有基底的处理腔室。每个沉积循环优选地终止于将净化气体供给到处理腔室。
每个沉积循环优选地结束于将净化气体引入所述腔室中持续第二时间段,所述第二时间段所述第一沉积步骤与第二沉积步骤之间的持续时段短。这个选择的沉积循环可发生在沉积过程的开始、沉积循环的结束或沉积过程的大致中间处。
在第四方面,本发明提供了一种将材料沉积在基底上的方法,其中多个原子层沉积循环在位于处理腔室中的基底上进行以将涂层沉积到所述基底上,每个沉积循环包括顺序地引入多个前体进入所述腔室,以及在引入每个前体进入所述腔室后,引入净化气体到所述腔室一段时间,并且其中,对于在最后的沉积循环前所选择的一个沉积循环,净化气体在随后的沉积循环的开始前被供给到所述腔室的持续时间段长于每个其他沉积循环的这个时间段。对于所选择的一个沉积循环,所述持续时间优选地至少为一分钟,并且优选地在1至120分钟的范围内。在沉积循环之间的所述时间段期间,净化气体在腔室中的压力优选地是充分的。
所述沉积循环的至少一个优选地是等离子体增强原子层沉积循环。
优选地,基底是结构化的基底。例如,基底可包括多个碳纳米管(CNT),每个优选地具有约50-60nm的直径。结构化的基底可被设置为整齐的阵列或设置为任意的阵列。替代地,基底可以是非结构化的基底。
基底可包括硅或CNT。通过沉积过程形成的薄膜或涂层优选地为金属氧化物,例如氧化铪或氧化钛。
每个沉积循环优选地包括以下步骤:(i)引入前体进入处理腔室,(ii)使用净化气体净化处理腔室,(iii)引入氧气源作为第二前体进入处理腔室,和(iv)使用净化气体净化处理腔室。氧气源可以是氧气或者臭氧之一。净化气体可以是氩气、氮气或氦气。为了沉积氧化铪,可使用烷基氨基铪(alkylamino hafnium)化合物前体。每个沉积循环优选地以相同的温度在基底上进行,所述温度优选地在从200至300℃的范围内,例如250℃。每个沉积步骤优选地包括至少100个沉积循环。例如,每个沉积步骤可包括200个沉积循环以产生具有厚度在25至50nm范围内的氧化铪涂层。当沉积循环是等离子体增强沉积循环时,上述步骤(iii)还优选地包括在氧化前体被供给到腔室前撞击例如来自氩气或从氩气与一种或多种其他气体(比如氮气、氧气和氢气)的混合气体的等离子体。
已经发现在ALD中引入中断或延迟对于沉积的材料的电性能是有益的。被发现通过在ALD过程中引入中断或延迟的意外的改善的电性能之一是氧化材料的介电常数。被改善的另一个电性能是被沉积的材料的泄漏电流。
沉积步骤可包括通过PEALD进行的第一沉积步骤以及通过热ALD进行的第二沉积步骤。一些基底(比如CNT)对于这些材料来说是疏水的,因此对于至少一些循环使用具有氧气前体的PEALD是优选的。
本发明的第五方面提供了通过使用前述方法制得的具有涂层的基底。
本发明的第六方面提供了包括通过使用前述方法制得的具有涂层的基底的电容器。
上述与本发明的第一方面相关的特征描述同样适用于本发明的第二到第六方面的每一个,反之亦然。
附图说明
本发明将以示例的方式参考附图来进行描述,其中:
图1是氧化铪的连续的和不连续的PEALD的介电常数与电压的关系图;
图2是氧化铪的连续的和不连续的PEALD的泄漏电流密度与电压的关系图;
图3是使用替代的硅基底的氧化铪的连续的和不连续的PEALD的介电常数与电压的关系图;
图4是使用替代的硅基底的氧化铪的连续的和不连续的热ALD的介电常数与电压的关系图;
图5是介电常数与电压的关系图,其示出了不同的中断长度对氧化钛涂层的电容的影响;
图6是氧化钛涂层的耗散因子与电压的关系图;
图7是泄漏电流密度与电压的关系图,以例示不同的中断长度对氧化钛涂层的电容的影响;
图8是不同二氧化钛介电层的折射率与光子能量的关系图;
图9是铝/氧化铪/硅电容器的电容与电压的关系图,所述氧化铪层通过PEALD产生;
图10是使用掺杂锑的硅基底的铝/氧化铪/硅电容器的电容与电压的关系图,所述氧化铪层通过热ALD产生;
图11a是例示了氧化铪涂层的相对电容率以延迟时间为函数的关系图;
图11b是例示了氧化铪涂层的固定电荷密度(Qf)以延迟时间为函数的关系图;
图11c是例示了氧化铪涂层的Δk和ΔQf的变化以延迟时间为函数的关系图;
图12示出了连续PEALD氧化铪涂层的TEM图像;
图13a和13b以较高倍数示出了图12的氧化铪涂层;
图14示出了具有60分钟的延迟时间的不连续PEALD的氧化铪涂层的TEM图像;
图15a和15b以较高倍数示出了图14的氧化铪涂层;
图16以更高倍数示出了图15的氧化铪涂层;
图17示出了PEALD产生的氧化铪涂层的泄漏电流密度与电场的关系图,以例示不同的中断长度对氧化铪涂层的泄漏电流密度的影响;
图18示意性地示出了热ALD过程的关系图;和
图19示意性地示出了PEALD过程的关系图。
具体实施方式
本发明使用原子层沉积过程以在基底上形成薄膜或涂层。以下示例描述了用于在基底上形成介电材料涂层的方法,其可以是在晶体管和电容器制造中使用的高k值介电材料。原子层沉积过程包括多个沉积循环。在本示例中,每个沉积循环是等离子体增强原子层沉积(PEALD)循环,其包括以下步骤:(i)引入前体至处理腔室,基底定位在该处理腔室中,(ii)使用净化气体净化腔室以将任何多余的前体从腔室中移除,(iii)撞击腔室中的等离子体,并且将氧化前体供给到腔室以与基底的表面上吸收的前体反应从而在基底上形成原子层,和(iv)用净化气体净化腔室以将任何多余的氧化前体从腔室移除。
图1、2和3是例示了随着两个氧化铪涂层分别的介电常数和泄漏电流密度随着电压的变化,每个氧化铪涂层通过使用PEALD被沉积到各自的硅基底上。
每个PEALD过程通过使用Cambridge Nanotech Fiji 200等离子体ALD***进行。还参考附图19,基底被置于ALD***的处理腔室中,该腔室在沉积过程期间被抽真空700至0.3至0.5mbar的范围内的压力,并且基底在沉积过程期间被保持在约250℃的温度下。氩气被选择为预处理气体,并且在第一沉积循环开始前以200sccm的流率被供给到腔室710至少30秒时间段。
每个沉积循环以将铪前体供给到沉积腔室720、720a开始。铪前体是四二甲氨基铪(TDMAHf,Hf(N(CH3)2)4)。铪前体被添加到净化气体0.25秒的时间段。在将铪前体引入腔室后,氩气流又净化(730、730a)5秒钟以将任何多余的铪前体移出腔室。然后,等离子体通过使用氩气净化气体而被撞击(740、740a)。等离子体功率水平为300W。等离子体在氧气被以20sccm的流率供给(750、750a)到等离子体持续20秒钟前被稳定5秒钟。等离子体功率被关闭然后氧气流动停止,并且氩气流又净化5秒钟(760、760a)以将任何多余的氧化前体从腔室移除,并且结束沉积循环。
每个涂层都通过使用不同的各自的沉积过程形成。第一沉积过程是标准PEALD过程,该过程包括400个连续的沉积循环,且在一个沉积循环的结束和下一个沉积循环的开始之间基本没有延迟。第二沉积过程是不连续的PEALD过程,包括第一沉积步骤、第二沉积步骤和第一沉积步骤与第二沉积步骤之间的延迟。第一沉积步骤包括200个连续沉积循环,并且在一次沉积循环的结束和下一次沉积循环的开始之间基本没有延迟。第二沉积步骤包括另外200个连续沉积循环,并且在一次沉积循环的结束和下一次沉积循环的开始之间基本没有延迟。第一沉积步骤的最后的沉积循环的结束775与第二沉积步骤的第一沉积循环的开始780之间的延迟为30分钟。在延迟期间,腔室中的压力被保持710a在0.3至0.5mbar的范围内,基底被保持在约250℃的温度下,氩气净化气体被以200sccm的流率持续地传送到腔室。沉积步骤之间的延迟还可被认为是在选择的沉积循环的结束时净化气体被供给到腔室的时间段的增加。两个沉积过程所产生的涂层的厚度为约36nm。
参见图1,对于标准PEALD过程,介电常数随着电压的变化被示出为10,而对于不连续的PEALD过程,介电常数随着电压的变化被示出为20。不连续的过程产生的涂层在2V所具有的介电常数的值为26。用于这些示例的硅基底是掺杂砷的硅晶片并且其电阻率为0.005ohm cm。
图2例示了对于相同的氧化铪涂层,泄漏电流密度随着电压的变化。通过使用连续的过程形成的涂层的泄漏电流密度的变化被标明为110,而使用非连续的过程形成的涂层的泄漏电流密度的变化被标明为120。通过使用传统的连续的过程形成的涂层的泄漏电流比通过使用非连续的过程形成的涂层的泄漏电流低。
图3示出了不同的延迟持续时间对在与图1和2中使用的不同的硅基底上的氧化铪涂层的介电常数的影响。在本示例中,硅是掺杂锑的硅晶片并且具有0.1ohm cm的电阻率。PEALD过程在与图1和2相同的条件下实现,但除了连续过程35和具有三十分钟的延迟55的实验以外,另外的实验在200个循环后具有一分钟45和六十分钟65的延迟的条件下实现。由于这更优化的硅基底,具有延迟的基底在-2v与+2v之间的介电常数一致地比连续或标准过程的介电常数高。改善随着延迟时间而增加,然而,这种益处是非线性的。因此,在2v时,连续过程产生具有介电常数为23的涂层;一分钟的延迟产生具有约24的介电常数的涂层;30分钟的延迟产生具有介电常数为27的涂层;且六十分钟的延迟产生介电常数约28的涂层。
图4是例示了通过热ALD沉积在掺杂锑的硅基底上的氧化铪涂层的介电常数随着电压的变化的关系图。
每个热ALD过程通过使用Cambridge Nanotech Fiji 200等离子体ALD***进行。现在参考附图18,基底被置于ALD***的处理腔室中,该腔室在沉积过程期间被抽真空600至0.3至0.5mbar的范围内的压力,并且基底在沉积过程期间被保持在约250℃的温度下。氩气被选择为预处理气体,并且在第一沉积循环开始前以200sccm的流率被供给到腔室610至少30秒时间段。
每个沉积循环以将铪前体供给到沉积腔室620、620a、620b开始。铪前体是四二甲氨基铪(TDMAHf,Hf(N(CH3)2)4)。铪前体被添加到净化气体0.25秒的时间段。在将铪前体引入腔室后,氩气流又净化(630、630a、630b)5秒钟以将任何多余的铪前体移出腔室。然后,第二前体,水被引入640、640a、640b腔室中0.06秒。然后,氩气流又净化(650、650a、650b)5秒钟以将任何多余的氧化前体从腔室移除,并且结束沉积循环。
每个涂层都通过使用不同的各自的沉积过程形成。现在参见图4和18,第一沉积过程是标准热ALD过程135,其包括400个连续沉积循环,且在一个沉积循环的结束与下一个沉积循环开始之间基本没有延迟。第二沉积过程是不连续的热ALD过程,包括第一沉积步骤、第二沉积步骤和第一沉积步骤与第二沉积步骤之间的延迟。第一沉积步骤包括200个连续沉积循环,并且在一次沉积循环的结束和下一次沉积循环的开始之间基本没有延迟。第二沉积步骤包括另外200个连续沉积循环,并且在一次沉积循环的结束和下一次沉积循环的开始之间同样基本没有延迟。第一沉积步骤的最后的沉积循环670与第二沉积步骤的第一沉积循环的开始680之间的延迟是1、30和60分钟的一个。在延迟期间,腔室中的压力被保持610a在0.3至0.5mbar的范围内,基底被保持在约250℃的温度下,氩气净化气体被以200sccm的流率持续地传送到腔室。沉积步骤之间的延迟还可被认为是在选择的沉积循环的结束时净化气体被供给到腔室的时间段的增加。两个沉积过程所产生的涂层的厚度为约36nm。
参见图18,第一沉积步骤的倒数第二个沉积循环620、630、640、650后直接接着第一沉积步骤的最后的沉积循环620a、630a、640a、650a。然后,延迟670至680被引入第一和第二沉积步骤之间,根据本发明,该延迟优选地为1至120分钟之间的任何值,并且然后第二沉积步骤的第一循环620b、630b、640b、650b开始。
图4的关系图示出了在-2v和+2v之间具有延迟的涂层的介电常数一致地比连续或标准过程的介电常数高。改善随着延迟时间而增加,然而,这种益处是非线性的。因此,在2v时,连续过程产生具有介电常数为22的涂层;一分钟的延迟产生具有约25的介电常数的涂层;三十分钟的延迟产生具有介电常数为约28的涂层;且六十分钟的延迟产生介电常数为29的涂层。
当中断被引入ALD过程时,在掺杂锑的硅基底上产生的热ALD氧化铪涂层和PEALD氧化铪涂层都示出了相似的介电常数的改善。热ALD具有稍短的循环时间,因为其没有等离子体阶段,所以对于给定的延迟时间来说,热ALD是更经济的过程。
图5示出了不同的延迟时间段对硅基底上氧化钛涂层的介电常数的影响。用于形成氧化钛涂层的沉积循环与上述的相同,除了铪前体被异丙氧化钛(titanium isopropoxide)前体替代。
四个二氧化钛涂层形成在各自的硅基底表面上,每个使用各种不同的沉积过程。第一沉积过程是包括400个连续沉积循环的标准PEALD过程,其中一个沉积循环的结束和下一个沉积循环的开始之间基本没有延迟,并且最终的涂层的介电常数随着电压的变化在图3中表示为30。第二沉积过程是不连续的PEALD过程,包括第一沉积步骤、第二沉积步骤和第一沉积步骤与第二沉积步骤之间的延迟。第一沉积步骤包括200个连续沉积循环,并且在一次沉积循环的结束和下一次沉积循环的开始之间基本没有延迟。第二沉积步骤包括另外200个连续沉积循环,并且在一次沉积循环的结束和下一次沉积循环的开始之间同样基本没有延迟。第一沉积步骤的最后的沉积循环和第二沉积步骤的第一沉积循环之间的延迟为10分钟。在延迟期间,腔室中的压力被保持在0.3至0.5mbar的范围内,基底被保持在约250℃的温度下,氩气净化气体被以200sccm的流率持续地传送到腔室。最终的涂层的介电常数随着电压的变化在图3中表示为40。第三沉积过程与第二沉积过程相似,但是具有30分钟的延迟,其最终的涂层的介电常数随着电压的变化在图3中表示为50。第四沉积过程与第二沉积过程相似,但是具有60分钟的延迟,其最终的涂层的介电常数随着电压的变化在图3中表示为60。在负电压下,不连续过程的图非常相似,介电常数高于连续沉积过程在零电压处的介电常数。在正电压下,通过第二沉积过程产生的涂层具有最高的介电常数。
图6示出了这四个氧化钛涂层的耗散系数随着电压的变化。通过第一到第四沉积过程产生的涂层的耗散系数随着电压的变化在图6中被分别标为130、140、150和160。在负电压下,使用标准沉积过程产生的涂层被观察到了更低的耗散系数。
研究了PEALD和热ALD氧化铪涂层的耗散系数的变化。在两种情况下,耗散系数接近零,在-2v至+2v的电压范围内小于0.1。该更低的值室是由于氧化铪具有非常地的泄漏电流,所以接近完全介电且接近完全的电容器行为。
图7示出了这四个氧化钛涂层的泄漏电流密度随着电压的变化。通过第一到第四沉积过程产生的涂层的耗散系数随着电压的变化在图7中被分别标为230、240、250和260。在负电压下,使用连续的第一沉积过程产生的涂层被观察到了最低的泄漏电流密度。
图8示出了通过使用光谱椭圆对称法测量四个氧化钛涂层的折射率。对于TiO2已知的是,在外延锐钛矿相中,在超过带隙能(~3eV)后在高能区域中(椭圆对称法中)可看到明显的两个峰值特征(通常在半导Ga混合物中观察到的)。这两个峰值特征的原因是由于外延锐钛矿膜的密集的精细的晶度。通过使用不连续的第二到第四沉积过程形成的涂层的折射率(分别标为340、350和360)示出了两个峰值特征,而通过使用连续的第一沉积过程形成的涂层的折射率(标为330)仅示出了一个峰值。
图9示出了四种不同的铝/氧化铪/硅电容器的电容随着电压的变化。每个金属-绝缘体-半导体(Al/HfO2/n-Si)电容器结构通过将铝点施加到涂覆有PEALD氧化铪的掺杂锑的硅基底来制得。该铝点的直径为0.5mm并且通过铝的蒸发制得。四种涂覆有氧化铪的硅基底通过四种不同的沉积过程形成。第一氧化铪涂覆的硅基底通过使用上文中相对于图1至3描述的第一氧化铪沉积过程形成,通过使用该被涂覆的基底形成的电容器的电容随着电压的变化在图9中标为430。第二氧化铪涂覆的硅基底通过使用上文所述的第二氧化铪沉积过程形成,但是具有1分钟而非10分钟的延迟。通过该被涂覆的基底形成的电容器的电容随着电压的变化在图9中被标为440。第三氧化铪涂覆的硅基底通过使用上文所述的第二氧化铪沉积过程形成,但是具有30分钟而非10分钟的延迟。通过该被涂覆的基底形成的电容器的电容随着电压的变化在图9中被标为450。第四氧化铪涂覆的硅基底通过使用上文所述的第二氧化铪沉积过程形成,但是具有60分钟而非10分钟的延迟。通过该被涂覆的基底形成的电容器的电容随着电压的变化在图9中被标为460。该图表明四个涂层的电容电压特征具有很小的滞后现象并且在沉积步骤之间的延迟的存在提供了电容器的电容的增加。电容的增加在使用第四沉积过程形成的涂层时最大,但电容的变化随着延迟的增大而变小。
图10是使用掺杂锑的硅基底的铝/氧化铪/硅电容器的电容与电压的图。
每种金属-绝缘体-半导体(Al/HfO2/n-Si)电容器结构通过将铝点施加到热ALD产生的氧化铪涂层的掺杂锑的硅基底来制得。该铝点的直径为0.5mm并且通过铝的蒸发制得。四种涂覆有氧化铪的硅基底通过四种不同的沉积过程形成。第一氧化铪涂覆的硅基底通过使用上文中相对于图4描述的第一氧化铪沉积过程形成,通过使用该被涂覆的基底形成的电容器的电容随着电压的变化在图10中标为435。第二氧化铪涂覆的硅基底通过使用上文所述的第二氧化铪沉积过程形成,但是具有1分钟而非10分钟的延迟。通过该被涂覆的基底形成的电容器的电容随着电压的变化在图10中被标为445。第三氧化铪涂覆的硅基底通过使用上文所述的第二氧化铪沉积过程形成,但是具有30分钟而非10分钟的延迟。通过该被涂覆的基底形成的电容器的电容随着电压的变化在图10中被标为455。第四氧化铪涂覆的硅基底通过使用上文所述的第二氧化铪沉积过程形成,但是具有60分钟而非10分钟的延迟。通过该被涂覆的基底形成的电容器的电容随着电压的变化在图10中被标为465。该图表明四个涂层的电容电压特征具有很小的滞后现象并且在沉积步骤之间的延迟的存在提供了电容器的电容的增加。电容的增加在使用第四沉积过程形成的涂层时最大,但电容的变化随着延迟的增大而变小。
图11a示出了关于图9描述的(即通过PEALD氧化铪涂层形成的)四个电容器的相对电容率以延迟时间为函数的关系图。相对电容率的值从C-V曲线的累积区域提取。相对电容率随着延迟时间的增加而增加。对通过使用热ALD涂覆的氧化铪制得的电容器也进行相同的提取,并且看到类似的关系图。图11b示出了四个电容器的固定电荷密度(Qf)以延迟时间作为函数的关系图。在沉积过程中的延迟期间,考虑了能够在第200个单层上形成氧空位(或缺陷)(因为HfO2涂层暴露于氩气一段时间)并且这增加了固定电荷密度。当引入延迟时,通过热ALD涂覆的氧化铪产生的电容器同样示出了固定电荷密度中相似的增加。图11c示出了四个不同的电容器的以延迟时间为函数的Δk(=kdelay-kconti.)和ΔQf(=Qfdelay-Qfconti.)的关系图。虽然产生了一些结构缺陷,在每个沉积步骤期间形成的200层HfO2之间的界面态密度可比HfO2与硅之间的界面状态密度小。这可导致HfO2涂层中的微观结构改变并且产生更高的HfO2电容率。
接下来的几幅图示出了不同的氧化铪涂层的TEM图像。所有的图像都通过使用扫描透射式电子显微镜高环形暗区成像(STEM-HAADF)获得,其中小的探针对样本进行光栅扫描并且从样本发出的电子辐射在与远场(Fraunhofer衍射面)中的小的立体角上被收集。图像亮度随着样本厚度、原子数量或密度而增加。两个显微镜被用于该研究。FEI Titan3在300kV下操作并且形成透镜的探针中的偏差矫正器允许18毫度的照明角度,给出0.7埃大小的(限制衍射的)探针尺寸。然而,由于有限的探针电流(80pA),这增加到约0.92埃。这里的测量指示向外传递到1.02埃,即比预期的大约10%。最终,非偏差校正的STEM(FEI Tecnai F20ST)被用于能量分散X光映像。此处的探针尺寸要大得多:在1.3nA的探针电流时为约1nm。
为了准备膜的横截面,使用了聚焦离子束显微镜FEI Quanta单光束。来自连续生长的PEALD氧化铪薄膜薄片(图12和13)以及来自间断的PEALD序列和六十分钟的延迟的具有更高介电常数(k)的另一个薄片(图14、15和16),样本通过Ga离子束碾磨并且精细抛光而获得。这些界面被磨薄直到它们对于电子束来说是透明的。两个提升的膜一起出现在相同的Omniprobe TEM支撑“栅格”上,其允许两个样本被研究而不改变样本,即改变真空和电子光学条件。
两个样本均约10um宽并且最终被磨薄以提供电子透明区域。两个膜均被倾斜从而硅基底能够沿着[110]方向定向。所有STEM成像基于氧化铪的生长平面是(001)Si的假设的这种条件下进行。
图12示出了具有铂顶涂层520的硅基底500上的连续的PEALD氧化铪涂层510的TEM图像。氧化铪膜510是适度地平坦的并且相比之下是均匀的。氧化铪膜厚度为约36nm且在Si-HfO2界面处具有少量的界面粗糙度以及更粗糙的HfO2-Pt界面。后者的薄的暗线表示跨该边界没有明显的熔合或扩散。
图13a和13b以较高倍数示出了图12的氧化铪涂层510。总的来说,氧化铪膜是多晶的且具有大的晶粒尺寸(10-30nm),其与一些表示非结晶层的任意对比共存(可能由于FIB碾磨)。一些晶粒适于被朝着在每个晶粒内提供排列晶格对比的电子束取向。晶格的能见度的暴跌与颗粒状的膜一致。
图14示出了在具有铂顶涂层525的硅基底505上具有60分钟的延迟的不连续的PEALD氧化铪涂层515的TEM图像。氧化铪膜厚度再次为约36nm。在这个样本中最明显的区别是与Si-HfO2界面相隔20至25nm的略暗的外观。该暗的区域550是薄的暗带,其跨过所述膜是不一致的。在一些位置变暗效果很强,在其他位置又没这么强。没有看到第二相,即,沉淀,空位或孔均不会在解析材料中出现。延迟中断或介入连续的生长并且在晶体结构中引入少量的无序,如TEM图像中看见的暗带550所示。
图15a和15b以较高倍数示出了图14的氧化铪涂层。晶粒大小类似于EPALD氧化铪膜的大小,即10-30nm。
图16以甚至更高的倍数示出了图14的氧化铪涂层,示出了暗灰带550。暗灰带表示了存在更多的后向散射,由此在这个区域中更少的传播,这是由晶体无序造成的,这种无序是由于在PEALD过程的第200个循环或一半时的中断或延迟而形成的。
图17示出了PEALD产生的氧化铪涂层的泄漏电流密度与电场的关系图,以例示不同的中断长度对氧化铪涂层的泄漏电流密度的影响。四个不同的过程在关于图1至3所述的条件下进行。第一连续过程235,具有一分钟的延迟的一个过程245、具有三十分钟的延迟的另一个过程255,并且具有六十分钟的延迟的最后一个过程265。每个延迟均在200个循环之后进行。从图中可以看出,这些曲线之间的差别非常小。这意味着介电常数的增加并不是由于每个涂层的泄漏电流密度的不同。因此,当引入延迟或中断时发现的提高完全是由于在延迟期间发生的涂层的结构改变。这种结构改变能够通过暗灰带550观察到。
基于以上所述的TEM分析,连续和中断的膜之间的晶度没有明显的改变。两个膜的厚度没有明显的区别。然而,中断的膜比连续沉积的膜稍微粗糙。更重要地,在STEM ADF中获得的中断的膜具有朝向中心的暗带。这些暗带能够表示这些膜在该区域中没那么密集或者表示该区域中的化学组成具有更高比例的低原子数(Z)元素。更有可能是铪具有更多的点缺陷(Hf或O位置的空位)。建议的是,氧化铪膜在中断(中止ALD循环)期间在其结构中结合了空位。更高的k值可能是由于在膜的中点区域(暗带可见的区域)这些点缺陷中极化中心的增加。
总的来说,已知的是,相比于在单斜结构(k~20),HfO2展现了在立方体结构中更高的介电常数(k~29)或在四角形结构中更高的介电常数(k~70)。HfO2的立方体相和四角形相是亚稳的,并且通常需要高的温度(~2700℃)以实现从单斜相到四角形相或从四角形相到立方体相的转变。然而,HfO2的立方体相和四角形相能够通过添加稀土材料而被稳定。例如,掺杂Ce的HfO2显示了稳定的立方体相或四角形相,并且介电常数为32[P.R.Chalker etal.,Appl.Phys.Lett.93,182911(2008)]。同时,如上所述,ALD过程中非常简单的改变能够像掺杂技术那样多地提高介电常数。电结果显示,中断的膜的介电常数(其值为约30)高于k值为20的连续沉积的膜至少50%。两个膜的泄漏电流具有相同量级(10-8A/cm2)。进行如透射电子显微镜和X光分析的物理特征技术以理解两种类型的膜的性质的改变的原因。高分辨率TEM在对应于过程的中断的膜的中间显示暗带。EDX分析在中点区域显示Ga信号的峰值,这意味着到空位的扩散。因此,这些带被归咎于在中断期间由于退火而造成的缺陷和形态改变。X光分析没有显示任何高k值立方体相的出现,因为两个膜都是单斜的。因此,在中断的膜中与非均匀性相关的空位可能是介电常数通过增加的极化中心提高的原因。
因此,在ALD(热ALD和等离子体增强ALD两者)过程中的沉积循环之间增加延迟导致具有相比于传统的ALD形成的氧化物而言具有更高的介电常数的高质量的氧化物的形成。

Claims (27)

1.一种通过使用原子层沉积过程将材料沉积到基底上的方法,其中所述沉积过程包括第一沉积步骤、第一沉积步骤后的第二沉积步骤和在所述第一沉积步骤和第二沉积步骤之间的延迟。
2.如权利要求1所述的方法,其中所述延迟持续至少一分钟的时段。
3.如权利要求1或2所述的方法,其中所述延迟通过在所述基底所处的处理腔室中保持恒定的压力而引入到所述沉积过程中。
4.一种在腔室中通过使用原子层沉积过程将材料沉积到基底上的方法,其中所述沉积过程包括第一沉积步骤、第一沉积步骤后的第二沉积步骤,和在所述第一沉积步骤和第二沉积步骤之间在所述腔室中保持大致恒定的压力的一时间段。
5.如权利要求3或4所述的方法,其中所述大致恒定的压力通过在所述腔室中保持恒定的氩气流而被保持。
6.如权利要求4所述的方法,其中所述时间段为至少一分钟。
7.如前述权利要求中任一项所述的方法,其中所述时间段在从1到120分钟的范围内。
8.如前述权利要求中任一项所述的方法,其中所述时间段在从10到90分钟的范围内。
9.如前述权利要求中任一项所述的方法,其中每个沉积步骤包括多个沉积循环。
10.如权利要求9所述的方法,其中每个所述沉积步骤包括至少五十个沉积循环。
11.如权利要求9或10所述的方法,其中沉积步骤中的至少一个包括至少一百个沉积循环。
12.如权利要求9-11中任一项所述的方法,其中每个沉积循环开始于将用于在所述基底上形成材料的前体引入到容纳该基底的腔室中。
13.如权利要求12所述的方法,其中每个沉积循环结束于将净化气体引入所述腔室中持续第二时间段,所述第二时间段比所述第一沉积步骤与第二沉积步骤之间的持续时间段短。
14.一种将材料沉积在基底上的方法,其中多个原子层沉积循环在位于处理腔室中的基底上进行以将涂层沉积到所述基底上,每个沉积循环包括顺序地引入多个前体进入所述腔室,以及在引入每个前体进入所述腔室后,引入净化气体到所述腔室一时间段,并且其中,对于在最后的沉积循环前进行的所选择的一个沉积循环,净化气体在随后的沉积循环的开始前被供给到所述腔室的持续时间段长于每个其他沉积循环的这个持续时间段。
15.如权利要求14所述的方法,其中对于所选择的一个沉积循环,所述持续时间段为至少一分钟。
16.如权利要求14或15所述的方法,其中对于所选择的一个沉积循环,所述持续时间段在1至120分钟的范围内。
17.如权利要求14到16中任一项所述的方法,其中所选择的一个沉积循环大致发生在所述沉积过程的中点处。
18.如权利要求9到17中任一项所述的方法,其中所述沉积循环中的至少一个为等离子体增强原子层沉积循环。
19.如权利要求9到18中任一项所述的方法,其中每一个沉积循环为等离子体增强原子层沉积循环。
20.如前述权利要求中任一项所述的方法,其中基底为结构化基底。
21.如前述权利要求中任一项所述的方法,其中所述基底包括多个碳纳米管。
22.如前述权利要求中任一项所述的方法,其中所述涂层包括介电材料。
23.如前述权利要求中任一项所述的方法,其中所述涂层包括金属氧化物。
24.如前述权利要求中任一项所述的方法,其中所述涂层包括氧化铪和氧化钛中的一种。
25.一种带涂层的基底,通过使用如前述权利要求中任一项所述的方法制成。
26.一种电容器,包括通过使用如前述权利要求中任一项所述的方法制成的带涂层的基底。
27.一种带涂层的基底,如说明书参考附图14-16所描述。
CN201380029364.6A 2012-04-05 2013-04-03 原子层沉积 Pending CN104379807A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB1206096.8 2012-04-05
GBGB1206096.8A GB201206096D0 (en) 2012-04-05 2012-04-05 Atomic layer deposition
PCT/GB2013/050873 WO2013150299A1 (en) 2012-04-05 2013-04-03 Atomic layer deposition

Publications (1)

Publication Number Publication Date
CN104379807A true CN104379807A (zh) 2015-02-25

Family

ID=46160371

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380029364.6A Pending CN104379807A (zh) 2012-04-05 2013-04-03 原子层沉积

Country Status (8)

Country Link
US (1) US20150091134A1 (zh)
EP (1) EP2834390A1 (zh)
JP (1) JP2015519471A (zh)
KR (2) KR20160128451A (zh)
CN (1) CN104379807A (zh)
GB (3) GB201206096D0 (zh)
TW (1) TWI557268B (zh)
WO (1) WO2013150299A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110079788A (zh) * 2019-05-05 2019-08-02 中国科学院上海光学精密机械研究所 一种基于peald的紫外减反射薄膜的镀制方法
CN112236543A (zh) * 2018-06-04 2021-01-15 戴森技术有限公司 气相沉积蒸发器装置
CN112236542A (zh) * 2018-06-04 2021-01-15 戴森技术有限公司 气相沉积蒸发器装置

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9318319B2 (en) * 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102065243B1 (ko) * 2017-05-01 2020-01-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10535523B1 (en) 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007019449A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
WO2007024720A2 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Pretreatment processes within a batch ald reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20110269310A1 (en) * 2008-12-19 2011-11-03 Asm International N.V Selective silicide process

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
KR100519376B1 (ko) * 2001-06-12 2005-10-07 주식회사 하이닉스반도체 반도체 소자의 확산 방지막 형성 방법
JP4621241B2 (ja) * 2002-03-18 2011-01-26 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP4681886B2 (ja) * 2003-01-17 2011-05-11 富士通セミコンダクター株式会社 半導体装置
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
US7166876B2 (en) * 2004-04-28 2007-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFET with electrostatic discharge protection structure and method of fabrication
US7413982B2 (en) * 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
JP2011096850A (ja) * 2009-10-29 2011-05-12 Nagoya Univ 半導体デバイスおよび製造方法
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007019449A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
WO2007024720A2 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Pretreatment processes within a batch ald reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20110269310A1 (en) * 2008-12-19 2011-11-03 Asm International N.V Selective silicide process

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112236543A (zh) * 2018-06-04 2021-01-15 戴森技术有限公司 气相沉积蒸发器装置
CN112236542A (zh) * 2018-06-04 2021-01-15 戴森技术有限公司 气相沉积蒸发器装置
US11613804B2 (en) 2018-06-04 2023-03-28 Dyson Technology Limited Vapour deposition evaporator device
CN110079788A (zh) * 2019-05-05 2019-08-02 中国科学院上海光学精密机械研究所 一种基于peald的紫外减反射薄膜的镀制方法

Also Published As

Publication number Publication date
WO2013150299A1 (en) 2013-10-10
TWI557268B (zh) 2016-11-11
GB201306001D0 (en) 2013-05-15
TW201346062A (zh) 2013-11-16
GB2503074A (en) 2013-12-18
GB2511443A (en) 2014-09-03
GB201206096D0 (en) 2012-05-16
JP2015519471A (ja) 2015-07-09
KR20140144222A (ko) 2014-12-18
EP2834390A1 (en) 2015-02-11
KR20160128451A (ko) 2016-11-07
GB2503074B (en) 2016-12-14
GB2511443B (en) 2016-12-14
US20150091134A1 (en) 2015-04-02
GB201408654D0 (en) 2014-07-02

Similar Documents

Publication Publication Date Title
CN104379807A (zh) 原子层沉积
Nukala et al. Direct epitaxial growth of polar (1–x) HfO2–(x) ZrO2 ultrathin films on silicon
Vila-Fungueiriño et al. Integration of functional complex oxide nanomaterials on silicon
Kosola et al. Neodymium oxide and neodymium aluminate thin films by atomic layer deposition
Sønsteby et al. Atomic layer deposition of (K, Na)(Nb, Ta) O3 thin films
Onaya et al. Correlation between ferroelectricity and ferroelectric orthorhombic phase of HfxZr1− xO2 thin films using synchrotron x-ray analysis
Niinistö et al. HfO2 films grown by ALD using cyclopentadienyl-type precursors and H2O or O3 as oxygen source
US20230089523A1 (en) Inherently ferroelectric hf-zr containing films
Miao et al. Simultaneous epitaxial growth of anatase and rutile TiO2 thin films by RF helicon magnetron sputtering
Kim et al. Low-resistivity SrRuO3 thin films formed on SiO2 substrates without buffer layer by RF magnetron sputtering
He et al. Interfacial and microstructural properties of SrTiO 3 thin films grown on Si (001) substrates
Miyake et al. Structural modifications of hafnium oxide films prepared by ion beam assisted deposition under high energy oxygen irradiation
Grishin et al. Tailoring crystallisation of anatase TiO2 ultra-thin films grown by atomic layer deposition using 2D oxides as growth template
Le et al. Epitaxial growth by atomic layer deposition and properties of high-k barium strontium titanate on Zintl-templated Ge (001) substrates
Popa et al. BiFeO3 films on steel substrate by the citrate method
US20220246714A1 (en) Low temperature route for epitaxial integration of perovskites on silicon
Wang et al. Modulation of Oxygen Content and Ferroelectricity in Sputtered Hafnia‐Zirconia by Engineering of Tungsten Oxide Bottom Electrodes
Korotcov et al. Growth and characterization of well aligned densely packed IrO2 nanocrystals on sapphire via reactive sputtering
Regnery et al. Metal-organic chemical-vapor deposition of (Ba, Sr) TiO3: Nucleation and growth on Pt-(111)
Lee et al. Plasma etching and surface characteristics depending on the crystallinity of the BaTiO3 thin film
Mohammad et al. Low-temperature synthesis of crystalline vanadium oxide films using oxygen plasmas
Galicka-Fau et al. Role of the MOCVD deposition conditions on physico-chemical properties of tetragonal ZrO2 thin films
Chua et al. Properties of amorphous ZrOx thin films deposited by filtered cathodic vacuum arc
Le The atomic layer deposition of ultrahigh-k oxides
Gnanarajan et al. Evolution of epitaxial Ta2O5 and Ta2O films during thermal oxidation of epitaxial tantalum films on sapphire substrates

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20150225