CN102738119A - 用于半导体衬底的贯穿硅通孔及其生产方法 - Google Patents

用于半导体衬底的贯穿硅通孔及其生产方法 Download PDF

Info

Publication number
CN102738119A
CN102738119A CN2012101041851A CN201210104185A CN102738119A CN 102738119 A CN102738119 A CN 102738119A CN 2012101041851 A CN2012101041851 A CN 2012101041851A CN 201210104185 A CN201210104185 A CN 201210104185A CN 102738119 A CN102738119 A CN 102738119A
Authority
CN
China
Prior art keywords
dielectric liner
opening
etch
rate
semiconductor element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012101041851A
Other languages
English (en)
Other versions
CN102738119B (zh
Inventor
余振华
张正宏
廖鄂斌
余佳霖
王湘仪
张俊华
黄立贤
郭智维
吴仓聚
邱文智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102738119A publication Critical patent/CN102738119A/zh
Application granted granted Critical
Publication of CN102738119B publication Critical patent/CN102738119B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/041Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L31/00
    • H01L25/043Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/072Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/11Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/115Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半导体元件包括具有顶面的半导体衬底。开口从顶面延伸至半导体衬底中。该开口包括内表面。具有第一压缩应力的第一介电衬里设置在开口的内表面上。具有拉伸应力的第二介电衬里设置在第一介电衬里上。具有第二压缩应力的第三介电衬里设置在第二介电衬里上。金属阻挡层设置在第三介电衬里上。导电材料设置在金属阻挡层上并填充开口。本发明还提供了一种用于半导体衬底的贯穿硅通孔及其生产方法。

Description

用于半导体衬底的贯穿硅通孔及其生产方法
技术领域
本公开大体上涉及了一种半导体器件,尤其涉及用于形成贯穿硅通孔的结构和方法。
背景技术
自从集成电路发明后,由于不断改进各种电子元件(即晶体管、二极管、电阻器和电容器等)的集成密度半导体产业经历了持续快速增长。就绝大部分而言,这种集成密度方面的改进是由于最小部件尺寸的不断减小,使得在给定的芯片面积上集成更多元件。
这些集成改进本质上基本属于二维(2D)的,此处集成元件所占的体积基本在半导体晶圆的表面上。虽然在光刻方面的显著改进导致了2D集成电路形成中的显著改进,但是在二维方面能够达到的密度存在物理限制。这些限制之一为需要将元件制作成最小尺寸。另外,将更多器件放在一个芯片上时需要更复杂的设计。
另一个限制来自于随着器件数量的增加器件之间的互连的数量和长度将会显著增加当互连的数量和长度增加时,电路RC延迟和能量消耗都将增加。
在用于解决上述限制的努力中,通常使用三维集成电路(3D IC)和堆叠管芯。因此3D IC和堆叠芯片中使用贯穿硅通孔(TSVs)连接管芯。这种情况下,TSVs经常用于连接管芯上的集成电路和管芯背面的集成电路。另外,TSVs也可用于为通过管芯背面接地的集成电路提供短的接地路径,管芯的背面可能被接地金属薄膜所覆盖。
TSVs的形成需要更多的工艺步骤。因此集成电路的形成变得更加复杂,因而问题也会随之产生。因此,形成TSVs的新方法就是要不断改进TSV的形成工艺。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各个方面。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1是根据本发明的实施例制造贯穿硅通孔的方法的流程图。
图2至图9是根据图1在生产的各个阶段形成贯穿硅通孔的横截面视图。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种半导体元件,包括:半导体衬底,所述半导体衬底具有顶面;开口,所述开口从所述顶面延伸至所述半导体衬底中,其中所述开口包括内表面;具有第一压缩应力的第一介电衬里,所述第一介电衬里设置在所述开口的所述内表面上;具有拉伸应力的第二介电衬里,所述第二介电衬里设置在所述第一介电衬里上;具有第二压缩应力的第三介电衬里,所述第三介电衬里设置在所述第二介电衬里上;金属阻挡层,所述金属阻挡层设置在所述第三介电衬里上;以及导电材料,所述导电材料设置在所述金属阻挡层上并填充所述开口。
在该半导体元件中,其中所述第一介电衬里和所述第三介电衬里包括相同的介电材料。
在该半导体元件中,其中所述第一介电衬里和所述第三介电衬里包括不同的介电材料。
在该半导体元件中,其中所述第一压缩应力和所述第二压缩应力相同。
在该半导体元件中,其中所述第一压缩应力和所述第二压缩应力彼此不同。
在该半导体元件中,其中所述第一压缩应力和所述第二压缩应力的至少之一在100MPa至400MPa的范围内。
在该半导体元件中,其中所述拉伸应力在50MPa至300MPa的范围内。
在该半导体元件中,其中所述第一介电衬里在HF溶液中具有第一蚀刻速率,第二介电衬里在HF溶液中具有第二蚀刻速率,且所述第一蚀刻速率小于所述第二蚀刻速率。
在该半导体元件中,其中所述第一介电衬里在HF溶液中具有第一蚀刻速率,第二介电衬里在HF溶液中具有第二蚀刻速率,且所述第一蚀刻速率小于所述第二蚀刻速率,且其中所述第三介电衬里在HF溶液中具有第三蚀刻速率,且所述第三蚀刻速率小于所述第二蚀刻速率。
根据本发明的另一方面,提供了一种半导体元件包括:半导体衬底,所述半导体衬底具有顶面;具有内表面的开口,所述开口从所述顶面延伸至所述半导体衬底中,其中所述开口具有顶部和底部;第一介电衬里,所述第一介电衬里设置在所述开口的所述内表面上,所述第一介电衬里具有在所述顶部上的厚度T1和在所述底部上的厚度T2,其中R1是T1与T2的比值;第二介电衬里,所述第二介电衬里设置在所述第一介电衬里上,所述第二介电衬里具有在所述顶部上的厚度T3和在所述底部上的厚度T4,其中R2是T3与T4的比值,且R1大于R2;第三介电衬里,所述第三介电衬里设置在所述第二介电衬里上,所述第三介电衬里具有在所述顶部上的厚度T5和在所述底部上的厚度T6,其中T5大于T6;金属阻挡层,所述金属阻挡层设置在所述第三介电衬里上;以及导电材料,所述导电材料设置在所述金属阻挡层上并填充所述开口。
在该半导体元件中,其中所述比值R1是约5至约20。
在该半导体元件中,其中所述比值R2是约1至约5。
在该半导体元件中,其中T5与T6的比值R3是约5至约20。
在该半导体元件中,其中所述第一介电衬里在HF溶液中具有第一蚀刻速率,所述第二介电衬里在HF溶液中具有第二蚀刻速率,且所述第一蚀刻速率小于所述第二蚀刻速率。
在该半导体元件中,其中所述第一介电衬里在HF溶液中具有第一蚀刻速率,所述第二介电衬里在HF溶液中具有第二蚀刻速率,且所述第一蚀刻速率小于所述第二蚀刻速率,且其中所述第三介电衬里在HF溶液中具有第三蚀刻速率,且所述第三蚀刻速率小于所述第二蚀刻速率。
在该半导体元件中,其中所述第一介电衬里具有第一压缩应力以及所述第三介电衬里具有第二压缩应力,且所述第一压缩应力和所述第二压缩应力的至少之一在100MPa至400MPa的范围内。
在该半导体元件中,其中所述第二介电衬里具有拉伸应力。
在该半导体元件中,其中所述第二介电衬里具有拉伸应力,且其中所述拉伸应力在50MPa至300MPa的范围内。
根据本发明的又一方面,提供一种用于形成半导体元件的方法,包括:提供具有顶面的半导体衬底;形成具有内表面的开口,所述开口从所述顶面延伸至所述半导体衬底中,其中所述开口具有顶部和底部;通过等离子体增强型化学汽相沉积(PECVD)在所述内表面上沉积第一介电衬里;通过共形沉积在所述第一介电衬里上沉积第二介电衬里;通过PECVD在所述第二介电衬里上沉积第三介电衬里;在所述第三介电衬里上沉积金属阻挡层;以及在三种介电衬里和所述金属阻挡层沉积之后用导电材料填充留下的开口。
在该方法中,其中所述第二介电衬里具有在顶部上的厚度T3和在底部上的厚度T4,且T3与T4的比值R2是约1至约5。
具体实施方式
据了解为了实施本公开的不同部件,以下公开提供了许多不同的实施例或示例。以下描述元件和布置的特定示例以简化本公开。当然这些仅仅是示例并不打算限定。再者,以下描述中第一部件形成在第二部件上方,之上,或上面可包括其中第一部件和第二部件以直接接触形成的实施例,并且也可包括其中额外的部件形成***到第一部件和第二部件中的实施例,使得第一部件和第二部件不直接接触。为了简明和清楚,可以任意地以不同的尺寸绘制各个部件。
图1根据本公开的实施例示出用于制造带有贯穿硅通孔的半导体元件的方法11的流程图。图2至图9根据一个或多个依照图1的实施例示出制造带有贯穿硅通孔的半导体元件100的过程中各阶段的横截面视图。应该注意到为了简明和清楚,本文仅简要描述某些工艺。因此,应该理解可以在图1的方法11之前,之中,和之后提供其他工艺。
现参考图1,用于制造带有贯穿硅通孔的半导体元件的方法11从操作步骤13开始。在操作步骤13中,提供半导体衬底。在半导体衬底上制造多个管芯。半导体衬底上的管芯通过管芯之间的切割槽分割。本文中的术语“半导体衬底”通常指其上可以或可以不形成各种层和器件结构的半导体块状衬底。在一些实施例中,半导体块状衬底包括硅或化合物半导体,诸如GaAx、InP、Si/Ge或SiC。这些层的实例包括介电层、掺杂层、多晶硅层或导电层等。器件结构的实例包括晶体管、电阻器和/或电容器,其可以或可以不通过互连层互连其他有源电路。
然后,方法11继续进行到操作15其中图案化半导体衬底从而在该半导体衬底上形成开口。
参照图2,,提供了带有半导体衬底101的半导体元件100的一部分的放大视图。该半导体衬底101具有顶面102。形成多个开口103,其通过顶面102延伸至半导体衬底101的预定深度。在这一实例中,出于说明的目的仅示出一个开口103。在至少一个实施例中,开口103包括在约μm至150μm范围内的深度,在约1μm至20μm范围内的宽度。开口103包括部分内表面105,该内表面由侧壁和开口103的底表面106组成。开口103还包括顶部107和底部109。顶部107紧邻开口103的最顶端且也邻近半导体衬底101的顶面102。底部109紧邻开口103的最底部且也邻近开口103的底表面106。
在一个实施例中,开口103可以采用干式蚀刻工艺形成。另外,开口103可以采用激光打孔形成。在一个实施例中,在半导体衬底101上形成图案化的掩模层(未示出)以覆盖未移除的区域和暴露部分半导体衬底101从而实现开口103的形成。掩模层可以是采用工艺诸如化学汽相沉积(CVD)形成的包括氮化硅、氧化物或氮氧化物的硬掩模。一旦形成后,采用合适的光刻和刻蚀工艺图案化掩模层以暴露出半导体衬底101的将要形成开口103的那些部分。然后,采用刻蚀或激光打孔移除暴露的半导体衬底101而形成开口103。在另一个实施例中,图案化的和显影的光阻可以可选地用于保护半导体衬底101的未移除区域而同时将衬底101的将要移除的部分暴露以形成开口103。再参考图1,方法11继续进行到操作17其中在开口的内表面上通过等离子体增强化学汽相沉积(PECVD)沉积第一介电衬里。
图3示出操作17阶段其中提供了半导体元件100的横截面视图。第一介电衬里111形成在开口103的内表面105上。第一介电衬里111在开口103的顶部107上具有T1厚度,在开口103的底部109上具有T2厚度。厚度T1在约
Figure BDA0000151911610000061
至约
Figure BDA0000151911610000062
范围内,厚度T2在约
Figure BDA0000151911610000063
Figure BDA0000151911610000064
范围内。第一介电衬里111从顶部107至底部109逐渐变薄。厚度T1和厚度T2的比率R1为约5至约20。第一介电衬里111可以包括氧化硅、氮化硅、氮氧化硅或PSG等。
在一个实施例中,第一介电衬里111通过PECVD形成。在这一实例中,在包括O3和TEOS的等离子环境内氧化硅层形成为第一介电衬里。O3和TEOS的流速分别在约5000标准立方厘米每分钟(sccm)至约10000sccm范围内,和约500毫克每分钟(mgm)至约3000mgm范围内。等离子环境的操作功率采用在13.56MHz下设定为约300W至约500W的高频RF功率,以及在350kHz下设定为约50W至约150W的低频RF功率。等离子环境的操作压力是约2托至约8托。半导体元件100的衬底101的操作温度是约150℃至约450℃。在上述条件下,第一介电衬里111用约100MPa至约400MPa范围内的第一压缩应力形成。第一介电衬里111在以1000∶1的比率稀释的HF溶液中具有约
Figure BDA0000151911610000065
至约
Figure BDA0000151911610000066
的第一蚀刻速率。本公开不限定用于形成第一介电衬里111的上述条件,而且产生上述压缩应力或上述蚀刻速率的不同条件均在本公开的范围内。
PECVD使用射频(RF)功率产生辉光放电以将能量转移至反应气体中,使得开口103的内表面105及半导体衬底101的顶面102上的沉积处于较低的温度。据相信在用于开口103形成的操作15中在PECVD的等离子体中带有高能量的自由基修复受损的内表面105。内表面105上的悬空键和缺陷均被移除。较常规方法,第一介电衬里111和内表面105之间的界面具有较少缺陷。因此,产生出沉积的第一介电衬里111的理想性能诸如良好的附着力、低针孔密度和充足的电性能。
再参考图1,方法11继续进行到操作19其中通过共形沉积在第一介电衬里上沉积第二介电衬里。
图4示出操作19阶段其中第二介电衬里113形成在第一介电衬里111上。第二介电衬里113具有在开口103的顶部107上的厚度T3和在开口103的底部109上的厚度T4。厚度T3在约
Figure BDA0000151911610000071
至约
Figure BDA0000151911610000072
的范围内且厚度T4在约
Figure BDA0000151911610000073
至约的范围内。在一个实施例中,第二介电衬里113可以是完全共形的衬里,据发现第二衬里厚度的共形性中的一些变化具有有益效果。厚度T3与厚度T4的比值R2是约1至约5。在比值R2的范围内,第二介电衬里113仍然保持共形性的有益效果。
在一个实施例中,通过使用基于O3/TEOS的低于大气压的化学汽相沉积工艺的高纵横比工艺(HARP)形成第二介电衬里113。在这个实例中,氧化层形成为第二介电衬里。O3和TEOS的流速分别在约10000标准立方厘米每分钟(sccm)至约20000sccm的范围内,和约500mgm至约3500mgm的范围内。操作压力是约400托至约650托。半导体元件100的操作温度是约200℃至约450℃。在无等离子体的操作温度下加热反应物并沉积在第一介电衬里111上。在上述条件下,第二介电衬里113在约50MPa至约300MPa范围内的拉伸应力下形成。第二介电衬里113在以1000∶1的比率稀释的HF溶液中具有约
Figure BDA0000151911610000076
的第二蚀刻速率。另外,第二介电衬里113可以使用共形沉积技术形成,诸如原子层沉积(ALD)或旋涂涂覆电介质法(SOD)(例如硅酸盐、硅氧烷、甲基倍半硅氧烷(MSQ)、氢倍半硅氧烷(HSQ)、MSQ/HSQ、全氢硅氮烷(TCPS)或全氢-聚硅氮烷(PSZ))。
本公开不限于以上用于形成第二介电衬里113,且产生以上压缩应力或以上蚀刻速率的不同条件均在本公开的范围内。
根据以上描述,比率R1大于比率R2。第二介电衬里113具有比第一介电衬里111更好的共形性。第二介电衬里113缓解衬里111和衬里113的组合层的厚度变化。第一蚀刻速率小于第二蚀刻速率。因此,第一介电衬里111具有比第二介电衬里113低的针孔密度。第一介电衬里111提供保护,防止湿气或污染从半导体衬底101扩散至第二介电衬里113。
再参考图1,方法11继续进行到操作21其中第三介电衬里通过等离子体增强化学气体沉积(PECVD)在第二介电衬里上沉积。
图5示出操作21阶段其中提供了半导体元件100的横截面视图。第三介电衬里115在第二介电衬里113上形成。第三介电衬里115在开口103的顶部107上具有厚度T5,且在开口103的底部109上具有厚度T6。厚度T5在约
Figure BDA0000151911610000081
至约的范围内,厚度T6在约
Figure BDA0000151911610000083
至约的范围内。第三介电衬里115的厚度从顶部107至底部109逐渐变薄。厚度T5与厚度T6的比R3是约5至约20。第三介电衬里115可以包括氧化硅、氮化硅、氮氧化硅或PSG。
在一个实施例中,第三介电衬里115由PECVD形成。在这一实例中,在包括O3和TEOS的等离子环境中氧化硅层形成为第三介电衬里。O3和TEOS的流速分别在约5000标准立方厘米每分钟(sccm)至约10000sccm范围内,以及约500mgm至约3000mgm范围内。等离子环境的操作功率使用在13.56MHz下设定为约300W至约500W的高频RF功率,以及在350kHz下设定为约50W至约150W的低频RF功率。等离子环境的操作压力是约2托至约8托。半导体元件100的操作温度是约150℃至约450℃。在上述操作条件下,第三介电衬里115在第二压缩应力在约100MPa至约400MPa范围内的情况下形成。第三介电衬里115在以1000∶1的比率稀释的HF溶液中具有约
Figure BDA0000151911610000085
至约
Figure BDA0000151911610000086
的第三蚀刻速率。
本公开不限于用于形成第三介电衬里115的以上条件,且产生以上压缩应力或以上蚀刻速率的其他条件均在本公开的范围内。
在一个实施例中,第一介电衬里111和第三介电衬里115包括相同的介电材料。第一介电衬里111的第一压缩应力和第三介电衬里115的第二压缩应力等同。在另一个实施例中,第一介电衬里111和第三介电衬里115包括不同的的介电材料。第一介电衬里111的第一压缩应力和第三介电衬里115的第二压缩应力不同。第一压缩应力和第二压缩应力的至少之一在约100MPa至约400MPa的范围内。
根据以上描述,第三蚀刻速率小于第二蚀刻速率。第三介电衬里115具有比第二介电衬里113低的针孔密度。第三介电衬里115形成在第二介电衬里113和稍后形成的金属阻挡层117之间(如图6所示)。第三介电衬里115提供包括,防止污染从稍后形成的金属阻挡层117和导电材料119扩散至半导体衬底101。提供了半导体元件100的耐用电性能。
再参考图1,方法11继续进行到操作23其中第三介电衬里上沉积金属阻挡层。
图6示出操作23阶段其中提供了半导体元件100的横截面视图。在第三介电衬里115上形成金属阻挡层117。金属阻挡层117可以提供保护,防止金属离子、污染从稍后形成的导电材料119扩散至半导体衬底101。金属阻挡层117包括氮化钽,也可以选择性地使用其他材料,例如钽、钛、氮化钛、这些的组合。金属阻挡层117的形成方法包括ALD、PECVD或物理汽相沉积(PVD)工艺等。
再参考图1,方法11继续进行到操作25其中用导电材料填充在三种介电衬里和金属阻挡层沉积之后留下的开口。
参考图7,用导电材料119填充在三种介电衬里和金属阻挡层117沉积之后留下的开口。导电材料119可以过量填充留下的开口103和金属阻挡层117。导电材料119可以包括铜或铜合金。尽管如此,也可使用其他金属如铝、银、金及其组合。可能的形成方法包括化学电镀,或其他通用沉积方法如溅射、洗印、电镀和化学汽相沉积(CVD)。
再参考图1,方法11继续进行到操作27其中选择性地移除在开口103外部的过量导电材料119、金属阻挡层117和三种介电衬里。
图8示出操作27阶段其中提供了半导体元件100的横截面视图。开口103外部的过量材料通过合适的工艺移除,例如化学机械抛光(CMP),蚀刻、或抛光和蚀刻的组合。移除工艺优选移除位于金属阻挡层117和三种介电衬里111、113和115上的任何导电材料119,因此过量材料的移除将为进一步的工艺步骤暴露半导体元件101的顶面102。在开口103中填充了导电材料119的情况下形成了贯穿硅通孔102。
在一些实施例中,在操作27之后可选地具有进一步的工艺步骤。在衬底101的顶面102上可以形成金属化层(未示出),设计金属化层以连接半导体元件100中的器件结构从而形成功能电路,同时也可通过TSV120与衬底101的相对面形成连接。金属化层可以由介电材料和导电材料的交替层形成且可以通过任何合适的工艺(如沉积、双镶嵌)形成。
再参考图1,方法11继续进行到操作29其中在衬底背面进行减薄工艺以暴露TSV。
图9示出操作29步骤其中提供了半导体元件100的横截面视图。移除半导体衬底101的背面的一部分以暴露位于开口103内的导电材料119从而完成TSV120。可以使用研磨工艺例如化学机械抛光(CMP)实施移除,尽管可以选择使用其他合适工艺,如蚀刻。可以继续移除衬底101的背面直到衬底101的厚度在约10μm至约200μm之间。因此,TSV120从衬底101背面暴露出来。贯通硅通孔(TSV)120提供衬底101上形成的半导体元件与其他元件的电连接。
本发明的各种实施例可以用于改进常规的贯穿硅通孔结构。例如,在各种实施例中通过PECVD形成的第一介电衬里111修复开口103的受损的内表面105。第一介电衬里111提供保护,防止污染从衬底101扩散至第二介电衬里113和内层115、117及119。具有共形厚度的第二介电衬里113缓解衬里111、113和115的组合层的厚度变化。第一介电衬里和第三介电衬里的压缩应力与第二介电衬里的拉伸应力结合,调整TSV120中的整体应力。从而显著增加终产品的漏电流、器件性能和产量。
虽然根据本公开的各种实施例描述了带有贯穿硅通孔的半导体元件及其制造方法,但是在不背离本公开精神的情况下可以存在其他选择、替代或修改。
本公开的实施例提供了一种半导体元件。该半导体元件包括具有顶面的半导体衬底。开口从顶面延伸至半导体衬底中。开口包括内表面。具有第一压缩应力的第一介电衬里沉积在开口的内表面上。具有拉伸应力的第二介电衬里沉积在第一介电衬里上。具有第二压缩应力的第三介电衬里沉积在第二介电衬里上。金属阻挡层沉积在第三介电衬里上。导电材料沉积在金属阻挡层上且填充开口。
本公开也提供了半导体元件的另一个实施例。该半导体元件包括具有顶面的半导体衬底。具有内表面的开口从顶面延伸至半导体衬底。开口具有顶部和底部。第一介电衬里设置在开口的内表面上。第一介电衬里在顶部上的厚度为T1,在底部的厚度为T2。R1为T1与T2的比值。第二介电衬里设置在第一介电衬里上。第二介电衬里在顶部的厚度为T3,在底部的厚度为T4。R2为T3与T4的比,且R1大于R2。第三介电衬里设置在第二介电衬里上。第三介电衬里在顶部的厚度为T5,在底部的厚度为T6。T5大于T6。金属阻挡层设置在第三介电衬里上。导电材料设置在金属阻挡层上并填充开口。
本公开也提供了形成半导体元件的方法的另一个实施例。该方法包括提供具有顶面的半导体衬底。形成具有内表面的从顶面延伸至半导体衬底的开口。开口具有顶部和底部。通过等离子体增强化学汽相沉积(PECVD)将第一介电衬里沉积在内表面上。通过共形沉积将第二介电衬里沉积在第一介电衬里上。通过PECVD将第三介电衬里沉积在第二介电衬里上。金属阻挡层沉积在第三介电衬里上。在三种介电衬里和金属阻挡层沉积之后用导电材料填充留下的开口。
尽管已经详细地描述了实施例及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变、替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该包括在这样的工艺、机器、制造、材料组分、装置、方法或步骤的范围内。

Claims (10)

1.一种半导体元件包括:
半导体衬底,所述半导体衬底具有顶面;
开口,所述开口从所述顶面延伸至所述半导体衬底中,其中所述开口包括内表面;
具有第一压缩应力的第一介电衬里,所述第一介电衬里设置在所述开口的所述内表面上;
具有拉伸应力的第二介电衬里,所述第二介电衬里设置在所述第一介电衬里上;
具有第二压缩应力的第三介电衬里,所述第三介电衬里设置在所述第二介电衬里上;
金属阻挡层,所述金属阻挡层设置在所述第三介电衬里上;以及导电材料,所述导电材料设置在所述金属阻挡层上并填充所述开口。
2.根据权利要求1所述的半导体元件,其中所述第一压缩应力和所述第二压缩应力的至少之一在100MPa至400MPa的范围内。
3.根据权利要求1所述的半导体元件,其中所述拉伸应力在50MPa至300MPa的范围内。
4.根据权利要求1所述的半导体元件,其中所述第一介电衬里在HF溶液中具有第一蚀刻速率,第二介电衬里在HF溶液中具有第二蚀刻速率,且所述第一蚀刻速率小于所述第二蚀刻速率。
5.根据权利要求1所述的半导体元件,其中所述第二介电衬里在HF溶液中具有第二蚀刻速率,且其中所述第三介电衬里在HF溶液中具有第三蚀刻速率,且所述第三蚀刻速率小于所述第二蚀刻速率。
6.一种半导体元件包括:
半导体衬底,所述半导体衬底具有顶面;
具有内表面的开口,所述开口从所述顶面延伸至所述半导体衬底中,其中所述开口具有顶部和底部;
第一介电衬里,所述第一介电衬里设置在所述开口的所述内表面上,所述第一介电衬里具有在所述顶部上的厚度T1和在所述底部上的厚度T2,其中R1是T1与T2的比值;
第二介电衬里,所述第二介电衬里设置在所述第一介电衬里上,所述第二介电衬里具有在所述顶部上的厚度T3和在所述底部上的厚度T4,其中R2是T3与T4的比值,且R1大于R2
第三介电衬里,所述第三介电衬里设置在所述第二介电衬里上,所述第三介电衬里具有在所述顶部上的厚度T5和在所述底部上的厚度T6,其中T5大于T6
金属阻挡层,所述金属阻挡层设置在所述第三介电衬里上;以及
导电材料,所述导电材料设置在所述金属阻挡层上并填充所述开口。
7.根据权利要求6所述的半导体元件,其中所述比值R1是约5至约20。
8.根据权利要求6所述的半导体元件,其中所述比值R2是约1至约5。
9.根据权利要求6所述的半导体元件,其中所述第一介电衬里在HF溶液中具有第一蚀刻速率,所述第二介电衬里在HF溶液中具有第二蚀刻速率,且所述第一蚀刻速率小于所述第二蚀刻速率,且其中所述第三介电衬里在HF溶液中具有第三蚀刻速率,且所述第三蚀刻速率小于所述第二蚀刻速率。
10.根据权利要求6所述的半导体元件,其中所述第一介电衬里具有第一压缩应力以及所述第三介电衬里具有第二压缩应力,且所述第一压缩应力和所述第二压缩应力的至少之一在100MPa至400MPa的范围内,或者其中所述第二介电衬里具有拉伸应力,且其中所述拉伸应力在50MPa至300MPa的范围内。
CN201210104185.1A 2011-04-13 2012-04-10 用于半导体衬底的贯穿硅通孔及其生产方法 Active CN102738119B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/085,668 2011-04-13
US13/085,668 US8487410B2 (en) 2011-04-13 2011-04-13 Through-silicon vias for semicondcutor substrate and method of manufacture

Publications (2)

Publication Number Publication Date
CN102738119A true CN102738119A (zh) 2012-10-17
CN102738119B CN102738119B (zh) 2015-01-14

Family

ID=46993332

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210104185.1A Active CN102738119B (zh) 2011-04-13 2012-04-10 用于半导体衬底的贯穿硅通孔及其生产方法

Country Status (2)

Country Link
US (6) US8487410B2 (zh)
CN (1) CN102738119B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104112704A (zh) * 2013-04-18 2014-10-22 国际商业机器公司 用于半导体器件的布线层的通孔
CN106463421A (zh) * 2014-07-08 2017-02-22 英特尔公司 穿体过孔衬垫沉积
CN111402945A (zh) * 2019-01-03 2020-07-10 爱思开海力士有限公司 不具有单元阵列的集成电路芯片和裸片测试
TWI708343B (zh) * 2017-03-29 2020-10-21 日商東芝記憶體股份有限公司 半導體裝置及其製造方法

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8487410B2 (en) * 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130037953A1 (en) * 2011-08-10 2013-02-14 Hsin-Yu Chen Through silicon via structure and manufacturing method thereof
US8617989B2 (en) * 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8803322B2 (en) * 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
US20130154106A1 (en) 2011-12-14 2013-06-20 Broadcom Corporation Stacked Packaging Using Reconstituted Wafers
US8609529B2 (en) * 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
KR101867961B1 (ko) * 2012-02-13 2018-06-15 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
FR2987937B1 (fr) * 2012-03-12 2014-03-28 Altatech Semiconductor Procede de realisation de plaquettes semi-conductrices
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP6435860B2 (ja) 2012-11-05 2018-12-19 大日本印刷株式会社 配線構造体
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150187701A1 (en) 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US9287197B2 (en) * 2013-03-15 2016-03-15 Globalfoundries Singapore Pte. Ltd. Through silicon vias
KR102151177B1 (ko) * 2013-07-25 2020-09-02 삼성전자 주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
US9847315B2 (en) * 2013-08-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Packages, packaging methods, and packaged semiconductor devices
US9484325B2 (en) * 2013-10-09 2016-11-01 Invensas Corporation Interconnections for a substrate associated with a backside reveal
US9412719B2 (en) 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US10056353B2 (en) * 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9093503B1 (en) 2014-01-03 2015-07-28 International Business Machines Corporation Semiconductor chip with a dual damascene wire and through-substrate via (TSV) structure
US9455158B2 (en) 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US10163655B2 (en) * 2015-11-20 2018-12-25 Micron Technology, Inc. Through substrate via liner densification
US9786619B2 (en) * 2015-12-31 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
DE102016117031B4 (de) 2015-12-31 2024-02-22 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiterstruktur und Herstellungsverfahren davon
FR3070399B1 (fr) * 2017-08-29 2020-09-25 Kobus Sas Procede pour le depot d'un materiau isolant dans un via, etreacteur de cvd pulse mettant en oeuvre ce procede
US10361120B2 (en) * 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
DE102018102448B4 (de) 2017-11-30 2023-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung und Struktur leitfähiger Merkmale
US10903110B2 (en) * 2018-12-06 2021-01-26 Nanya Technology Corporation Method of forming fine interconnection for a semiconductor device
US20200185345A1 (en) * 2018-12-07 2020-06-11 Nanya Technology Corporation Semiconductor device
KR20210017528A (ko) 2019-08-08 2021-02-17 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11270927B2 (en) * 2019-08-22 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming the same
DE102019006097A1 (de) * 2019-08-29 2021-03-04 Azur Space Solar Power Gmbh Passivierungsverfahren für ein Durchgangsloch einer Halbleiterscheibe
US11823989B2 (en) * 2020-07-17 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-liner TSV structure and method forming same
CN112599483B (zh) * 2020-12-11 2024-02-27 武汉新芯集成电路制造有限公司 半导体器件及其制作方法、芯片
WO2023204978A1 (en) * 2022-04-18 2023-10-26 Lam Research Corporation Conformal copper deposition on thin liner layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
US20050133930A1 (en) * 2003-12-17 2005-06-23 Sergey Savastisuk Packaging substrates for integrated circuits and soldering methods
CN101150096A (zh) * 2007-10-30 2008-03-26 日月光半导体制造股份有限公司 晶圆接合的可循环压印装置及其方法

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5327012A (en) * 1990-03-27 1994-07-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a double-layer interconnection structure
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
EP2270845A3 (en) 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
JP3606095B2 (ja) * 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
EP1472730A4 (en) 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res HOUSING FOR ELECTRONIC CIRCUITS WITH REDUCED SIZE
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
KR100464852B1 (ko) * 2002-08-07 2005-01-05 삼성전자주식회사 반도체 장치의 게이트 산화막 형성방법
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
CA2428744C (en) * 2003-01-24 2013-06-25 University Of Manitoba Inhibition of lysozyme in treating sepsis-induced myocardial dysfunction
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
KR100555539B1 (ko) * 2003-12-17 2006-03-03 삼성전자주식회사 고밀도 플라즈마 화학기상증착 공정에 의한 갭 충전방법및 그 충전방법을 포함하는 집적 회로 소자의 제조방법
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US7700474B2 (en) * 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US7033940B1 (en) * 2004-03-30 2006-04-25 Advanced Micro Devices, Inc. Method of forming composite barrier layers with controlled copper interface surface roughness
US7009280B2 (en) * 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7271482B2 (en) * 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8105941B2 (en) * 2005-05-18 2012-01-31 Kolo Technologies, Inc. Through-wafer interconnection
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US8407634B1 (en) * 2005-12-01 2013-03-26 Synopsys Inc. Analysis of stress impact on transistor performance
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
KR100745360B1 (ko) * 2006-08-30 2007-08-02 동부일렉트로닉스 주식회사 박막들 사이의 부착력 향상 방법
KR100808601B1 (ko) * 2006-12-28 2008-02-29 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
EP2165362B1 (en) * 2007-07-05 2012-02-08 ÅAC Microtec AB Low resistance through-wafer via
JP5180598B2 (ja) * 2008-01-21 2013-04-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8138577B2 (en) * 2008-03-27 2012-03-20 Hong Kong Applied Science And Technology Research Institute Co., Ltd. Pulse-laser bonding method for through-silicon-via based stacking of electronic components
US8288274B2 (en) * 2008-04-21 2012-10-16 Hynix Semiconductor Inc. Method of forming noble metal layer using ozone reaction gas
JP2010010324A (ja) * 2008-06-26 2010-01-14 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP4818332B2 (ja) * 2008-08-12 2011-11-16 株式会社東芝 半導体装置、半導体装置の製造方法、及びカメラモジュール
KR20100021856A (ko) 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US8330256B2 (en) * 2008-11-18 2012-12-11 Seiko Epson Corporation Semiconductor device having through electrodes, a manufacturing method thereof, and an electronic apparatus
US7906404B2 (en) * 2008-11-21 2011-03-15 Teledyne Scientific & Imaging, Llc Power distribution for CMOS circuits using in-substrate decoupling capacitors and back side metal layers
US8053902B2 (en) 2008-12-02 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for protecting dielectric layers from degradation
US8344513B2 (en) 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US7960282B2 (en) * 2009-05-21 2011-06-14 Globalfoundries Singapore Pte. Ltd. Method of manufacture an integrated circuit system with through silicon via
JP2011119330A (ja) * 2009-12-01 2011-06-16 Renesas Electronics Corp 半導体集積回路装置の製造方法
CN102157483B (zh) * 2010-01-20 2015-11-25 精材科技股份有限公司 晶片封装体及其形成方法
KR101577718B1 (ko) * 2010-04-19 2015-12-16 삼성전자주식회사 반도체 소자 및 그 형성 방법
US20120056331A1 (en) * 2010-09-06 2012-03-08 Electronics And Telecommunications Research Institute Methods of forming semiconductor device and semiconductor devices formed by the same
US20120064713A1 (en) * 2010-09-10 2012-03-15 Tokyo Electron Limited Ultra-low-k dual damascene structure and method of fabricating
US8993434B2 (en) * 2010-09-21 2015-03-31 Applied Materials, Inc. Methods for forming layers on a substrate
US8609534B2 (en) * 2010-09-27 2013-12-17 International Business Machines Corporation Electrical fuse structure and method of fabricating same
US8890324B2 (en) * 2010-09-28 2014-11-18 Freescale Semiconductor, Inc. Semiconductor structure having a through substrate via (TSV) and method for forming
US8492241B2 (en) * 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US9059262B2 (en) * 2011-02-24 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including conductive structures through a substrate and methods of making the same
US8487410B2 (en) * 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US20120264297A1 (en) * 2011-04-14 2012-10-18 United Microelectronics Corp. Method for creating via in ic manufacturing process
US20130037953A1 (en) * 2011-08-10 2013-02-14 Hsin-Yu Chen Through silicon via structure and manufacturing method thereof
JP5925006B2 (ja) * 2012-03-26 2016-05-25 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US9105628B1 (en) * 2012-03-29 2015-08-11 Valery Dubin Through substrate via (TSuV) structures and method of making the same
US9330975B2 (en) * 2012-05-31 2016-05-03 Micron Technology, Inc. Integrated circuit substrates comprising through-substrate vias and methods of forming through-substrate vias
US8900996B2 (en) * 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US9082719B2 (en) * 2012-10-19 2015-07-14 Infineon Technologies Ag Method for removing a dielectric layer from a bottom of a trench
US9076785B2 (en) * 2012-12-11 2015-07-07 Invensas Corporation Method and structures for via substrate repair and assembly
TWI520286B (zh) * 2013-09-13 2016-02-01 華亞科技股份有限公司 具矽穿孔之半導體裝置
US9704798B2 (en) * 2013-12-20 2017-07-11 Intel Corporation Using materials with different etch rates to fill trenches in semiconductor devices
JP6507860B2 (ja) * 2015-06-01 2019-05-08 富士電機株式会社 半導体装置の製造方法
EP3718142A4 (en) * 2017-11-30 2021-09-22 Intel Corporation STRUCTURING RIBS FOR THE PRODUCTION OF AN INTEGRATED CIRCUIT

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
US20050133930A1 (en) * 2003-12-17 2005-06-23 Sergey Savastisuk Packaging substrates for integrated circuits and soldering methods
CN101150096A (zh) * 2007-10-30 2008-03-26 日月光半导体制造股份有限公司 晶圆接合的可循环压印装置及其方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104112704A (zh) * 2013-04-18 2014-10-22 国际商业机器公司 用于半导体器件的布线层的通孔
CN106463421A (zh) * 2014-07-08 2017-02-22 英特尔公司 穿体过孔衬垫沉积
TWI708343B (zh) * 2017-03-29 2020-10-21 日商東芝記憶體股份有限公司 半導體裝置及其製造方法
CN111402945A (zh) * 2019-01-03 2020-07-10 爱思开海力士有限公司 不具有单元阵列的集成电路芯片和裸片测试
CN111402945B (zh) * 2019-01-03 2023-09-29 爱思开海力士有限公司 不具有单元阵列的集成电路芯片和裸片测试
US12009043B2 (en) 2019-01-03 2024-06-11 SK Hynix Inc. Integrated circuit chip and die test without cell array

Also Published As

Publication number Publication date
US20140015146A1 (en) 2014-01-16
US20130193578A1 (en) 2013-08-01
US9418923B2 (en) 2016-08-16
US20190067107A1 (en) 2019-02-28
US20210005515A1 (en) 2021-01-07
US10784162B2 (en) 2020-09-22
US20120261827A1 (en) 2012-10-18
US11545392B2 (en) 2023-01-03
US8487410B2 (en) 2013-07-16
CN102738119B (zh) 2015-01-14
US8575725B2 (en) 2013-11-05
US10115634B2 (en) 2018-10-30
US20160329245A1 (en) 2016-11-10

Similar Documents

Publication Publication Date Title
CN102738119B (zh) 用于半导体衬底的贯穿硅通孔及其生产方法
JP4836055B2 (ja) 集積回路デバイスとその製造方法
CN102420210B (zh) 具有硅通孔(tsv)的器件及其形成方法
US9196670B2 (en) Through substrate features in semiconductor substrates
CN101375388B (zh) 金属线之间的自对准沟槽的集成
US7544605B2 (en) Method of making a contact on a backside of a die
CN109166837A (zh) 半导体器件和制造方法
JP2019507960A (ja) 低静電容量の基板貫通ビア構造体
CN102856247A (zh) 一种背面硅通孔制作方法
CN104617035A (zh) 半导体器件的形成方法
CN108183087B (zh) 用于形成应力降低装置的方法
CN103633041A (zh) 半导体器件和制造该半导体器件的方法
TWI707401B (zh) 基本原則區域中完全對準介層窗
US8563432B2 (en) Method for forming through silicon via structure
KR100591179B1 (ko) 반도체 소자의 금속 배선 형성 방법
CN110577187B (zh) 电热薄膜层结构及制备方法
KR100613381B1 (ko) 반도체 소자의 금속 배선 형성 방법
CN117936452A (zh) 半导体结构制备方法及半导体结构
JP2024523978A (ja) 静電容量を増大させる裏面フローティング・メタル
CN102468265A (zh) 连接插塞及其制作方法
CN102437090A (zh) 无金属阻挡层的铜后道互连工艺
KR19980057850A (ko) 다층 금속 배선의 스택 플래그 형성 방법
KR20050000057A (ko) 반도체 소자의 구리배선 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant