CN101859694A - 成膜装置和成膜方法 - Google Patents

成膜装置和成膜方法 Download PDF

Info

Publication number
CN101859694A
CN101859694A CN201010145553A CN201010145553A CN101859694A CN 101859694 A CN101859694 A CN 101859694A CN 201010145553 A CN201010145553 A CN 201010145553A CN 201010145553 A CN201010145553 A CN 201010145553A CN 101859694 A CN101859694 A CN 101859694A
Authority
CN
China
Prior art keywords
gas
substrate
reaction
workbench
reacting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010145553A
Other languages
English (en)
Other versions
CN101859694B (zh
Inventor
加藤寿
本间学
菊地宏之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101859694A publication Critical patent/CN101859694A/zh
Application granted granted Critical
Publication of CN101859694B publication Critical patent/CN101859694B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种成膜装置和成膜方法。通过使载置有晶圆的旋转台绕铅垂轴线旋转,向晶圆的表面供给第一反应气体而使该反应气体吸附在晶圆的表面上,接下来,按照与该第一反应气体发生反应而生成具有流动性的中间产物的辅助气体以及与该中间产物发生反应而生成反应生成物的第二气体这样的顺序向晶圆的表面供给,然后,通过加热灯加热晶圆而使反应生成物致密化。

Description

成膜装置和成膜方法
技术领域
本发明涉及一种在真空容器内按顺序向基板的表面供给相互反应的多种反应气体并且实施该供给循环、由此层叠反应生成物层而形成薄膜的成膜装置和成膜方法。
背景技术
随着半导体器件图案的微细化,在填埋半导体晶圆上所形成的沟槽等凹部的工序中,要求良好的填埋特性。因此,对于纵横比高的凹部,已知有利用CVD(化学气相堆积)法堆积薄膜来填埋凹部后,例如利用退火处理使薄膜流动而填塞在内部所形成的空洞的方法。但是,为了使已经成膜的薄膜流动而填塞在凹部内所形成的空洞,需要高的加热温度和长的处理时间。因此,该操作是生产率降低的原因之一,此外,也有可能对已经形成的器件结构赋予长的受热过程。
另一方面,作为CVD法以外的成膜方法,已知有通过在真空气氛下对晶圆按顺序供给至少两种反应气体来形成薄膜的方法,该方法例如被称作ALD(原子层堆积)、MLD(分子层堆积)等。该成膜方法,能够根据循环次数高精度地控制膜厚,并且能够提供膜的面内均匀性高的膜。此外,由于该成膜方法依次层叠反应生成物,所以能够提高所堆积的膜的密度,并且该堆积是能够反映底层的形状(保形:conformal)的堆积。
用于实施ALD法的装置例如被专利文献1~8公开。下面,对这些装置进行概略地说明。即,在该装置的真空容器内设置有载置台和多个气体供给部,该载置台用于在周向(旋转方向)上并列载置多张晶圆,该多个气体供给部以与该载置台相对的方式设置在真空容器的上部,用于将处理气体(反应气体)供给到晶圆上。
接下来,对载置在载置台上的晶圆进行加热,并且使载置台和上述气体供给部绕铅垂轴线相对旋转。此外,在从多个气体供给部向晶圆的表面分别供给例如已述的第一反应气体和第二反应气体的同时,在供给反应气体的气体供给部彼此之间设置有物理分隔壁,或者喷吹惰性气体作为气帘,由此,在真空容器内划分出由第一反应气体形成的处理区域和由第二反应气体形成的处理区域。
这样,虽然向共用的真空容器内同时供给多种反应气体,但以这些反应气体在晶圆上并不混合的方式形成各处理区域,因此,能够隔着上述分隔壁或气帘对载置台上的晶圆按顺序供给例如第一反应气体和第二反应气体。因此,例如在每次切换供给到真空容器内的反应气体的种类时无需置换真空容器内的气氛,而且能够高速切换供给到晶圆上的反应气体,因此,能够快速地进行ALD。
专利文献9中记载了这样的一种技术,即:在利用ALD法形成SiO2绝缘膜时,在供给Si原料气体之后,供给臭氧气体,接下来供给水蒸气。
专利文献1:美国专利公报6,634,314号
专利文献2:日本特开2001-254181号公报:图1和图2
专利文献3:日本特许3144664号公报:图1、图2、权利要求1
专利文献4:日本特开平4-287912号
专利文献5:美国专利公报7,153,542号:图8(a)、(b)
专利文献6:日本特开2007-247066号公报:段落0023~0025、0058以及图12和图18
专利文献7:美国专利公开公报2007-218701号
专利文献8:美国专利公开公报2007-218702号
专利文献9:日本特开2006-269621:段落0018、图1
由于ALD法(或MLD法)能够进行保形堆积,因此与在凹部的上部产生悬空(ove rhang)形状(或者,“面包块(breadloaf)”形状)的化学气相堆积(CVD)法相比,填埋性优异。但是,在填埋纵横比非常大的凹部或具有倒楔形形状的凹部的情形下,即使是ALD法,也可能产生空洞(空隙)。因此,希望能够开发出即使在上述的凹部也能降低空洞的ALD法。此外,在这种ALD法中,也需要有效地降低例如薄膜中所含有的有机物等杂质。在上述专利文献1~9中,没有公开满足上述要求的技术。
发明内容
本发明是基于上述课题而做出的。本发明提供了一种成膜装置和成膜方法,该成膜装置和成膜方法在真空容器内按顺序向基板的表面供给相互反应的至少两种反应气体,并且实施该供给循环,由此层叠反应生成物层而形成薄膜,此时能够得到对凹部的填埋特性良好、杂质少的膜。
本发明的第一技术方案提供一种成膜装置,其在真空容器内的工作台上载置有基板,并且通过使工作台与分别供给相互反应的至少两种反应气体的多个反应气体供给部件相对旋转,按顺序向基板供给至少两种反应气体,并且实施该供给循环,由此,层叠反应生成物的层而形成薄膜。该成膜装置包括:基板载置区域,其设置在真空容器内的工作台的表面上,用于载置基板;旋转机构,其用于使工作台与多个反应气体供给部件相对旋转,使得基板按顺序位于从多个反应气体供给部件分别供给反应气体的多个处理区域;第一反应气体供给部件,其与工作台上的基板载置区域相对设置,用于向基板上供给第一反应气体而使第一反应气体吸附在基板上;辅助气体供给部件,其与工作台上的基板载置区域相对设置,并且在工作台的周向上与第一反应气体供给部件隔开间隔地设置在第一反应气体供给部件的工作台相对于多个气体供给部件进行相对旋转的相对旋转方向的下游侧,用于向基板上供给辅助气体,该辅助气体与吸附在基板上的第一反应气体发生反应而生成具有流动性的中间产物;第二反应气体供给部件,其与工作台上的基板载置区域相对设置,并且在工作台的周向上设置在辅助气体供给部件的相对旋转方向的下游侧,用于向基板上供给与基板上的中间产物发生反应而生成反应生成物的第二反应气体;加热部件,其与工作台上的基板载置区域相对设置,并且在工作台的周向上设置在第二反应气体供给部件的相对旋转方向的下游侧并且设置在第一反应气体供给部件的相对旋转方向的上游侧,其用于加热基板,以使反应生成物致密。
本发明的第二技术方案提供一种成膜方法,其在真空容器内的工作台上载置有基板,并且通过使工作台与分别供给相互反应的至少两种反应气体的多个反应气体供给部件相对旋转,按顺序向基板供给至少两种反应气体,并且实施该供给循环,由此,层叠反应生成物的层而形成薄膜。该成膜方法包括:将基板载置在设置于真空容器内的工作台的基板载置区域,使该工作台与多个反应气体供给部件相对旋转的工序;从第一反应气体供给部件向基板的表面供给第一反应气体,使第一反应气体吸附在该基板的表面上的工序,该第一反应气体供给部件与工作台上的基板载置区域相对设置;从辅助气体供给部件向基板的表面供给辅助气体,使该辅助气体与吸附在该基板的表面上的第一反应气体反应而生成具有流动性的中间产物的工序,该辅助气体供给部件与工作台上的基板载置区域相对设置,并且在工作台的周向上与第一反应气体供给部件隔开间隔地设置在第一反应气体供给部件的工作台相对于多个气体供给部件进行相对旋转的相对旋转方向的下游侧;从第二反应气体供给部件向基板的表面供给第二反应气体,使该第二反应气体与基板上的中间产物反应而生成反应生成物的工序,该第二反应气体供给部件与工作台上的基板载置区域相对设置,并且在工作台的周向上设置在辅助气体供给部件的相对旋转方向的下游侧;利用加热部件对基板进行加热而使反应生成物致密化的工序,该加热部件与工作台上的基板载置区域相对设置,在工作台的周向上设置在第二反应气体供给部件的相对旋转方向的下游侧并且设置在第一反应气体供给部件的相对旋转方向的上游侧。
本发明的第三技术方案提供一种存储介质,其用于存储成膜装置所使用的计算机程序,该成膜装置如下所述:在真空容器内的工作台上载置有在表面上形成有凹部的基板,并且,通过使工作台与分别供给相互反应的至少两种反应气体的多个反应气体供给部件相对旋转,向基板按顺序供给至少两种反应气体,并且实施该供给循环,由此,层叠反应生成物层而形成薄膜。该计算机程序编入有实施第二实施方式的成膜方法的步骤。
附图说明
图1是本发明实施方式的成膜装置的纵剖视图。
图2是表示图1的成膜装置的内部概略结构的立体图。
图3是图1的成膜装置的横剖俯视图。
图4是表示图1的成膜装置中的处理区域和分离区域的纵剖视图。
图5是图1的成膜装置的横截面的放大图。
图6是图1的成膜装置的横截面的放大图。
图7是图1的成膜装置的横截面的放大图。
图8是表示图1的成膜装置的局部的立体图。
图9是表示图1的成膜装置中的吹扫气体的流动的示意图。
图10是图1的成膜装置的局部剖立体图。
图11是表示图1的成膜装置中进行成膜处理的基板的纵截面的示意图。
图12是表示在图1的成膜装置中对基板进行成膜处理的情形的示意图。
图13是表示在图1的成膜装置中进行成膜处理后的基板的示意图。
图14是表示图1的成膜装置中的气体的流动的示意图。
图15是表示图1的成膜装置的另一实施方式的示意图。
图16是表示本发明另一实施方式中的等离子体喷射器的一个例子的立体图。
图17是表示图17的等离子体喷射器的纵剖视图。
图18是表示另一实施方式的成膜装置的俯视图。
图19是表示本发明实施方式的成膜装置中的凸状部的概略图。
图20是表示本发明实施方式的成膜装置中的气体喷嘴等其他配置的俯视图。
图21是表示本发明其他实施方式的成膜装置的俯视图。
图22是表示图21的成膜装置的概略图。
图23是表示图21的成膜装置的俯视图。
图24是表示图21的成膜装置剖切立体图。
图25是表示应用了本发明实施方式的成膜装置的基板处理装置的俯视图。
具体实施方式
根据本发明的一实施方式,在所谓的ALD法(或MLD法)中,在形成有基板载置区域的工作台的周向配列有:向基板上供给第一反应气体并使该第一反应气体吸附在基板上的处理区域;供给用于与吸附在基板上的第一反应气体反应而生成具有流动性的中间产物的辅助气体的处理区域;供给与中间产物反应而生成反应生成物的第二反应气体的处理区域;以及用于进行退火处理而使反应生成物致密的加热区域,工作台与反应气体供给部等相对旋转,从而使基板载置区域按顺序位于上述区域。因此,在ALD法中能够使阶段性层叠的中间产物、反应生成物的层在各阶段流动,因而,可使中间产物、反应生成物快速地流动。结果,能获得良好的填埋特性。此外,在ALD法中在各阶段对阶段性层叠的中间产物、反应生成物的层进行加热,因此,能获得杂质浓度较低的膜质良好的薄膜。
此外,若在各个循环中使中间产物、反应生成物的层流动,则使流动量变少就可以,利用上述相对旋转,即使基板在供给辅助气体的处理区域停留的时间较短,也能够使中间产物、反应生成物充分地流动。
下面,参照附图,对本发明的非限定性的例示的实施方式进行说明。在所有附图中,对相同或相应的部件或零件标注相同或相应的附图标记,并省略重复的说明。
第一实施方式
如图1~图3所示,本发明的第一实施方式的成膜装置包括:扁平的真空容器1,其平面(俯视)形状大致呈圆形;旋转台2,其设置在该真空容器1内,且在该真空容器1的中心具有旋转中心。真空容器1包括:容器主体12,其大致呈杯型,用于收纳该旋转台2;顶板11,其形成为圆板状,用于气密地封闭该容器主体12的上表面的开口。该顶板11夹着呈环状设置在容器主体12的上表面的周缘部的密封构件(例如,O型密封圈13)与容器主体12侧气密连接,并能利用未图示的开闭机构升降而进行开闭。
旋转台2的中心部被固定在圆筒状的芯部21上,该芯部21被固定在沿铅垂方向延伸的旋转轴22的上端。该旋转轴22贯穿真空容器1的底面部14,该旋转轴22的下端安装在作为旋转机构的驱动部23上,该旋转机构是使该旋转轴22绕铅垂轴线旋转的旋转机构,本实例中,使旋转轴22向顺时针方向旋转。旋转轴22和驱动部23被收纳在上表面开口的筒状壳体20内。设置在该壳体20的上表面上的凸缘部分气密地安装在真空容器1的底面部14的下表面,壳体20的内部气氛与外部气氛被分离。
如图2和图3所示,在旋转台2的表面部沿旋转方向(周向)设置有圆形状的凹部24,该凹部24用于载置多张(例如5张)作为基板的半导体晶圆(下面,称为“晶圆”)W。该凹部24利用旋转台2旋转而以该旋转台2的旋转中心为中心绕铅垂轴线公转。此外,为了方便起见,图3中只在1个凹部24中描绘有晶圆W。
图4是沿同心圆剖切旋转台2并横向展开来表示的展开图。如图4的(a)所示,凹部24具有比晶圆W的直径稍大的直径,例如大4mm,具有与晶圆W的厚度相同的深度。因此,当将晶圆W载置到凹部24中时,晶圆W的表面与旋转台2的表面(未载置有晶圆W的区域)一致。若晶圆W的表面与旋转台2的表面之间的高度差较大,则会在该高低差部分产生压力变化,因此,从使膜厚的面内均匀性一致的角度出发,优选使晶圆W的表面与旋转台2的表面(未载置有晶圆W的区域)高度一致。使晶圆W的表面与旋转台2的表面高度一致是指高度相同或两个表面的高度差在5mm以内,根据加工精度等,优选尽可能使两个表面的高度差趋近零。在凹部24的底面上形成有供例如后述的三根升降销16(参照图10)穿过的通孔(未图示),该升降销用于支承晶圆W的背面而使该晶圆W升降。
凹部24被设置为基板载置区域,用于对晶圆W进行定位并使晶圆W不会在随着旋转台2的旋转而产生的离心力的作用下飞出。基板载置区域不限于凹部,例如,也可沿晶圆W的周向并列设置多个在旋转台2的表面上对晶圆W的周缘进行导向的导向构件,或者在旋转台2上设置静电吸盘(chuck)等吸盘机构。在设置吸盘机构的情形下,通过吸盘机构的吸附而载置晶圆W的区域则成为基板载置区域。
如图2、图3和图5所示,在与旋转台2中凹部24的通过区域分别相对的上方位置,沿真空容器1的周向(旋转台2的旋转方向)隔开间隔地设置有:例如分别由石英构成的第一反应气体喷嘴31和第二反应气体喷嘴32;2根分离气体喷嘴41、42;辅助喷嘴200。本例中,从后述的输送口15沿顺时针方向(旋转台2的旋转方向)按照分离气体喷嘴41、第一反应气体喷嘴31、分离气体喷嘴42、辅助喷嘴200以及第二反应气体喷嘴32这样的顺序配置。这些喷嘴41、31、42、200、32从与该输送口15大致相对的位置到与输送口15的旋转方向上游侧接近的位置按顺序安装在真空容器1的侧壁上。反应气体喷嘴31、32、辅助喷嘴200以及分离气体喷嘴41、42,从例如真空容器1的外周壁朝旋转台2的旋转中心与晶圆W相对并水平地延伸,作为其基端部的气体导入件31a、32a、200a、41a、42a贯穿该外周壁。
反应气体喷嘴31、反应气体喷嘴32以及辅助喷嘴200分别为第一反应气体供给部件、第二反应气体供给部件以及辅助气体供给部件,分离气体喷嘴41、42为分离气体供给部件。这些喷嘴31、32、200、41、42安装在形成于真空容器1的侧壁的多个部位的通孔100内。此外,未安装有喷嘴31、32、200、41、42的通孔100被未图示的遮盖部件气密封闭。
此外,分别通过设有未图示的阀或流量调整部的气体供给管31b、32b,对反应气体喷嘴31、32分别供给作为第一反应气体的BTBAS(双叔丁基氨基硅烷,SiH2(NH-C(CH3)3)2)气体和作为第二反应气体的O3(臭氧)气体。通过设有未图示的阀或流量调整部的气体供给管200b,对辅助喷嘴200供给用于硅醇化的具有羟基(OH基)的辅助气体,例如,醇(R-OH,R:烷基)或纯水(H2O)或双氧水(H2O2),本实施例中,供给乙醇(C2H5OH)气体。此外,通过设有未图示的阀或流量调整部的气体供给管,对分离气体喷嘴41、41供给作为分离气体的N2气体(氮气)。
在反应气体喷嘴31、32上,在整个喷嘴的长度方向(旋转台2的半径方向)上以例如10mm的间距等间距地设置有用于向下方侧喷出反应气体的例如具有约0.5mm口径的气体喷出孔33。此外,在辅助喷嘴200上,在整个喷嘴的长度方向(旋转台2的半径方向)以例如10mm的间距等间距地设置有用于向下方侧喷出反应气体的例如具有约0.5mm口径的气体喷出孔201。在分离气体喷嘴41、42上,以例如约10mm左右的间距等间距地设置有用于向下方侧喷出分离气体的例如具有约0.5mm口径的气体喷出孔40。
反应气体喷嘴31、32的气体喷出孔33与晶圆W之间的距离例如为1~4mm,优选为2mm,辅助喷嘴200的气体喷出孔201与晶圆W之间的距离例如为1~4mm,优选为2mm。此外,分离气体喷嘴41、42的气体喷出孔40与晶圆W之间的距离例如为1~4mm,优选为3mm。反应气体喷嘴31的下方区域有时被称为用于使BTBAS气体吸附到晶圆W上的第一处理区域91,反应气体喷嘴32的下方区域有时被称为用于使O3气体吸附到晶圆W上的第二处理区域92。此外,辅助喷嘴200的下方区域成为用于使乙醇气体和吸附在晶圆W上的BTBAS气体反应而生成中间产物的辅助(处理)区域90。
分离气体喷嘴41、42用于形成分离区域D,该分离区域D用于使第一处理区域91、辅助区域90以及第二处理区域92分离,如图2~图4所示,该分离区域D中的真空容器1的顶板11上设置有凸状部4。凸状部4具有扇形的上表面形状,其顶部位于真空容器1的中心,圆弧位于沿着容器主体12的内周壁附近的位置。分离气体喷嘴41、42被收纳在槽部43内,该槽部43以在该凸状部4的圆的周向中央沿该圆的半径方向延伸的方式形成。即,从分离气体喷嘴41(42)的中心轴线到作为凸状部4的扇形的两缘(旋转台2的旋转方向上游侧的缘和下游侧的缘)的距离被设定为相等的长度。
此外,本实施方式中,槽部43将凸状部4二等分地形成,但其他实施方式中,例如也可这样形成槽部43:从槽部43来看,凸状部4中的旋转台2的旋转方向上游侧的部分比旋转方向下游侧部分宽。
因此,在分离气体喷嘴41、42中的旋转方向两侧存在作为凸状部4的下表面的例如平坦且低的顶面44(第一顶面),在该顶面44的旋转方向两侧存在比顶面44高的顶面45(第二顶面)。该凸状部4的作用在于形成作为狭窄的空间的分离空间,该狭窄的空间用于阻止第一反应气体和第二反应气体进入凸状部4和旋转台2之间,从而阻止这些反应气体混合。
即,以包括分离气体喷嘴41在内的分离区域D为例,阻止乙醇气体和O3气体从旋转台2的旋转方向上游侧进入,还阻止BTBAS气体从旋转方向下游侧进入。“阻止气体进入”是指,从分离气体喷嘴41喷出的作为分离气体的N2气体扩散到第一顶面44和旋转台2的表面之间,在该例中,吹到与第一顶面44相邻的第二顶面45的下方侧空间,由此,来自相邻空间的气体无法进入。此外,“气体无法进入”不是仅指完全不能从相邻空间进入凸状部4的下方侧空间,也指即使进入了一些,但能确保从两侧分别进入的乙醇气体、O3气体与BTBAS气体不在凸状部4内混合的状态,只要能够获得这种作用,就能够发挥分离区域D的作用,即,对辅助区域90的气氛、第一处理区域91的气氛和第二处理区域92的气氛进行分离的分离作用。因此,狭窄的空间的狭窄的程度被设定为狭窄的空间(凸状部4下方的空间)与相邻于空间的区域(在本实施例中,为第二顶面45下方的空间)之间的压力差为能确保“气体无法进入”的作用那样程度的大小,其具体尺寸可以说随凸状部4的面积等不同而不同。此外,吸附在晶圆W上的气体当然能够在分离区域D内通过,阻止气体进入是指阻止气相中的气体进入。在此,由于未在乙醇气体和O3气体之间设置分离区域D,因此,这两种气体在到达后述的排气口62之前相互混合,但不会对晶圆W带来恶劣影响。
此外,在旋转台2的旋转方向上,在第二反应气体喷嘴32的下游侧(第二反应气体喷嘴32与该第二反应气体喷嘴32的旋转方向下游侧的分离区域D之间)设置有沿旋转台2的半径方向延伸配置的作为加热部件的加热灯210。该加热灯210例如由棒状红外线灯构成,如图6所示,该加热灯210被设置在灯罩211内,该灯罩211以沿旋转台2的半径方向延伸的方式形成在真空容器1的顶部上。在该灯罩211的上部侧设有反射器215,在灯罩211的下表面侧设有用于气密地划分灯罩211内的气氛和真空容器1内的气氛的透光窗212。在该加热灯210的两端设有兼用作电极部的密封构件213、213,例如从真空容器1的顶板11的上方侧延伸的供电线214、214分别与该密封构件213、213相连接。该图6中的附图标记217是经由供电线214、214和密封构件213、213对该加热灯210供电的电源,附图标记216是从两侧对该加热灯210进行支承的支承构件。此外,该加热灯210被控制为基于未图示的热电偶等温度检测部的检测结果,能够将晶圆W加热成进行后述的加热处理(致密化处理)最合适的温度例如约100℃~约450℃、优选约350℃。此外,在上述图2中,省略了该灯罩211的描绘。
本实施方式的成膜装置中,在处理直径为300mm的晶圆W的情形下,凸状部4在从旋转台2的旋转中心朝外周侧离开140mm的部位(与后述的突出部5的边界部位)例如具有约为146mm的周向的长度(与旋转台2同心的圆的圆弧长度),在晶圆W的载置区域(凹部24)的最外侧部位例如具有约为502mm的周向的长度。此外,如图4的(a)所示,在外侧部位,从分离气体喷嘴41(42)的两侧分别位于左右的凸状部4的周向的长度看作L时,该长度L为246mm。
此外,如图4的(a)所示,凸状部4的下表面即顶面44距旋转台2的表面的高度h例如可以为0.5mm~10mm,优选约为4mm。在这种情况下,旋转台2的转速例如可以为约1rp m~约500rpm。为了确保分离区域D的分离功能,优选根据旋转台2的转速等并基于例如实验等设定凸状部4的大小、凸状部4的下表面(第一顶面44)与旋转台2的表面之间的高度h。此外,作为分离气体,不限于氮气(N2)气体,可采用氩(Ar)气体等惰性气体等,但本发明也不限于这种气体,例如也可以是氢(H2)气体等,只要是不影响成膜处理的气体,对气体的种类并没有特别的限定。
另一方面,在顶板11的下表面,沿芯部21的外周设有突出部5,该突出部5与旋转台2的比芯部21靠外周侧的部位相对。该突出部5与凸状部4中的旋转台2的旋转中心侧的部位连续地形成,该突出部5的下表面与凸状部4的下表面(顶面44)的高度相同。图2和图3表示将凸状部4留在真空容器1内的状态下卸下顶板11后的真空容器1的内部结构。此外,突出部5和凸状部4并不限于必须一体地形成,也可独立地形成。
如上所述,在真空容器1中,沿周向存在第一顶面44和比该顶面44高的第二顶面45。图1表示设置有高的顶面45的区域的纵截面,图7表示设置有低的顶面44的区域的纵截面。如图2和图7所示,扇形的凸状部4的周缘部(真空容器1的外缘侧的部位)以与旋转台2的外端面相对的方式呈“L”字状弯曲而形成弯曲部46。扇形的凸状部4设置在顶板11侧,且能从容器主体12卸下,因此,在弯曲部46的外周面与容器主体12之间存在微小的间隙。该弯曲部46也是基于如下目的而设置的,即,与凸状部4一样防止反应气体从两侧进入,从而防止两反应气体混合,弯曲部46的内周面和旋转台2的外端面之间的间隙与弯曲部46的外周面和容器主体12之间的间隙均被设定成与顶面44相对于旋转台2的表面之间的高度h相同的尺寸。在本实施例中,从旋转台2的表面侧区域来看,弯曲部46的内周面构成真空容器1的内周壁。
容器主体12的内周壁是在分离区域D中如图7所示那样接近于弯曲部46的外周面而铅垂形成的,在分离区域D以外的部位如图1所示那样例如从与旋转台2的外端面相对的部位开始围着底面部14(俯视)朝外方侧凹陷。之后,将由该凹处划定的区域称为第一排气区域E1和第二排气区域E2。在与第一处理区域91相连通的第一排气区域E1的下方设有第一排气口61(图1),在与第二处理区域92相连通的第二排气区域E2的下方设有第二排气口62(图3)。如所述的图1所示,第一排气口61和第二排气口62经由设有阀65的排气路63与例如作为真空泵的真空排气单元64相连接。
从上来看,这些排气口61、62是相对于分离区域D设置在旋转台2的旋转方向两侧,以使分离区域D可靠地起到分离作用。具体而言,从旋转台2的旋转中心来看,第一排气口61形成在第一处理区域91和例如在旋转方向下游侧与该第一处理区域91相邻的分离区域D之间,从旋转台2的旋转中心来看,第二排气口62形成在第二处理区域92和例如在旋转方向下游侧与该第二处理区域92相邻的分离区域D之间。该排气口61专用于对BTBAS气体进行排气,排气口62专用于对乙醇气体和O3气体进行排气。本实施例中,一个排气口61设置在第一反应气体喷嘴31和在旋转方向下游侧与该反应气体喷嘴31相邻的分离区域D的靠第一反应气体喷嘴31侧的边缘的延长线之间,另一个排气口62设置在第二反应气体喷嘴32和在旋转方向下游侧与该反应气体喷嘴32相邻的分离区域D的靠第二反应气体喷嘴32侧的边缘的延长线之间。即,第一排气口61设置在如图3中点划线所示的直线L1和直线L2之间,直线L1通过旋转台2的中心和第一处理区域91,直线L2通过旋转台2的中心以及与第一处理区域91的下游侧相邻的分离区域D的上游侧的缘,第二排气口62设置在如该图3中的双点划线所示的直线L3和直线L4之间,直线L3通过旋转台2的中心和第二处理区域92,直线L4通过旋转台2的中心以及与该第二处理区域92的下游侧相邻的分离区域D的上游侧的缘。
此外,在本实施方式中设置有两个排气口61、62,但也可在其他实施方式中设置三个排气口。例如,也可在包括分离气体喷嘴42在内的分离区域D和在旋转台2的旋转方向下游侧与该分离区域D相邻的第二反应气体喷嘴32之间设置追加的排气口。在这种情况下,也可以在辅助喷嘴200和第二反应气体喷嘴32之间的区域内设置排气口。此外,也可在辅助喷嘴200和第二反应气体喷嘴32之间的区域内形成分离区域D,以分别专用于对乙醇气体和O3气体进行排气。此外,也可设置四个以上的排气口。
此外,在本实施方式中,排气口61、62设置在比旋转台2低的位置,从真空容器1的内周壁和旋转台2的周缘之间的间隙对真空容器1进行排气,但在其他实施方式中,也可将排气口61、62设置在真空容器1的侧壁。此外,在将排气口61、62设置在真空容器1的侧壁上的情形下,也可将排气口61、62设置在比旋转台2高的位置上。由此,通过设置排气口61、62,旋转台2上的气体朝旋转台2的外侧流动,因此,与从与旋转台2相对的顶面进行排气的情形相比,在能抑制微粒卷扬这样的观点上是比较有利的。
如图1和图8所示,在旋转台2与真空容器1的底面部14之间的空间收容有作为加热部件的加热器单元7,该加热器单元隔着旋转台2将旋转台2上的晶圆W加热成按照工艺制程程序确定的温度。在旋转台2的周缘附近的下方侧,以在整个圆周围着加热器单元7的方式设置有罩构件71,用于划分从旋转台2的上方空间到排气区域E的气氛和收纳有加热器单元7的气氛。该罩构件71的上缘向外侧弯曲而形成凸缘形状,使该弯曲面与旋转台2的下表面之间的间隙减小,从而抑制气体从外方进入到罩构件71内。
比收纳有加热器单元7的空间(加热器单元收容空间)更靠近旋转中心的部位的底面部14在旋转台2的下表面的中心部附近与芯部21接近,底面部14与旋转台2的下表面之间形成狭窄的空间,另外,贯穿底面部14的旋转轴22的通孔的内周面与旋转轴22之间的间隙也变小,这些狭窄的空间与壳体20内连通。在壳体20中设置有吹扫气体供给管72,该吹扫气体供给管72用于向狭窄的空间内供给作为吹扫气体的N2气体并进行吹扫。另外,在真空容器1的底面部14上,在加热器单元7的下方侧位置的沿周向的多个部位设有用于对加热器单元7的配置空间进行吹扫的吹扫气体供给管73。
通过这样设置吹扫气体供给管72、73,如图9中用箭头所表示的吹扫气体的流动那样,用N2气体对从壳体20到加热器单元收容空间的空间进行吹扫,该吹扫气体从旋转台2与罩构件71之间的间隙经由排气区域E被从排气口61、62排出。由此,能够防止BTBAS气体或O3气体(乙醇气体)从已述的第一处理区域91和第二处理区域92中的一方经由旋转台2的下方向另一方蔓延,因此,该吹扫气体也起到了分离气体的作用。
另外,在真空容器1的顶板11的中心部连接有分离气体供给管51,将作为分离气体的N2气体供给到顶板11与芯部21之间的空间52。供给到该空间52内的分离气体经由突出部5与旋转台2之间的狭窄的间隙50沿着旋转台2的晶圆载置区域侧的表面向周缘喷出。在由该突出部5围成的空间中充满分离气体,因此,防止反应气体(BTBAS气体和O3气体(乙醇气体))经由旋转台2的中心部在第一处理区域91和第二处理区域92之间混合。即,该成膜装置能够说具有中心部区域C,该中心部区域C用于对第一处理区域91的气氛、第二处理区域92的气氛以及辅助区域90的气氛进行分离,其是由旋转台2的旋转中心部和真空容器1划分而形成的,被分离气体吹扫,并且沿着旋转方向形成有向旋转台2的表面喷出分离气体的喷出口。在此所谓的喷出口相当于突出部5与旋转台2的狭窄的间隙50。
并且,如图2、图3和图10所示,在真空容器1的侧壁上,形成有用于在外部输送臂10和旋转台2之间交接晶圆W的输送口15,该输送口15利用未图示的闸阀进行开闭。另外,旋转台2上的晶圆载置区域即凹部24在面对输送口15的位置与输送臂10之间进行晶圆W的交接,因此,在旋转台2的下方侧的与该交接位置相对应的部位上,设置有交接用的升降销16的升降机构(未图示),升降销16用于贯穿凹部24而从背面抬起晶圆W。
此外,在该成膜装置中,如已述的图1所示,具有由计算机构成的控制部80,该控制部80用于控制装置整体的动作。该控制部80具有CPU、存储器和处理程序。在该存储器中针对每个制程程序设有写入从喷嘴31、32、200、41、42供给的BTBAS气体、O3气体、乙醇气体和N2气体的流量、真空容器1内的处理压力、供给到加热器单元7和加热灯210的电流值(晶圆W的加热温度)等处理条件的区域。上述处理程序编入有命令,使得读取被写入该存储器的制程程序,按照制程程序向成膜装置的各部分发送控制信号,进行后述的各步骤来进行晶圆W的处理。该程序是通过硬盘、光盘、光磁盘、存储卡、软盘等作为存储介质的存储部85安装到控制部80内。
接下来,参照图11~图14对上述的第一实施方式的作用进行说明。首先,对通过成膜装置形成薄膜的晶圆W进行说明,在该晶圆W的表面上,平行地形成有多根例如沟状的凹部230,图11是表示形成有凹部230的晶圆W的表面部的局部的剖视图。该凹部230的纵横比是3~50左右。该凹部(图案)230是用于形成例如STI(Shallow Trench Isolation:浅沟隔离)结构,实际上是在硅基板上形成。此外,该图案是例如使用在晶圆W的上层所层叠的掩膜层例如通过光刻法工序等形成的,因此,由于光刻工序中的处理的误差等,在该凹部230上,有时形成上端侧的开口宽度比下端侧的开口宽度大的楔形部233、上端侧的开口宽度比下端侧的开口宽度小的倒楔形部234。在图11中,夸张地记载了这种凹部230的形状的偏差。
接下来,在下面说明对该晶圆W的成膜处理。首先,打开未图示的闸阀,利用输送臂10从成膜装置的外部经由输送口15将晶圆W交接到旋转台2的凹部24内。该交接是在凹部24停止在面临输送口15的位置上时、利用输送臂10将晶圆W搬入升降销16的上方位置,接下来,升降销16上升而接受该晶圆W。然后,输送臂10退避到真空容器1的外部,并且使升降销16下降而将晶圆W收纳在凹部24内。使旋转台2间歇地旋转来进行该晶圆W的交接,分别将晶圆W载置在旋转台2的5个凹部24内。接下来,以规定的转速例如240rpm使旋转台2向顺时针方向旋转,将阀65完全打开而将真空容器1内抽成真空,并且利用加热器单元7将晶圆W加热至设定温度例如350℃。此外,对加热灯210供电,使通过加热灯210的下方的晶圆W的仅最表面被加热到例如350℃以上。
接下来,从分离气体喷嘴41、42分别以例如10000sccm、10000sccm的流量向真空容器1内供给N2气体,并且也从分离气体供给管51和吹扫气体供给管72以规定的流量向中心部区域C和上述的狭窄的空间内供给N2气体,调整阀65而使真空容器1内维持规定的压力。接下来,从第一反应气体喷嘴31和第二反应气体喷嘴32分别以例如200sccm、10000sccm的流量向真空容器1内供给BTBAS气体和O3气体,并且从辅助喷嘴200以规定的流量例如100sccm向真空容器1内供给乙醇气体。
接下来,晶圆W利用旋转台2的旋转而按照第一处理区域91、辅助区域90和第二处理区域92这样的顺序通过。晶圆W通过第一处理区域91时,在该晶圆W的表面上吸附分子层是1层或多层的BTBAS气体。图12是表示氧化硅膜被填埋到倒楔形状的凹部230中的情形,图12的(a)为了方便理解而夸张地描绘了BTBAS气体的分子层241的厚度。接下来,在该晶圆W通过辅助区域90时,吸附在晶圆W的表面上的BTBAS气体的分子层241按照下面的反应式(1)进行反应(被硅醇化),生成叔丁胺(C H3C-NH2)和中间产物硅氧烷聚合物(-(Si-O)n-)。
BTBAS+C2H5OH→(-(Si-O)n-)+CH3C-NH2
(1)
该硅氧烷聚合物是簇状物,吸附在晶圆W上不牢固,因此,在晶圆W的表面(图案的内部)上,粘性高,易于流动。因此,如图12的(b)所示,吸附于凹部230内壁的硅氧烷聚合物在重力的作用下流动成下方侧变厚,因此,例如在呈倒楔形状的凹部230中,以内壁近乎铅垂的方式,底部扩展的程度得到缓和。此外,与该硅氧烷聚合物一起生成的有机物例如被气化而向着晶圆W的上方排出。
并且,在该晶圆W通过第二处理区域92时,上述硅氧烷聚合物在晶圆W的表面上被氧化,形成含有硅和氧的反应生成物,即,形成例如膜厚为0.1nm左右的氧化硅膜(SiO2膜)242。此外,与氧化硅膜242一同生成的有机物等杂质例如被气化而向着晶圆W的上方排出。此时,反应前的硅氧烷聚合物具有流动性,因此通过该循环形成的氧化硅膜242也同样地流动。接下来,晶圆W到达加热灯210的下方区域,但是由于旋转台2在旋转,因此晶圆W马上向下游侧移动。因此,从加热灯210向晶圆W供给辐射热的时间短,但是,晶圆W的表层部分迅速地上升到例如350℃,结果,在该循环中形成的氧化硅膜242中,在膜中形成大量的Si-O键,而Si-OH键变少,并且可以说是通过烧结而使结合变强,从而被致密化。然后,晶圆W从加热灯210的下方区域向下游侧移动,晶圆W的表层部例如在下游侧的分离区域D被喷射的N2气体进行降温,在如上所述那样底部扩展的程度得到缓和的状态下进行固化。
并且,晶圆W被加热灯210加热,即使在氧化硅膜242内残留有有机物等杂质,有机物等杂质也被气化而从氧化硅膜242脱离并排出。由此,通过使旋转台2的旋转(循环)进行多次例如20次,能够反复进行分子层241的生成、硅氧烷聚合物的生成和流动、反应生成物(氧化硅膜242)的形成和流动以及氧化硅膜242的结合的致密化,如图12的(c)所示,倒楔形形状被逐步缓和,如图12的(d)和图13所示,在凹部230内填埋有氧化硅膜242。如上所述,简单地说,作为反应生成物的氧化硅膜242通过在各个循环中进行硅醇化处理而流动,逐步缓和倒楔形形状,最后,不留空隙地填埋凹部230。此外,即使杂质混入到氧化硅膜242中的情形下,由于刚成膜后的氧化硅膜242如上所述那样非常地薄,能够使杂质快速地脱离。
在上述一系列工序中,在第一处理区域91和第二处理区域92以及辅助区域90之间供给N2气体,另外,也在中心部区域C上供给作为分离气体的N2气体,因此,如图14所示,BTBAS气体、O3气体以及乙醇气体之间不进行混合就被排出。此外,在分离区域D中,在弯曲部46与旋转台2的外端面之间的间隙如上所述那样变小,因此,BTBAS气体、O3气体和乙醇气体即使经由旋转台2的外侧也不混合。因此,第一处理区域91的气氛和第二处理区域92的气氛以及辅助区域90的气氛完全分离,BTBAS气体经由排气口61排出,O3气体和乙醇气体经由排气口62排出。结果,BTBAS气体、O3气体和乙醇气体无论在气氛中还是在晶圆W上都不会混合。
此外,在本实施例中,排气口61和62位于同配置有反应气体喷嘴31和32的第二顶面45的下方的空间相连通的排气区域E1和E2的下方,因此,第二顶面45的下方侧的空间的压力小于第一顶面44的下方侧的狭窄的空间及中心部区域C的各压力。其原因在于,狭窄的空间如下所述那样构成:配置有反应气体供给气体喷嘴31和32的第二顶面45的下方的空间(或处理区域P1及P2)与狭窄的空间之间的压力差通过高度h维持。
此外,利用N2气体对旋转台2的下方侧进行吹扫,因此,完全不用担心流入到排气区域E的气体不会钻过旋转台2的下方侧,例如完全不用担心BTBAS气体流入O3气体的供给区域。
此外,如上所述,在晶圆W按顺序通过各区域91、90、92时,晶圆W被配置在沿旋转台2的旋转方向的5处凹部24内,因此,也有在晶圆W形成分子层241前供给乙醇气体、O3气体的情况或者通过加热灯210进行加热的情况,但不会对成膜产生特别恶劣的影响。
这样一来,成膜处理结束时,停止供给气体,对真空容器1内进行真空排气,然后,停止旋转台2的旋转,通过与搬入各晶圆W时相反的动作,利用输送臂10按顺序搬出各晶圆W。
在此,作为处理参数的一个实例进行记载,在将直径为300mm的晶圆W作为被处理基板时,旋转台2的转速例如是1rpm~500rpm;来自真空容器1的中心部的分离气体供给管51的N2气体的流量例如是5000sccm。
根据上述实施方式,按顺序将两种反应气体(BTBAS气体和O3气体)供给到晶圆W的表面上而形成薄膜时,使BTBAS气体吸附在晶圆W上之后,供给O3气体之前供给乙醇气体,由此,对于分子层241能够获得流动性高的状态(硅氧烷聚合物)。因此,硅氧烷聚合物产生流动,另外,利用持续的O3气体进行氧化处理而生成的氧化硅膜242也产生流动,因此,氧化硅膜242填入凹部230的内部,即使凹部230是形成例如倒楔形形状的情况下,也能够在凹部230内不形成空洞(空隙)地填埋氧化硅膜242。因此,能够获得被良好地填埋的氧化硅膜242。
该成膜方法如上所述是按照ALD(MLD)法进行的方法,只要使按顺序层叠的氧化硅膜242(硅氧烷聚合物)依次流动即可,因此,在各循环中使氧化硅膜242流动的量很少,从而能够使氧化硅膜242快速地流动。因此,通过使旋转台2旋转,即使晶圆W在喷嘴200的下方区域停留的时间较短,如上所述那样倒楔形形状被逐步缓和,因此,能够达到没有空洞(空隙)地填埋,例如,在制造STI结构的器件时能够获得良好的绝缘特性。接下来,为了进行ALD,在使旋转台2旋转的各循环中进行硅醇化(流动),因此,没有进行硅醇化所引起的时间损失,能够维持高的生产率。
此外,即使在硅醇化前杂质混入到氧化硅膜242内,也由于在氧化硅膜242的膜厚极薄时使旋转台2旋转,在每次旋转时,利用加热灯210进行加热,能够快速地除去杂质,而且能够使氧化硅膜242致密化。
并且,如上所述,在旋转台2的旋转方向上配置有多个晶圆W,使旋转台2旋转而按顺序通过区域91、90、92来进行所谓的ALD(或MLD),因此,能够以高生产率进行成膜处理。并且,设有在旋转台2的旋转方向上的第一处理区域91、辅助区域90以及第二处理区域92之间具有低的顶面的分离区域D,并且,从由旋转台2的旋转中心部和真空容器1划分而成的中心部区域C向旋转台2的周缘喷出分离气体,反应气体与扩散到分离区域D的两侧的分离气体和从中心部区域C喷出的分离气体一起经由旋转台2的周缘与真空容器的内周壁之间的间隙排出,因此,能够防止两反应气体的混合,结果,能够进行良好的ALD处理。此外,完全不会或极力抑制在旋转台2上生成反应生成物,抑制微粒的产生。此外,本发明也适合于在旋转台2载置有1个晶圆W的情况。
此外,在上述实施例中,在旋转台2的每次旋转(每次循环)中利用加热灯210对反应生成物进行加热,但是,也可以是例如在每反复进行多次(例如20次)BTBAS气体的吸附、中间产物的生成和氧化硅膜242的生成,再利用加热灯210对反应生成物进行加热。
在这种情况下,在使旋转台2旋转多次而层叠多层反应生成物后,停止分离气体之外的各气体的供给,并且打开加热灯210,使旋转台2旋转1圈,使各晶圆W依次通过加热灯210的下方侧。通过该例,也能够良好地进行对各晶圆W上的凹部的填埋,而且,由于能够通过中断成膜而使旋转台2例如旋转1圈来进行回流,因此被进行回流消耗的时间极少,因此,该例中也能够维持高的生产率。而且,在如上所述那样进行多次反应生成物的层叠后进行加热处理的例子中,不限于在旋转台2的每次旋转中供给乙醇气体来生成中间产物,也可以在反应生成物层叠时停止供给乙醇气体,利用加热灯210进行加热处理,因此仅在使旋转台2旋转时供给乙醇气体。
第二实施方式
接下来,参照图15~图17对本发明的第二实施方式进行说明。在本实施方式中,如图15所示,在旋转台2的旋转方向上,在已述的第二反应气体喷嘴32和加热灯210之间设置有作为等离子体供给部件的等离子体喷射器250。
等离子体喷射器250具有由壳体构成的喷射器主体251。如图16、图17所示,在喷射器主体251内形成有被分隔壁252在长度方向上划分开的宽度不同的2个空间,一侧是用于使等离子体发生用的气体等离子化的作为气体活化用流路的气体活化室253,另一侧是用于向该气体活化室253供给等离子体发生用气体的作为气体导入用流路的气体导入室254。
在该图15~图17中,附图标记255表示气体导入喷嘴、附图标记256表示气体孔、附图标记257表示气体导入件、附图标记258表示接头部、附图标记259表示气体供给件。从气体导入喷嘴255导入的等离子体发生用气体从气体孔256喷出而供给到气体导入室254内,气体从该气体导入室254经由形成在分隔壁252的上部的缺口部271流到气体活化室253内。在气体活化室253内,2根由电介体构成的例如陶瓷制的鞘管272、272从气体活化室253的基端侧向顶端侧沿着分隔壁252延伸,在这些鞘管272、272的管内贯穿***有棒状电极273、273。这些电极273、273的基端侧引出到喷射器主体251的外部,在真空容器1的外部经由耦合器274与高频电源275连接。在喷射器主体251的底面上,沿着喷射器主体251的长度方向配列有气体喷出孔291,该气体喷出孔291用于向下方侧喷出在电极273、273之间的区域的等离子体发生部290被等离子体化而活化的等离子体。该喷射器主体251被配设成其顶端侧向旋转台2的中心部伸出的状态。在图15中,附图标记262~264表示阀、附图标记265~267表示流量调整部、附图标记268~270分别表示贮存等离子体发生用的气体例如氧气(O2)气体,氩(Ar)气体和氮气(N2)气体的气体源。
下面对本实施方式的作用进行说明。在本实施方式中,也同样在旋转台2上载置有5张晶圆W,使该旋转台2旋转,分别从各气体喷嘴31、32、200、41、42向晶圆W供给BTBAS气体、O3气体、乙醇气体和氮气,并且,如已述那样将吹扫气体供给到中心部区域C、旋转台2的下方的区域。并且,如已述那样对加热器单元7和加热灯210供电,对等离子体喷射器250供给等离子体发生用气体例如Ar气体,并且从高频电源275向等离子体发生部290(电极273、273)供给高频电力。
另一方面,真空容器1内是真空气氛,因此流入到气体活化室253的上方部的等离子体发生用气体处于被上述高频电力等离子化(活化)的状态而经由气体喷出孔291向晶圆W供给。
该等离子体通过第二处理区域92而到达形成有已述的氧化硅膜242的晶圆W,残留在氧化硅膜242内的碳成分、水分被气化而排出,或者硅与氧之间的结合变强。然后,该晶圆W通过加热灯210的下方区域,如已述那样氧化硅膜242的结合变得致密,由此,能够以凹部230内的倒楔形形状得到缓和的方式形成氧化硅膜242。
通过如此设置等离子体喷射器250,能够形成杂质比已述的第一实施方式更少、结合强度强的氧化硅膜242。
本实施例中,如上所述那样使用Ar气体作为等离子体发生用的气体,但是也可使用O2气体或N2气体代替该气体或者与该气体一同使用。在使用该Ar气体的情况下,能够得到在膜中形成SiO2键、消除Si-OH键这样的效果,在使用O2气体的情况下,能够得到促进未反应部分的氧化,减少膜中的C(碳)而提高电特性这样的效果。
在本实施方式中,也可以在每进行多次BTBAS气体的吸附、中间产物的生成和氧化硅膜242的生成,再对加热灯210供电来进行加热处理,或每层叠多层氧化硅膜242再进行等离子体的供给。
第三实施方式
接下来,参照图18对本发明的第三实施方式进行说明。在本实施方式中,为了使氧化硅膜242更加快速地流动(回流),在氧化硅膜242中混入硼(B)和磷(P)中的至少一种。作为具体的成膜装置,以已述的第一实施方式的成膜装置为例进行说明,则该成膜装置中设有作为第三反应气体供给部件的例如石英制的第三气体喷嘴150,该第三气体喷嘴150用于供给作为第三反应气体的含有上述硼和磷中的至少一种(例如磷)的化合物例如PH3(磷化氢)气体,该喷嘴150设置在旋转台2的旋转方向上的例如第二反应气体喷嘴32和加热灯210之间。
该喷嘴150与已述的各喷嘴31、32、200、41、42同样地构成,被安装成从真空容器1的外周壁向着旋转台2的旋转中心与晶圆W相对地水平延伸,其基端部的气体导入件151贯穿该外周壁。该喷嘴150构成为利用设有未图示的阀或流量调整部的气体供给管152供给上述PH3气体,在该喷嘴150的下方侧,设置有用于向下方侧的晶圆W喷出该反应气体的例如口径为0.5mm的气体喷出孔(未图示),该气体喷出孔朝着正下方并在整个喷嘴的长度方向上隔开例如10mm的间隔而以等间隔设置。该喷嘴150的气体喷出孔与晶圆W之间的距离例如是1~4mm,优选为2mm。在本例中,加热灯210对晶圆W加热的加热温度例如被设定为700℃~800℃左右。
下面对具有该喷嘴150的成膜装置的作用进行说明。如上所述,在旋转台2上载置有例如5张晶圆W,使该旋转台2旋转,并且从各喷嘴31、32、200、150、41、42供给各反应气体和分离气体,向中心部区域C和旋转台2的下方区域供给吹扫气体。并且,对通过了第二处理区域92而在表面上形成有氧化硅膜242的晶圆W供给上述的PH3气体,则该反应气体被吸入氧化硅膜242中。接下来,在加热灯210的下方,将吸入有第三气体的氧化硅膜242如上所述那样加热到700℃~800℃左右,PH3气体发生分解,由于分解而生成的氢分子从氧化硅膜242脱离而排出,并且例如磷被吸入到该氧化硅膜242中。氧化硅膜242容易被磷玻化,因此,氧化硅膜242发生回流(流动),能够进一步缓和倒楔形状的凹部230的底部扩展的程度。然后,与已述的例子同样地层叠多层氧化硅膜242。
作为该喷嘴150的配置位置,只要是在旋转台2的旋转方向上的第一反应气体喷嘴31和加热灯210之间即可。此外,例如也可将第一反应气体喷嘴31的气体供给管31b与气体供给管152相连接,从第一反应气体喷嘴31供给PH3气体和BTBAS气体的混合气体。此外,作为该第三反应气体,也可代替上述PH3气体或与该PH3气体一起供给含有硼的化合物(例如硼烷(BH3)、二硼烷(B2H6)或TMB(三甲基硼烷)气体),使氧化硅膜242中混入磷和硼中的至少一种。并且,在该例中,也可与加热灯210一起设置等离子体喷射器250。
在上述各例中,作为从辅助喷嘴200供给的辅助气体使用了乙醇气体,但是,也可使用其他的醇例如甲醇(CH3OH)等,或纯水(H2O)、双氧水(H2O2)等。换言之,只要是具有羟基(OH)的化合物气体即可。作为该辅助气体使用纯水的情形下,该纯水气体和吸附在晶圆W表面上的BTBAS气体,按照例如下面的(2)式进行反应而进行硅醇化。
BTBAS+H2O→(-SiO-)n+CH3C-NH2↑   (2)
在该反应中生成的中间产物(-SiO-)n与已述的硅氧烷聚合物同样地显示出流动性。此外,该(-SiO-)n与O3气体发生反应而生成的氧化硅膜242同样地显示出流动性,因此,该氧化硅膜能够流动而良好地填埋凹部230。
此外,在上述各例中,本实施方式适用于在表面上形成有凹部230的晶圆W,但是本实施方式也适用于形成有金属布线的晶圆W,也适用于平坦的晶圆W。在本实施方式适用于平坦的晶圆W的情况下,能够得到具有低杂质浓度及高密度的氧化硅膜。
此外,在真空容器1内,在中央部上易于升温,相反在周缘部难以升温,因此,也可在旋转台2的半径方向上将加热灯210划分成多个(例如3个)加热器,对这些加热器进行单独控制,例如使向周缘部侧供电的电量大于向中央部侧供电的电量。并且,作为该加热灯210,也可以与各喷嘴31、32、200、41、42或等离子体喷射器250同样地构成为从真空容器1的侧壁的通孔100向真空容器1的中心气密地***。
作为适于本发明使用的处理气体(第一反应气体),除了上述例子之外,能够列举出DCS[二氯硅烷]、HCD[六氯二硅烷]、TMA[三甲基铝]、3DMAS[三(二甲基氨基)硅烷]、TEMAZr[四二乙基氨基锆]、TEMHf[四乙基甲基氨基铪]、Sr(THD)2[双四甲基庚二酮酸锶]、Ti(MPD)(THD)[(甲基戊二酮酸)双(四甲基庚二酮酸)钛]、单氨基硅烷等。
此外,在分离区域D的顶面44上,优选相对于分离气体喷嘴41、42的旋转台2的旋转方向的上游侧部位越位于外缘的部位旋转方向的幅度越大。其理由在于,通过旋转台2的旋转,从上游侧向分离区域D的气体的流动越靠近外缘越快。从该观点出发,如上所述那样将凸状部4形成扇型是上策。
并且,如图19的(a)、(b)以分离气体喷嘴41为代表所示那样在将例如300mm直径的晶圆W作为被处理基板的情况下,优选形成分别位于分离气体喷嘴41(42)的两侧的狭窄的空间的第一顶面44在晶圆W的中心WO通过的部位的沿着旋转台2的旋转方向的长度L是50mm以上。为了有效地阻止反应气体从凸状部4的两侧进入凸状部4的下方(狭窄的空间),在长度L较短时,需要与之相对应使第一顶面44与旋转台2之间的高度h变小。并且,当将第一顶面44与旋转台2之间的高度h设定为一定值时,从旋转台2的旋转中心离开越远,旋转台2的速度越快,因此,为了得到阻止反应气体进入的效果所要求的长度L,从旋转中心离开越远,则越长。从该观点进行考察,则晶圆W的中心WO通过的部位的长度L小于50mm时,第一顶面44与旋转台2之间的高度h需要变得非常小,因此,为了防止在使旋转台2旋转时旋转台2或晶圆W与顶面44发生碰撞,需要极力抑制旋转台2的震动。此外,旋转台2的转速越大,则反应气体越容易从凸状部4的上游侧进入凸状部4的下方侧,因此,长度L小于50mm时,则必须降低旋转台2的转速,从生产率方面出发,这不是上策。因此,优选长度L是50mm以上,但是,即使在50mm以下也不是不能得到本发明的效果。即,长度L优选是晶圆W的直径的1/10~1/1,更优选是约1/6以上。
此外,本发明中,优选低的顶面44位于分离气体供给部件的旋转方向两侧,但是,也可不在分离气体喷嘴41、42两侧设置凸状部4,从分离气体喷嘴41、42向下方喷出N2气体而形成气帘,利用该气帘使处理区域91、92分离。
作为用于加热晶圆W的加热部件,不限于使用电阻发热体的加热器,也可以是灯加热装置;也可以将加热部件设置在旋转台2的上方侧来代替设置在旋转台2的下方侧,也可设置在旋转台2的上下两侧。此外,当上述反应气体发生的反应是在低温例如常温下发生的情况下,也可不设置上述加热部件。
在此,对上述实施方式之外的其他例子的处理区域91、92和分离区域的各种布局进行说明。如上所述,分离区域D也可以是在周向上将扇形凸状部4分割成2个,在这2个扇形凸状部4之间设置分离气体喷嘴41(42)。图20是以已述的第一实施方式的成膜装置为例来表示这种结构的一个例子的俯视图。在这种情况下,扇形凸状部4与分离气体喷嘴41(42)之间的距离、扇形凸状部4的大小等被设定成考虑到分离气体的喷出流量、反应气体的喷出流量等而能够有效地发挥分离区域D的分离作用。
在上述实施方式中,第一处理区域91和第二处理区域92相当于其顶面比分离区域D的顶面高的区域,在其他实施方式中,第一处理区域91和第二处理区域92中的至少一方也可以与分离区域D同样地在反应气体供给部件的旋转方向两侧与旋转台2相对设置,在与旋转台2之间形成用于阻止气体进入的空间,并且具有比相对于分离区域D位于旋转台2的旋转方向两侧的顶面(第二顶面45)低的顶面例如与分离区域D的第一顶面44相同高度的顶面。
此外,也可以在反应气体喷嘴31(32)的两侧设置低的顶面,在设置有分离气体喷嘴41(42)和反应气体喷嘴31(32)的位置以外,在与旋转台2相对的区域的整个面上设置凸状部4。
此外,也可改变各喷嘴31、32、200、41、42(310)的安装位置,反复进行多次下述循环:使各反应气体不混合地排出,并且在晶圆W的表面上吸附BTBAS,然后,利用乙醇气体生成中间产物,接下来,利用O3气体氧化中间产物。
第四实施方式
此外,作为上述各实施方式的成膜装置,形成了使旋转台2相对于气体供给***(喷嘴31、32、200、41、42)绕铅垂轴线旋转的结构,但是也可形成为使气体供给***相对于旋转台2绕铅垂轴线旋转的结构。即,只要是气体供给***和旋转台2相对旋转的结构即可。参照图21~图24对这样的具体的装置结构进行说明。此外,对于与已述的成膜装置相同的部位,使用相同的附图标记并且省略其说明。
在真空容器1内,配置作为工作台的基座300来代替旋转台2。在该基座300的底面中央连接有旋转轴22,在进行晶圆W的搬入搬出时,能够使基座300旋转。在该基座300上在整个周向上形成有多个(例如,5个)凹部24。
如图21~图23所示,已述的喷嘴31、32、200、41、42安装在设置于基座300的中央部的正上方的扁平的圆盘状芯部301上,基端部贯穿芯部301的侧壁。如下文所述,芯部301例如绕铅垂轴线向逆时针方向旋转,通过使芯部301旋转,能够使各气体供给喷嘴31、32、200、41、42在基座300的上方位置旋转。因此,例如如图22所示,喷嘴31、41、32、200和42俯视看来向逆时针方向旋转时,与图1所示的成膜装置同样,各基座300上的晶圆W相对地通过第一处理区域91、分离区域D、第二处理区域92、辅助区域90以及分离区域D。从基座300观察气体供给***(喷嘴31、32、200、41、42)时,有时将这些喷嘴31、32、200、41、42所面对的方向称为基座300的相对旋转方向下游侧,将喷嘴31、32、200、41、42所远离的方向称为相对旋转方向上游侧。此外,图22是表示卸掉了真空容器1(顶板11和容器主体12)以及固定在顶板11的上表面上的后述的套筒304后的状态。
此外,凸状部4被固定在上述芯部301的侧壁部,能够与各气体供给喷嘴31、32、200、41、42一起在基座300上旋转。如图22、图23所示,在芯部301的侧壁部设置有2个排气口61、62,2个排气口61、62设置在各反应气体供给喷嘴31、32的旋转方向上游侧,并且设置在上游侧所设置的凸状部4和芯部301的接合部附近的位置上。这些排气口61、62分别与后述的排气管302连接,起到从各处理区域91、92(90)排出反应气体和分离气体的作用。排气口61、62与已述的实例同样地设置在分离区域D的旋转方向两侧,专门用于各反应气体(BTBAS气体和O3气体(乙醇气体))的排气。
此外,加热灯210被设置在第二反应气体喷嘴32的相对旋转方向下游侧,其基端侧(旋转中心侧)连接在芯部301上,被支承成与各喷嘴31、32、200、41、42一起旋转。在这种情况下,省略了供电线214的图示,该供电线214例如在芯部301的中心部向上方延伸,经由后述的旋转筒303的旋转中心进行供电。此外,在该例中,加热灯210可设置在灯罩内,但可以省略灯罩。
如图21所示,在芯部301的上表面中央部连接有圆筒状的旋转筒303的下端部,使旋转筒303在固定于真空容器1的顶板11上的套筒304内旋转,由此,在真空容器1内能够使喷嘴31、32、200、41、42、加热灯210及凸状部4与芯部301一同旋转。芯部301内成为下面侧开放的空间。如图24所示,贯穿芯部301的侧壁的反应气体供给喷嘴31、32、200、分离气体供给喷嘴41、42在该空间中与供给BTBAS气体的第一反应气体供给管305、供给O3气体的第二反应气体供给管306、供给乙醇气体的第三反应气体供给管401、供给作为分离气体的N2气体的分离气体供给管307、308连接。
各供给管305~308、401在芯部301的旋转中心附近、具体地说是在后述的排气管302的周围弯曲成L字形而向上方延伸,贯穿芯部301的顶面,在圆筒状的旋转筒303内向着铅垂上方延伸。
如图21、图22及图23所示,旋转筒303具有将不同外径的2个圆筒分成上下两层堆积而成的外观形状,外径大的上层侧的圆筒的底面被支承在套筒304的上端面上,从而被安装在套筒304上。由此,旋转筒303从上表面侧看来以能够沿周向旋转的状态***到套筒304内,另一方面,旋转筒303的下端侧贯穿顶板11而与芯部301的上表面连接。
在顶板11的上方的旋转筒303的外周面侧,作为形成在该外周面的周向的整个圆周上的环状流路的气体扩散通路在上下方向上隔开一定间隔地配置。在图示的例子中,从上到下按照用于使分离气体(N2气体)扩散的分离气体扩散通路309、用于使BTBAS气体扩散的第一反应气体扩散通路310、用于使O3气体扩散的第二反应气体扩散通路311以及用于使乙醇气体扩散的第三反应气体扩散通路402这样的顺序配置。图16中,附图标记312表示旋转筒303的盖部,附图标记313表示使盖部312与旋转筒303密封的O型密封圈。
在各气体扩散通路309~311、402上,在旋转筒303的整个圆周上,在旋转筒303的外表面上设置有向套筒304的内表面开口的狭缝320、321、322、403,在各气体扩散通路309~311、402中经由这些狭缝320、321、322、403供给各种气体。另一方面,在覆盖旋转筒303的套筒304上,在与各狭缝320、321、322、403相对应的高度位置设置有作为气体供给口的气体供给件323、324、325、404,利用未图示的气体供给源向这些气体供给件323、324、325、404供给的气体经由向该各气体供给件323、324、325、404开口的狭缝320、321、322、403供给到各气体扩散通路309、310、311、402内。
在此,***到套筒304内的旋转筒303的外径在使旋转筒303能够旋转的范围内尽可能与套筒304的内径相近那样的大小,在各气体供给件323、324、325、404的开口部以外的区域,各狭缝320、321、322、403处于被套筒304的内周面堵塞的状态。结果,被导入到各气体扩散通路309、310、311、402的气体仅在气体扩散通路309、310、311、402内扩散,不会泄露到例如其他气体扩散通路309、310、311、402、真空容器1内、成膜装置的外部等。在图21中,附图标记326表示用于防止从旋转筒303与套筒304之间的间隙露气的磁密封件,这些磁密封件326也设置在各气体扩散通路309、310、311、402的上下,能够将各种气体可靠地密封在气体扩散通路309、310、311、402内,但是,为了方便在该图中省略了其记载。此外,在图24中,也省略了磁密封件326的记载。
如图24所示,在旋转筒303的内周面侧,在气体扩散通路309上连接有气体供给管307、308,在各气体扩散通路310、311上分别连接有已述的各气体供给管305、306。此外,在气体扩散通路402上连接有气体供给管401。由此,从气体供给件323供给的分离气体在气体扩散通路309内扩散,经由气体供给管307、308流向喷嘴41、42,此处,从各气体供给件324、325供给的各种反应气体分别在气体扩散通路310、311内扩散,经由气体供给管305、306流向各喷嘴31、32,供给到真空容器1内。此外,从气体供给件404供给的乙醇气体经由气体扩散通路402和气体供给管401,从喷嘴200供给到真空容器1内。此外,在图24中,为了便于图示,省略了后述的排气管302的记载。
如图24所示,分离气体扩散通路309上连接有吹扫气体供给管330,该吹扫气体供给管330在旋转筒303内向下方侧延伸,如图23所示那样朝向芯部301内的空间开口,能够将N2气体供给到该空间。在此,例如,如图21所示,芯部301被旋转筒303支承成芯部301的下表面位于距基座300的表面的例如已述的高度h的位置。由此,芯部301能够不与基座300产生干扰地旋转自如。但是,这样在基座300和芯部301之间存在间隙,则BTBAS气体或O3气体有可能例如从已述的处理区域P1、P2中的一方经由芯部301的下方向另一方蔓延。
因此,芯部301的内侧形成为空洞,该空洞的下表面侧朝向基座300开放,同时,从吹扫气体供给管330向空洞内供给吹扫气体(N2气体),经由间隙向各处理区域91、92喷出吹扫气体,由此,能够防止上述的反应气体的蔓延。即,该成膜装置具有中心部区域C,该中心部区域C是由基座300的中心部和真空容器1划分成的,用于使处理区域91、92的气氛分离,并沿着芯部301的旋转方向形成有向基座300的表面喷出吹扫气体的喷出口。在这种情况下,吹扫气体能够起到防止BTBAS气体或O3气体经由芯部301的下方向另一方蔓延的作用。另外,此处所述的喷出口相当于芯部301的侧壁与基座300之间的间隙。
再次参照图21,在旋转筒303的上层外径大的圆筒部的侧周面卷绕有驱动带335。利用驱动带335,配置在真空容器1上方的作为旋转机构的驱动部336的驱动力被传递到芯部301,由此,使套筒304内的旋转筒303旋转。此外,在图21中,附图标记337是用于将驱动部336保持在真空容器1的上方位置的保持部。
此外,如图21所示,在旋转筒303内,沿其旋转中心设置有排气管302。排气管302的下端部贯穿芯部301的上表面而伸出到芯部301内的空间,其下端面被封闭。另一方面,如图23所示,在该芯部301内延伸的排气管302的侧周面上例如设置有排气引入管341、342,排气引入管341、342在芯部301的侧周面上分别开口为排气口61、62。由此,能够不吸引芯部301内的吹扫气体就能将真空容器1内的气体向排气管302内吸引。
此外,如上所述,在图24中省略了排气管302的记载,在图24中记载的各气体供给管305、306、307、308以及吹扫气体供给管330被配置在该排气管302的周围。
如图16所示,排气管302的上端部贯穿旋转筒303的盖部312,与作为真空排气部件的真空泵343连接。另外,在图16中,附图标记344表示使排气管302可相对于下游侧的配管旋转地与下游侧的配管连接的回转接头。
对于使用该装置的成膜处理的流程,下面对其与已述的实施方式的作用的不同点进行简单地说明。首先,将晶圆W搬入到真空容器1内时,使基座300间歇地旋转,利用输送臂10与升降销16之间的协同作业,分别将晶圆W载置在5个凹部24中。
并且,相对于成膜装置进行氧化硅膜242的成膜处理时,使旋转筒303向逆时针方向旋转。即,如图24所示,设置在旋转筒303上的各气体扩散通路309~311、402随着旋转筒303的旋转而旋转,但是,设置在这些气体扩散通路309~311、402上的狭缝320~322、403的局部总是朝着分别与它们相对应的气体供给件323~325、404的开口部开口,由此向气体扩散通路309~311、402连续地供给相对应的气体。
供给到气体扩散通路309~311、402的相对应的气体经由与各个气体扩散通路309~311、402连接的气体供给管305~308、401,从反应气体供给喷嘴31、32、200、分离气体供给喷嘴41、42向各处理区域91、92、90、分离区域D供给。这些气体供给管305~308、401被固定在旋转筒303上,并且,反应气体供给喷嘴31、32、200和分离气体供给喷嘴41、42也隔着芯部301被固定在旋转筒303上,因此,这些气体供给管305~308、401和各气体供给喷嘴31、32、200、41、42也随着旋转筒303的旋转而旋转,并且将各种气体供给到真空容器1内。此外,加热灯210也与这些各喷嘴31、32、200、41、42一起旋转,在通过晶圆W的上方区域时,与已述的例子同样地对下方侧的晶圆W进行加热。
此时,从与旋转筒303一体旋转的吹扫气体供给管330也供给作为分离气体的N 2气体,由此,从中心区域C即从芯部301的侧壁部与基座300的中心部之间沿着基座300的表面喷出N2气体。此外,在本例中,排气口61、62位于沿着配置有反应气体喷嘴31、32的第二顶面45的下方侧的空间的芯部301的侧壁部上,因此,第二顶面45的下方侧的空间的压力小于第一顶面44的下方侧的狭窄的空间及中心区域C的各压力。因此,BTBAS气体、O3气体以及乙醇气体与已述的成膜装置同样不会相互混合地独立进行排气。
因此,从停止在基座300上的各个晶圆W来看,按顺序通过各处理区域91、90、92,如上所述,按照BTBAS气体的吸附、乙醇气体的供给、O3气体的氧化处理、加热处理这样的顺序进行。
在本实施方式中,同样良好地将氧化硅膜242填埋在凹部230内,得到同样的效果。此外,在这样的成膜装置中,也可设置第三反应气体喷嘴150,在这种情况下,这些喷嘴150与本实施方式中的各气体喷嘴31、32、200、41、42同样地与收纳在旋转筒303内的气体供给管连接,经由形成在套筒304上的狭缝供给第三反应气体。并且,也可以在该成膜装置101上设置已述的等离子体喷射器250。
接下来,图25表示了具有上述的成膜装置的基板处理装置的整体结构。在图25中,附图标记111表示收纳有例如25张晶圆W的称为前开式晶圆传送盒(FOUP)的密闭型输送容器;附图标记112表示配置有输送臂113的大气输送室;附图标记114、115表示能在大气气氛与真空气氛之间切换气氛的加载互锁真空室(预备真空室);附图标记116表示配置有2台输送臂117、117(已述的输送臂10)的真空输送室;附图标记118、119表示通过本发明的实施方式形成的成膜装置。
接下来,对该基板处理装置中的处理的流程进行说明。输送容器111从外部被输送到具有未图示的载置台的搬入搬出部,与大气输送室112连接后,通过未图示的开闭机构将盖打开,利用输送臂113从该输送容器111内取出晶圆W。接下来,晶圆W被输送到加载互锁真空室114(115)内,在将该室内气氛从大气气氛切换至真空气氛后,利用输送臂117搬入到成膜装置118、119中的一个中,进行已述的成膜处理。
采用上述基板处理装置,通过设置多个例如两个例如用于对5张晶圆进行处理的成膜装置,能以更高的生产率实施所谓的ALD(MLD)。
以上,对本发明的优选实施方式进行了描述,但本发明并不限于上述特定的实施方式,在权利要求书所记载的本发明的主旨的范围内,还能进行各种变型和变更。
本申请是基于2009年4月9日向日本国特许厅提出的申请号为特愿2009-095210的申请而提出的申请,本申请主张上述申请的优先权,并且本申请通过参照上述申请的全部内容而将上述申请包含于本申请中。

Claims (13)

1.一种成膜装置,其在真空容器内的工作台上载置有基板,并且通过使工作台与分别供给相互反应的至少两种反应气体的多个反应气体供给部件相对旋转,按顺序向基板供给至少两种反应气体,并且实施该供给循环,由此层叠反应生成物层而形成薄膜,其特征在于,其包括:
基板载置区域,其设置在真空容器内的工作台的表面上,用于载置基板;
旋转机构,其用于使工作台与多个反应气体供给部件相对旋转,使得基板按顺序位于从多个反应气体供给部件分别供给反应气体的多个处理区域;
第一反应气体供给部件,其与工作台上的基板载置区域相对设置,用于向基板上供给第一反应气体而使该第一反应气体吸附在基板上;
辅助气体供给部件,其与工作台上的基板载置区域相对设置,并且在工作台的周向上与第一反应气体供给部件隔开间隔地设置在第一反应气体供给部件的工作台相对于多个气体供给部件进行相对旋转的相对旋转方向的下游侧,用于向基板上供给辅助气体,该辅助气体与吸附在基板上的第一反应气体发生反应而生成具有流动性的中间产物;
第二反应气体供给部件,其与工作台上的基板载置区域相对设置,并且在工作台的周向上设置在辅助气体供给部件的相对旋转方向的下游侧,用于向基板上供给与基板上的中间产物发生反应而生成反应生成物的第二反应气体;
加热部件,其与工作台上的基板载置区域相对设置,且在工作台的周向上设置在第二反应气体供给部件的相对旋转方向的下游侧并且设置在第一反应气体供给部件的相对旋转方向的上游侧,其用于加热基板,以使反应生成物致密化。
2.根据权利要求1所述的成膜装置,其特征在于,
该成膜装置具有用于对分离区域分别供给分离气体的分离气体供给部件,从工作台的相对旋转方向看来,该分离区域分别设置在被供给第一反应气体的第一处理区域和被供给辅助气体的辅助处理区域之间、第一处理区域和被供给第二反应气体的第二处理区域之间,用于划分处理区域的气氛。
3.根据权利要求1所述的成膜装置,其特征在于,
加热部件是加热灯,该加热灯与工作台上的基板载置区域相对设置。
4.根据权利要求1所述的成膜装置,其特征在于,
该成膜装置具有控制部,该控制部输出控制信号,以便使载置有基板的工作台旋转,从第一反应气体供给部件、辅助气体供给部件和第二反应气体供给部件分别向基板按照第一反应气体、辅助气体和第二反应气体这样的顺序供给第一反应气体、辅助气体和第二反应气体,然后,在这些反应气体的每个供给循环中利用加热部件加热基板,由此,按照使第一反应气体吸附在基板上、生成中间产物、生成反应生成物、使反应生成物致密化这样的顺序反复进行多次使第一反应气体吸附在基板上、生成中间产物、生成反应生成物、使反应生成物致密化。
5.根据权利要求1所述的成膜装置,其特征在于,
该成膜装置具有控制部,该控制部输出控制信号,以便使载置有基板的工作台旋转,从第一反应气体供给部件、辅助气体供给部件和第二反应气体供给部件分别向基板按照第一反应气体、辅助气体和第二反应气体这样的顺序供给第一反应气体、辅助气体和第二反应气体,由此,按照在基板上吸附第一反应气体、生成中间产物、生成反应生成物这样的顺序反复进行多次在基板上吸附第一反应气体、生成中间产物、生成反应生成物,之后,利用加热部件加热基板使反应生成物致密化。
6.根据权利要求1所述的成膜装置,其特征在于,
该成膜装置具有等离子体供给部件,该等离子体供给部件与工作台上的基板载置区域相对设置,并且,在工作台的周向上设置在第二反应气体供给部件的相对旋转方向的下游侧并且设置在加热部件的相对旋转方向的上游侧,其用于对基板供给等离子体。
7.根据权利要求1所述的成膜装置,其特征在于,
该成膜装置具有第三反应气体供给部件,该第三反应气体供给部件为了使硼和磷中的至少一种混入到反应生成物内而与工作台上的基板载置区域相对设置,并且,在工作台的周向上设置在第一反应气体供给部件的相对旋转方向的下游侧并且设置在加热部件的相对旋转方向的上游侧,用于向基板的表面供给第三反应气体而使第三反应气体吸附在该基板的表面上。
8.一种成膜方法,其在真空容器内的工作台上载置基板,并且通过使工作台与分别供给相互反应的至少两种反应气体的多个反应气体供给部件相对旋转,按顺序向基板供给至少两种反应气体,并且实施该供给循环,由此,层叠反应生成物层而形成薄膜,其特征在于,其包括以下工序:
将基板载置在设置于真空容器内的工作台的基板载置区域,使该工作台与多个反应气体供给部件相对旋转的工序;
从与工作台上的基板载置区域相对设置的第一反应气体供给部件向基板的表面供给第一反应气体,使第一反应气体吸附在该基板的表面上的工序;
从辅助气体供给部件向基板的表面供给辅助气体,使该辅助气体与吸附在该基板的表面上的第一反应气体反应而生成具有流动性的中间产物的工序,其中,该辅助气体供给部件与工作台上的基板载置区域相对设置,并且在工作台的周向上与第一反应气体供给部件隔开设置地设置在第一反应气体供给部件的工作台相对于多个气体供给部件进行相对旋转的相对旋转方向的下游侧;
从第二反应气体供给部件向基板的表面供给第二反应气体,使该第二反应气体与基板上的中间产物反应而生成反应生成物的工序,其中,该第二反应气体供给部件与工作台上的基板载置区域相对设置,并且在工作台的周向上设置在辅助气体供给部件的相对旋转方向的下游侧;
利用加热部件对基板进行加热,使反应生成物致密化的工序,其中,该加热部件与工作台上的基板载置区域相对设置,在工作台的周向上设置在第二反应气体供给部件的相对旋转方向的下游侧并且设置在第一反应气体供给部件的相对旋转方向的上游侧。
9.根据权利要求8所述的成膜方法,其特征在于,
在使第一反应气体吸附的工序之前,还包括分别从分离气体供给部件向分离区域供给分离气体的工序,该分离区域用于划分处理区域之间的气氛,在从工作台的相对旋转方向上看来该分离区域分别设置在被供给第一反应气体的第一处理区域和被供给辅助气体的辅助处理区域之间以及第一处理区域和被供给第二反应气体的第二处理区域之间。
10.根据权利要求8所述的成膜方法,其特征在于,
使反应生成物致密化的工序是通过加热灯进行加热的工序,该加热灯与工作台上的基板载置区域相对设置。
11.根据权利要求8所述的成膜方法,其特征在于,
按照使第一反应气体吸附的工序、生成中间产物的工序、生成反应生成物的工序、使反应生成物致密化的工序这样的顺序反复进行多次这些工序。
12.根据权利要求8所述的成膜方法,其特征在于,
在使反应生成物致密化的工序之前,按照使第一反应气体吸附的工序、生成中间产物的工序、生成反应生成物的工序这样的顺序反复进行多次这些工序。
13.根据权利要求8所述的成膜方法,其特征在于,
在致密化工序之前,还包括为了使硼和磷中的至少一种混入到反应生成物内而从第三反应气体供给部件向基板的表面供给第三反应气体,使第三反应气体吸附在该基板的表面上的工序,其中,该第三反应气体供给部件与工作台上的基板载置区域相对设置,并且,在工作台的周向上设置在第一反应气体供给部件的相对旋转方向的下游侧并且设置在加热部件的相对旋转方向的上游侧。
CN201010145553.8A 2009-04-09 2010-04-08 成膜装置和成膜方法 Active CN101859694B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-095210 2009-04-09
JP2009095210A JP5131240B2 (ja) 2009-04-09 2009-04-09 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
CN101859694A true CN101859694A (zh) 2010-10-13
CN101859694B CN101859694B (zh) 2013-03-27

Family

ID=42934605

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010145553.8A Active CN101859694B (zh) 2009-04-09 2010-04-08 成膜装置和成膜方法

Country Status (5)

Country Link
US (1) US8882915B2 (zh)
JP (1) JP5131240B2 (zh)
KR (1) KR101314015B1 (zh)
CN (1) CN101859694B (zh)
TW (1) TWI441942B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102798468A (zh) * 2011-05-26 2012-11-28 东京毅力科创株式会社 温度测量装置、温度测量方法和热处理装置
CN103526183A (zh) * 2012-07-06 2014-01-22 东京毅力科创株式会社 成膜装置和成膜方法
CN103572259A (zh) * 2012-07-20 2014-02-12 东京毅力科创株式会社 成膜装置和成膜方法
CN103924220A (zh) * 2013-01-16 2014-07-16 东京毅力科创株式会社 成膜方法和成膜装置
CN105164791A (zh) * 2013-06-26 2015-12-16 应用材料公司 沉积金属合金膜的方法
CN105448637A (zh) * 2014-09-24 2016-03-30 株式会社日立国际电气 衬底处理装置、气体导入轴以及气体供给板
CN107706129A (zh) * 2016-08-09 2018-02-16 东京毅力科创株式会社 接合装置和接合***
CN115125515A (zh) * 2021-03-24 2022-09-30 株式会社国际电气 衬底处理方法、半导体器件的制造方法、记录介质及衬底处理装置

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9226407B2 (en) * 2002-07-01 2015-12-29 Semigear Inc Reflow treating unit and substrate treating apparatus
FR2882064B1 (fr) 2005-02-17 2007-05-11 Snecma Propulsion Solide Sa Procede de densification de substrats poreux minces par infiltration chimique en phase vapeur et dispositif de chargement de tels substrats
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
JP6049051B2 (ja) 2011-07-29 2016-12-21 日東電工株式会社 両面真空成膜方法
JP5963193B2 (ja) 2011-07-29 2016-08-03 日東電工株式会社 積層体の製造方法
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5712879B2 (ja) * 2011-09-22 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6024377B2 (ja) * 2012-10-18 2016-11-16 大日本印刷株式会社 ナノインプリントリソグラフィ用テンプレートブランク、その製造方法、および、ナノインプリントリソグラフィ用テンプレートの製造方法
CN104718608A (zh) * 2012-11-21 2015-06-17 Ev集团公司 用于容纳及安装晶片的容纳装置
KR101306627B1 (ko) 2012-12-03 2013-09-11 (주)대흥정밀산업 원자층 고속 증착장치
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2015205383A (ja) * 2014-04-23 2015-11-19 ファナック株式会社 位置決め機構を備えたワーク搬送装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP6407762B2 (ja) 2015-02-23 2018-10-17 東京エレクトロン株式会社 成膜装置
US10954597B2 (en) 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
FR3034434B1 (fr) * 2015-03-31 2021-10-22 Coating Plasma Ind Installation pour le traitement d'objets par plasma, et procede de mise en oeuvre de cette installation
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6547650B2 (ja) 2016-02-05 2019-07-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
CN116978818A (zh) * 2016-06-03 2023-10-31 应用材料公司 扩散腔室内部的气流的设计
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10410861B2 (en) * 2017-03-07 2019-09-10 Tokyo Electron Limited Method of filling retrograde recessed features
KR102545882B1 (ko) * 2017-04-11 2023-06-20 도쿄엘렉트론가부시키가이샤 역행 프로파일들을 갖는 리세스된 피처들을 보이드 없이 충전하는 방법
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
WO2020185401A1 (en) * 2019-03-11 2020-09-17 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP7446650B1 (ja) 2023-06-05 2024-03-11 株式会社シー・ヴィ・リサーチ 原子層堆積装置及び原子層堆積方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US20010007244A1 (en) * 2000-01-06 2001-07-12 Kimihiro Matsuse Film forming apparatus and film forming method
US20020153477A1 (en) * 2001-04-23 2002-10-24 Fujikoshi Machinery Corp. Work piece feeding machine and abrasive system
CN1446373A (zh) * 2000-08-11 2003-10-01 东京毅力科创株式会社 基片处理装置及处理方法
CN1979792A (zh) * 2005-12-06 2007-06-13 东京毅力科创株式会社 基板输送方法及基板输送装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
CN101076878A (zh) * 2004-12-16 2007-11-21 富祥艾德股份有限公司 薄膜沉积设备及方法
JP2008153409A (ja) * 2006-12-18 2008-07-03 Taiyo Nippon Sanso Corp 気相成長装置

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4920917A (en) * 1987-03-18 1990-05-01 Teijin Limited Reactor for depositing a layer on a moving substrate
JP2775648B2 (ja) * 1989-08-10 1998-07-16 アネルバ株式会社 Cvd方法
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JPH04246846A (ja) * 1991-02-01 1992-09-02 Fujitsu Ltd 半導体装置の製造方法
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JPH05234899A (ja) * 1991-09-17 1993-09-10 Hitachi Ltd 原子層エピタキシー装置
JPH05299412A (ja) * 1992-04-23 1993-11-12 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造法
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
JP3480416B2 (ja) * 2000-03-27 2003-12-22 セイコーエプソン株式会社 半導体装置
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
JP2006269621A (ja) 2005-03-23 2006-10-05 Mitsui Eng & Shipbuild Co Ltd Aldによる薄膜形成方法および装置
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
CN101426952A (zh) * 2006-04-18 2009-05-06 株式会社爱发科 成膜装置及阻挡膜的制造方法
US7615486B2 (en) * 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US20090066033A1 (en) * 2007-09-11 2009-03-12 General Electric Company Barrier sealing system
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5195175B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5280964B2 (ja) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) * 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5579009B2 (ja) * 2010-09-29 2014-08-27 東京エレクトロン株式会社 成膜装置および成膜方法
JP2012084598A (ja) * 2010-10-07 2012-04-26 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
JP5870568B2 (ja) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5640894B2 (ja) * 2011-05-26 2014-12-17 東京エレクトロン株式会社 温度測定装置、温度測定方法、記憶媒体及び熱処理装置
JP5630379B2 (ja) * 2011-05-26 2014-11-26 東京エレクトロン株式会社 温度測定装置、温度測定方法、記憶媒体及び熱処理装置
JP5630393B2 (ja) * 2011-07-21 2014-11-26 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5696619B2 (ja) * 2011-08-17 2015-04-08 東京エレクトロン株式会社 成膜装置
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
JP5780062B2 (ja) * 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712879B2 (ja) * 2011-09-22 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5712889B2 (ja) * 2011-10-07 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US20010007244A1 (en) * 2000-01-06 2001-07-12 Kimihiro Matsuse Film forming apparatus and film forming method
CN1446373A (zh) * 2000-08-11 2003-10-01 东京毅力科创株式会社 基片处理装置及处理方法
US20020153477A1 (en) * 2001-04-23 2002-10-24 Fujikoshi Machinery Corp. Work piece feeding machine and abrasive system
CN101076878A (zh) * 2004-12-16 2007-11-21 富祥艾德股份有限公司 薄膜沉积设备及方法
CN1979792A (zh) * 2005-12-06 2007-06-13 东京毅力科创株式会社 基板输送方法及基板输送装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP2008153409A (ja) * 2006-12-18 2008-07-03 Taiyo Nippon Sanso Corp 気相成長装置

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102798468A (zh) * 2011-05-26 2012-11-28 东京毅力科创株式会社 温度测量装置、温度测量方法和热处理装置
CN102798468B (zh) * 2011-05-26 2016-01-20 东京毅力科创株式会社 温度测量装置、温度测量方法和热处理装置
CN103526183A (zh) * 2012-07-06 2014-01-22 东京毅力科创株式会社 成膜装置和成膜方法
CN103572259B (zh) * 2012-07-20 2017-03-01 东京毅力科创株式会社 成膜装置和成膜方法
CN103572259A (zh) * 2012-07-20 2014-02-12 东京毅力科创株式会社 成膜装置和成膜方法
CN103924220A (zh) * 2013-01-16 2014-07-16 东京毅力科创株式会社 成膜方法和成膜装置
TWI630281B (zh) * 2013-06-26 2018-07-21 應用材料股份有限公司 沉積金屬合金膜之方法
CN105164791A (zh) * 2013-06-26 2015-12-16 应用材料公司 沉积金属合金膜的方法
US10036089B2 (en) 2013-06-26 2018-07-31 Applied Materials, Inc. Methods of depositing a metal alloy film
CN110592554A (zh) * 2013-06-26 2019-12-20 应用材料公司 沉积金属合金膜的方法
CN105448637A (zh) * 2014-09-24 2016-03-30 株式会社日立国际电气 衬底处理装置、气体导入轴以及气体供给板
CN107706129A (zh) * 2016-08-09 2018-02-16 东京毅力科创株式会社 接合装置和接合***
CN115125515A (zh) * 2021-03-24 2022-09-30 株式会社国际电气 衬底处理方法、半导体器件的制造方法、记录介质及衬底处理装置

Also Published As

Publication number Publication date
CN101859694B (zh) 2013-03-27
JP2010245448A (ja) 2010-10-28
KR101314015B1 (ko) 2013-10-01
TWI441942B (zh) 2014-06-21
KR20100112532A (ko) 2010-10-19
TW201109465A (en) 2011-03-16
US8882915B2 (en) 2014-11-11
US20100260935A1 (en) 2010-10-14
JP5131240B2 (ja) 2013-01-30

Similar Documents

Publication Publication Date Title
CN101859694B (zh) 成膜装置和成膜方法
CN101748387B (zh) 成膜装置
CN101859693B (zh) 基板处理装置和基板处理方法
JP5287592B2 (ja) 成膜装置
CN105200393B (zh) 成膜装置和成膜方法
JP5423529B2 (ja) 成膜装置、成膜方法及び記憶媒体
US20110155056A1 (en) Film deposition apparatus
JP5310283B2 (ja) 成膜方法、成膜装置、基板処理装置及び記憶媒体
KR101407112B1 (ko) 반도체 처리용 성막 장치
JP5375853B2 (ja) 成膜装置、成膜方法及び記憶媒体
US20120222615A1 (en) Film deposition apparatus
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
US20100068383A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
KR102010633B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR101373946B1 (ko) 성막 장치
CN101660138A (zh) 活化气体注入装置、成膜装置和成膜方法
US10358720B2 (en) Substrate processing apparatus
KR20100028499A (ko) 성막 장치, 기판 처리 장치 및 회전 테이블
CN101736318A (zh) 成膜装置
JP2013055356A (ja) 基板処理装置、基板処理方法及び記憶媒体
CN101665920A (zh) 成膜装置、基板处理装置及旋转台
WO2017056155A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
JP6494495B2 (ja) 基板処理方法及び基板処理装置
JP5692337B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP2010129983A (ja) 成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant