CN101715602A - 成膜方法和成膜装置 - Google Patents

成膜方法和成膜装置 Download PDF

Info

Publication number
CN101715602A
CN101715602A CN200880018745A CN200880018745A CN101715602A CN 101715602 A CN101715602 A CN 101715602A CN 200880018745 A CN200880018745 A CN 200880018745A CN 200880018745 A CN200880018745 A CN 200880018745A CN 101715602 A CN101715602 A CN 101715602A
Authority
CN
China
Prior art keywords
film
gas
build method
oxygen
transition metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880018745A
Other languages
English (en)
Other versions
CN101715602B (zh
Inventor
松本贤治
伊藤仁
根石浩司
小池淳一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Tokyo Electron Ltd
Original Assignee
Tohoku University NUC
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Tokyo Electron Ltd filed Critical Tohoku University NUC
Publication of CN101715602A publication Critical patent/CN101715602A/zh
Application granted granted Critical
Publication of CN101715602B publication Critical patent/CN101715602B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/408Oxides of copper or solid solutions thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供一种成膜方法和成膜装置。使用含Mn原料气体(或含Mn原料气体和含Cu原料气体)和含氧气体(例如水蒸气)作为处理气体,通过热处理(CVD或ALD)在被处理体的表面形成含Mn薄膜或含CuMn合金膜薄膜。由此,能够在形成于被处理体表面的微细凹部内以高阶梯覆盖形成含Mn薄膜或含CuMn合金膜薄膜。

Description

成膜方法和成膜装置
技术领域
本发明涉及用于在半导体晶片等被处理体的表面形成例如含锰(Mn)膜或含铜锰(CuMn)合金膜作为种膜的成膜方法和成膜装置。
背景技术
为了制造半导体设备,通常需要对半导体晶片反复进行成膜处理和图案蚀刻处理等各种处理,制造所期望的设备。由于近年来半导体设备更加高集成化和高微细化的要求,线宽和孔径变得越来越微细化。随着各种尺寸的微细化,要求电阻更小,因而作为配线材料和埋入槽、孔等凹部中的材料,倾向于使用电阻非常小且廉价的铜(例如参照日本特开2004-107747号公报)。在使用铜作为上述配线材料或埋入材料的情况下,考虑到铜向其下层的扩散阻挡性等,通常使用钽金属(Ta)或氮化钽膜(TaN)等作为阻挡层。
为了将铜埋入上述凹部内,首先,在等离子体溅射装置中,在该凹部内的包括整个壁面的晶片的整个表面上形成由铜膜构成的薄的种膜。接着,通过对晶片整个表面实施镀铜处理,使凹部内完全被铜所填埋。其后,通过CMP(Chemical Mechanical polishing:化学机械研磨)处理,将晶片表面的多余的铜薄膜研磨除去。
参照图12来说明上述铜的埋入。图12是表示半导体晶片凹部的现有的埋入工序的图。在形成于半导体晶片W上的绝缘层1、例如层间绝缘膜的表面,形成与通路孔、通孔和槽(trench或Dual Damascene结构)等相对应的凹部2。例如由铜构成的下层的配线层3在凹部2的底部露出。
具体而言,凹部2由细长的槽(trench)2A和形成于该槽2A底部的一部分的孔2B构成。孔2B作为接触孔或通孔。配线层3在孔2B的底部露出(在图12(A)中表示配线层3被阻挡层4覆盖后的状态),还通过配线层3与下层的配线层以及晶体管等元件进行电连接。另外,省略了下层的配线层和晶体管等元件的图示。
绝缘层1例如由SiO2膜形成。随着设计尺度的微细化,凹部2的宽度或内径变得非常小,达到例如120mn左右,而且凹部2的长宽比为例如2~4左右。其中,关于扩散防止膜和蚀刻中止膜等,省略了图示,仅简单表示其形状。
预先使用等离子体溅射装置,在半导体晶片W的表面(包括凹部2的内表面),大致均匀地形成例如由TaN膜和Ta膜的叠层结构构成的阻挡层4等(参照图12(A))。接着,使用其他的等离子体溅射装置,在半导体晶片W的整个表面(包括凹部2的内表面)形成由薄的铜膜构成的种膜6(参照图12(B))。在等离子体溅射装置内形成种膜6时,对半导体晶片施加高频率的偏置电力,高效地进行铜离子的移入。接着,通过对晶片表面实施镀铜处理,将由铜膜构成的金属膜8埋入凹部2内(参照图12(C))。其后,通过CMP处理等研磨处理除去晶片表面的不需要的金属膜8、种膜6和阻挡层4。
但是,最近为了进一步提高阻挡层的可靠性,进行了各种开发,使用Mn膜或CuMn合金膜代替Ta膜和TaN膜的自形成阻挡层特别受到关注(参照日本特开2005-277390号公报)。Mn膜(CuMn合金膜)通过溅射形成。由于Mn膜(CuMn合金膜)本身成为种膜,因此,能够直接在其上形成Cu镀层。另外,通过在镀Cu处理后实施退火,Mn膜(CuMn合金膜)自我匹配地与位于其下的作为绝缘膜的SiO2层进行反应,在SiO2层与Mn膜(CuMn合金膜)的边界部分形成由MnSixOy(x、y为任意正数)膜或锰氧化物MnOx(x为任意的正数)膜构成的阻挡膜。因此,具备能够减少制造工序数的优点。其中,锰氧化物有Mn价数不同的MnO、Mn3O4、Mn2O3、MnO2等多种,在此将这些氧化物统称为MnOx
在现有的实用水平下,上述Mn膜(CuMn合金)只能通过溅射法形成。由于溅射法的阶梯覆盖(阶梯覆盖性)存在极限,所以采用溅射法,很可能无法适应将来预计的极微细图案、例如在线宽和孔径为32nm以下的槽和孔内进行成膜。
另外,在种膜6(Mn膜或CuMn合金膜)的形成工序、镀Cu处理工序和退火工序中,必须使用分别与各工序相对应的不同装置,即使用溅射装置、电解镀处理装置和退火装置。因此,不能避免总装置成本(设备成本)的增加。
另外,在通过溅射形成Mn膜(CuMn合金膜)的成膜中,在凹部的底部形成比侧壁厚的膜。因而,即使在退火处理后能够在凹部侧壁生成足够薄的MnSixOy膜,在凹部的底面部分也会大量残存电阻值高于铜的Mn或MnOx。因此,存在接触电阻增高的问题。
发明内容
本发明是鉴于上述问题、为了有效解决上述问题而完成的。本发明的目的在于提供一种成膜方法和成膜装置,通过CVD等热处理形成例如含Mn膜或含CuMn合金膜等,从而对微细的凹部也能够以高阶梯覆盖埋入,而且使用同一处理装置进行连续的处理,能够大幅度降低装置成本。
本发明的发明人发现,通过在进行含Mn膜、含CuMn合金膜的成膜时使用水蒸气(H2O),能够缩短培育(incubation)时间,且极大地提高成膜率,能够非常有效地进行成膜,从而完成了本发明。
根据本发明,提供一种成膜方法,其特征在于:在能够抽真空的处理容器内,使用含有过渡金属的含过渡金属原料气体和含氧气体,通过热处理在被处理体的表面形成薄膜。
由此,在能够抽真空的处理容器内,使用含有过渡金属的含过渡金属原料气体和含氧气体,通过热处理在被处理体的表面形成薄膜,因此能够缩短培育时间,并且保持高的成膜率,即使是微细的凹部,也能够以高阶梯覆盖进行成膜。并且,能够在同一处理装置中进行连续的处理,所以能够大幅度降低总装置成本。
本发明还提供一种成膜方法,其特征在于:在能够抽真空的处理容器内,使用含有过渡金属的含过渡金属原料气体、含有铜的含铜原料气体和含氧气体,通过热处理在被处理体的表面形成薄膜。
由此,在能够抽真空的处理容器内,使用含有过渡金属的含过渡金属原料气体、含有铜的含铜原料气体和含氧气体,通过热处理在被处理体的表面形成薄膜,因此能够缩短培育时间,并且保持高的成膜率,即使是微细的凹部,也能够以高阶梯覆盖进行成膜。并且,能够在同一处理装置中进行连续的处理,所以能够大幅度降低总装置成本。
上述热处理可以是CVD(Chemical Vapor Deposition:化学气相沉积)处理,也可以是ALD(Atomic Layer Deposition:原子层沉积)处理。
在使用含过渡金属原料气体和含有铜的含铜原料气体的情况下,通过交替地反复实施包括供给上述含过渡金属气体及其后供给上述含氧气体的第一工序、和包括供给上述含铜气体及其后供给上述含氧气体的第二工序,形成上述薄膜。
在通过上述热处理形成薄膜后,为了除去在形成于上述被处理体表面的凹部的底面上形成的薄膜,能够进行穿通处理。上述穿通处理是在用抗蚀剂覆盖去除对象部位以外的被处理体的表面的状态下实施的蚀刻处理。取而代之,上述穿通处理也可以是对被处理体的整个表面进行深蚀刻的蚀刻处理。在上述凹部由槽和形成于该槽底部的孔构成时,通过上述穿通处理除去的薄膜是形成于上述孔的底面的薄膜。
通过CVD法,在形成有上述薄膜的被处理体上堆积铜膜,实施上述被处理体的凹部的埋入处理。该埋入处理在形成有上述薄膜的处理容器内进行。由此,能够在同一装置内即in-situ(在原位)进行连续处理,因此能够大幅度降低总装置成本。
在上述埋入处理后进行退火处理。上述退火处理在形成有上述薄膜的处理容器内进行。
采用镀法,在形成有上述薄膜的被处理体上堆积铜膜,实施上述被处理体的凹部的埋入处理。在上述埋入处理后,可以进行退火处理。
上述薄膜的基底膜由选自SiO2膜、SiOF膜、SiC膜、SiN膜、SiOC膜、SiCOH膜、SiCN膜、多孔二氧化硅膜、多孔甲基倍半硅氧烷膜、聚丙炔膜、SiLK(注册商标)膜和氟碳膜中的1种以上的膜构成。
上述含过渡金属原料由有机金属材料或金属配合物材料构成。
上述过渡金属包含锰(Mn),该含有锰的有机金属材料是选自Cp2Mn[=Mn(C5H5)2]、(MeCp)2Mn[=Mn(CH3C5H4)2]、(EtCp)2Mn[=Mn(C2H5C5H4)2]、(i-PrCp)2Mn[=Mn(C3H7C5H4)2]、MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3]、(t-BuCp)2Mn[=Mn(C4H9C5H4)2]、CH3Mn(CO)5、Mn(DPM)3[=Mn(C11H19O2)3]、Mn(DMPD)(EtCp)[=Mn(C7H11C2H5C5H4)]、Mn(acac)2[=Mn(C5H7O2)2]、Mn(DPM)2[=Mn(C11H19O2)2]、Mn(acac)3[=Mn(C5H7O2)3]、Mn(hfac)2[=Mn(C5HF6O2)3]、((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2]中的1种以上的材料。
上述含有锰的含过渡金属原料气体的供给量M1与上述含氧气体的供给量M2之比M1/M2在0.001~50的范围内。
在上述热处理中可以并用等离子体。
上述原料气体和上述含氧气体在上述处理容器内初次混合。
上述含氧气体为选自H2O(水蒸气)、N2O、NO2、NO、O3、O2、H2O2、CO、CO2和醇类中的1种以上的材料。
本发明还提供一种成膜装置,其为通过热处理在被处理体的表面形成含有过渡金属的薄膜的成膜装置,其特征在于,具有:能够抽真空的处理容器;设置在上述处理容器内、用于载置上述被处理体的载置台结构;用于加热上述被处理体的加热单元;用于向上述处理容器内导入气体的气体导入单元;用于向上述气体导入单元供给原料气体的原料气体供给单元;和用于向上述气体导入单元供给含氧气体的含氧气体供给单元。
上述原料气体可以是含有过渡金属的含过渡金属原料气体。上述原料气体也可以包含含有过渡金属的含过渡金属原料气体和含铜原料气体。上述原料气体和上述含氧气体在上述处理容器内初次混合。上述含氧气体可以是选自H2O(水蒸气)、N2O、NO2、NO、O3、O2、H2O2、CO、CO2和醇类中的1种以上的材料。
附图说明
图1是表示本发明的成膜装置的第一实施例的结构的图。
图2是表示本发明的成膜装置的第二实施例的结构的图。
图3是表示各工序中薄膜在半导体晶片的凹部内的堆积状况的图。
图4是表示本发明的成膜方法的第一实施例和第二实施例的一部分的各工序的流程图。
图5是用于说明形成由含Mn膜构成的种膜时采用CVD法和ALD法的各气体供给状态的时间关系图。
图6是用于说明在形成由含CuMn膜构成的种膜时采用CVD法和ALD法的各气体供给状态的时间关系图。
图7是表示含Mn膜的成膜速度与H2O流量的相关性的曲线图。
图8是表示为研究H2O对含Mn膜的成膜的影响而进行的X射线衍射结果的曲线图。
图9是表示实验中形成于硅基板上的叠层结构的截面图。
图10是表示在实验中形成的叠层结构的深度方向的元素分布的曲线图。
图11是用于说明穿通处理的截面图。
图12是表示半导体晶片的凹部的现有的埋入工序的图。
具体实施方式
以下,根据附图详细说明本发明的成膜方法和成膜装置的实施例。
<第一实施例>
图1是表示本发明的成膜装置的第一实施例的结构图。第一实施例的成膜装置形成含Mn膜作为含有过渡金属的膜。在以下说明的各实施例中,以使用水蒸气(H2O)作为含氧气体的情况为例进行说明。如图所示,本发明的成膜装置12包括具有大致圆柱形的内部空间的铝制处理容器14。在处理容器14内的天井部,设置有作为气体导入单元的喷头部16,以导入必要的处理气体例如成膜气体等。从设置在作为喷头部16下表面的气体喷射面18的多个气体喷射孔20A、20B向处理空间S喷射处理气体。
在喷头部16内形成有相互独立的2个气体扩散室22A、22B,供给至各气体扩散室22A、22B的处理气体,在各气体扩散室内向水平方向扩散,之后从与各气体扩散室相连通的气体喷射孔20A、20B向处理空间S内喷出。气体喷射孔20A、20B被配置为俯视时呈矩阵状,从喷射孔20A、20B喷射出的不同的气体在处理空间S内初次混合。这样的气体供给方式被称为“后混和”。
喷头部16整体可以由镍或哈斯特洛伊(HASTELLOY,注册商标)等镍合金、或者铝或铝合金形成。另外,在采用后述的ALD法进行成膜的情况下,也可以使用具有单一气体扩散室的喷头部16。在喷头部16与处理容器14的上端开口部的接合部,设置有例如由O型环构成的密封部件24,以保持处理容器14内的气密性。
在处理容器14的侧壁,设置有用于将作为被处理体的半导体晶片W搬入搬出于处理容器14的搬出搬入口26。在搬出搬入口26设置有能够气密地封闭搬出搬入口26的能够开闭的闸阀28。
在处理容器14的底部30连接有排气空间32。具体而言,在容器底部30的中央部形成有大的开口34,在该开口34连接有向下方延伸的有底圆筒体36,有底圆筒体36的内部形成排气空间32。在有底圆筒体36的底部38上设置有载置台结构40。载置台结构40主要包括从底部38立起的圆筒状支柱42,和固定在该支柱42的上端部、在其上表面载置作为被处理体的半导体晶片W的载置台44。
载置台44例如由陶瓷材或石英玻璃构成,在载置台44内收容有通过通电而产生热量的例如由碳丝加热器构成的电阻加热加热器46作为加热单元,能够对载置于载置台44上表面的半导体晶片W进行加热。
多个例如3个(图1中只显示2个)销插通孔48沿上下方向贯通载置台44。在各销插通孔48中具有间隙地***有能够上下移动的提升销50。提升销50的下端以未被固定的状态由圆形环形状的陶瓷例如氧化铝制的提升环52支撑。从提升环52延伸出的臂部54与贯穿处理容器14底部30的杆56相连接,该杆56能够利用驱动器58升降。
由此,在交接晶片W时,能够使各提升销50从所对应的销插通孔48的上端向上方突出,并且没入于销插通孔48中。在驱动器58与处理容器14的底部30之间设置有包围杆56的能够伸缩的波纹管60,由此,能够保持处理容器14内的气密性并且使杆56升降。
排气空间32的入口侧的开口34的直径小于载置台44的直径。因此,沿载置台44的周缘部的外侧流下的处理气体回到载置台44的下方且流入开口34。在有底圆筒体36的侧壁的下部形成有面向排气空间32的排气口62,在该排气口62上连接有真空排气***64。真空排气***64具有与排气口62相连接的排气通路66,在该排气通路66中,依次设置有压力调整阀68、真空泵70和除害装置(未图示)等,能够对处理容器14的内部空间和排气空间32进行压力控制,并且抽真空进行排气。
在喷头部16连接有用于供给原料气体的原料气体供给单元72、和用于供给作为含氧气体的例如水蒸气(H2O)的含氧气体供给单元74。具体而言,原料气体供给单元72具有与一个气体扩散室22A的气体入口76相连接的原料气体流路78。该原料气体流路78通过设置于其中途的开闭阀82和流量控制器84例如质量流量控制与用于收容第一原料的第一原料源86相连接。
使用含有过渡金属的含过渡金属原料作为该第一原料。例如利用被流量控制的Ar气体等不活泼气体进行鼓泡,将上述含过渡金属原料气化,伴随着不活泼气体供给含过渡金属原料气体。在此,在原料的蒸汽压低的情况下,为了提高原料的蒸汽压,利用未图示的加热器等对第一原料源86进行加热。作为含过渡金属原料,例如可以使用含锰的(MeCp)2Mn(前体)。
作为鼓泡用的不活泼气体,可以使用He、Ne等稀有气体或N2代替Ar气体。在原料气体流路78、设置于该流路的开闭阀82和流量控制器84上,缠绕有带状加热器96,用于加热上述部件、防止原料气体再液化。当然也可以根据所使用的原料设置多个原料气体供给单元。
含氧气体供给单元74具有与另一个气体扩散室22B的气体入口98相连接的气体流路100。该气体流路100通过设置于其中途的开闭阀102和流量控制器104例如质量流量控制器与用于产生水蒸气的水蒸气源106相连接。该水蒸气源106例如包括贮水罐,利用例如温调器103将该贮水罐维持在例如40℃左右,通过蒸发产生水蒸气。在气体流路100、设置于该流路中的开闭阀102和流量控制器104上缠绕有带状加热器105,用于加热上述部件、防止水蒸气再液化。
喷头部16面向载置台44且与其接近,因而具有气体喷射面18的温度上升的倾向。因此,如果将原料气体导入下部的气体扩散室22B,有可能导致气体分解。因此,将原料气体导入位于喷头部16上部的气体扩散室22A,将含氧气体(水蒸气)导入位于下部的气体扩散室22B。
另外,虽未作图示,但为了需要根据供给吹扫气体,在喷头部16上连接有吹扫用的不活泼气体供给单元。作为吹扫用气体,可以使用N2气体、Ar气体、He气体和Ne气体等不活泼气体。在用于使水蒸气流通的气体流路100上连接有用于使还原气体流通的气体流路120,利用设置于气体流路120的开闭阀122,能够根据需要供给经过流量控制的还原气体、例如H2气体。
为了控制成膜装置整体的动作,成膜装置具有例如由计算机构成的控制单元108。控制单元108进行上述各气体的供给开始/停止以及供给量的控制、处理容器14内的压力控制和晶片W的温度控制等。并且,控制单元108具有存储有用于进行上述控制的计算机程序的存储介质110。作为存储介质110,例如可以使用软盘、闪存、硬盘和CD(Compact Disc)等。
<第二实施例>
以下,对本发明装置的第二实施例进行说明。图2是表示本发明的成膜装置的第二实施例的结构图。该第二实施例的成膜装置150形成含CuMn合金膜作为含有过渡金属的膜,但如果不使用在此使用的含Cu原料气体,也可以形成含Mn膜。在图2中对与图1所示构成部件相同的构成部件标注相同的参照符号,省略其说明。
第二实施例的成膜装置150还具有从流通含过渡金属原料气体的原料气体流路78分支的分支路88。分支路88通过设置于其中途的开闭阀90和流量控制器92例如质量流量控制器,与收容第二原料的第二原料源94相连接,作为该第二原料,使用含有铜的含铜原料。例如利用被流量控制的Ar气体等不活泼气体进行鼓泡,将含铜原料气化,伴随着不活泼气体供给含铜原料。在原料的蒸汽压低的情况下,为了提高原料的蒸汽压,利用未图示的加热器等对第二原料源94进行加热。作为含铜原料,可以使用例如含有Cu的Cu(hfac)TMVS、Cu(hfac)2、Cu(dibm)2等(前体)。另外,在进行原料气体的供给时,不仅可以采用鼓泡方式,还可以采用液体原料气化方式或溶液原料气化方式。所谓液体原料气化方式是指利用气化器使室温下为液体的原料气化的方式,所谓溶液原料气化方式是指利用气化器使室温下为固体或液体的原料溶解于溶剂中而形成的溶液气化的方式。这样的方式不仅适用于Cu原料气体的供给,也适用于Mn原料气体的供给。
在分支路88、开闭阀90和流量控制器92上,缠绕有带状加热器111,用于加热这些部件、防止原料气体再液化。在该第二实施例的情况下,含Mn原料气体和含Cu原料气体在到达喷头部16之前混合,供给至喷头部16。另外,含Cu原料气体和含Mn原料气体也可以以途中不混合的方式,通过独立的2个气体流路供给至喷头部16。
接着,对如上所述构成的成膜装置的动作进行说明。在此,总括地说明图1所示的第一实施例的成膜装置12和图2所示的第二实施例的成膜装置150。首先,未处理的半导体晶片W保持在未图示的输送臂上,通过打开状态的闸阀28和搬出搬入口26搬入处理容器14内。在晶片W从搬送臂交接至上升的提升销50后,使提升销50下降,由此将晶片W载置到载置台44的上表面。
接着,使原料气体供给单元72和含氧气体供给单元74动作,一边对各处理气体进行流量控制一边向喷头部16供给,将处理气体从气体喷射孔20A、20B导入处理空间S内。各处理气体的供给的详细情况在下文中加以说明。在图1所示的第一实施例的情况下,供给含Mn原料气体和水蒸气;在图2所示的第二实施例的情况下,供给含Mn原料气体、含Cu原料气体和水蒸气。
通过继续进行真空排气***64的真空泵70的驱动,将处理容器14内和排气空间32内抽真空,调整压力调整阀68的阀开度,将处理空间S内维持为规定的工艺处理(process)压力。此时,通过设置在载置台44内的电阻加热的加热器46进行加热,将晶片W的温度维持在规定的工艺处理温度。由此,在半导体晶片W的表面形成期望的薄膜。
在此,利用图1所示的第一实施例的成膜装置形成含Mn膜,利用图2所示的第二实施例的成膜装置形成含CuMn膜或含Mn膜。可以认为在此成膜的含CuMn合金膜是CuMn、(Cu+MnOx)和CuMnxOy中的任一种,或者是这些化合物中的至少两种的混合物。
<方法发明的第一实施例和第二实施例>
接着,参照图3~图6对本发明方法的成膜方法进行具体说明。图3是表示半导体晶片的凹部在各工序中的薄膜的堆积状况的图,图4是表示本发明的成膜方法的第一、第二实施例的一部分的各工序的流程图,图4(A)表示形成含Mn膜的方法发明的第一实施例的情况,图4(B)表示形成含CuMn合金膜的方法发明的第二实施例的情况。图5是说明形成由含Mn膜构成的种膜时采用CVD法和ALD法的各气体供给状态的时间关系图,图6是说明形成由含CuMn合金膜构成的种膜时采用CVD法和ALD法的各气体供给状态的时间关系图。
本发明方法的目的之一在于,在一个成膜装置内(in-situ)连续进行各成膜处理和退火处理。例如,在将晶片W搬入上述成膜装置12内时,如图3(A)所示,在形成于晶片W上的、层间绝缘膜等绝缘层1的表面上形成有槽或孔那样的凹部2,由铜等构成的下层的配线层3在该凹部2的底部露出。
具体而言,该凹部2由细长地形成的截面为凹状的槽(trench)2A、和形成于该槽2A底部的一部分的孔2B构成,该孔2B为接触孔或通孔。并且,配线层3在该孔2B的底部露出,与下层的配线层或晶体管等元件电连接,其中,省略了下层的配线层或晶体管等元件的图示。作为基底膜的上述绝缘层1由含有硅的氧化物、氮化物等构成,例如由SiO2构成。
另外,在本发明方法中,首先如图3(B)所示,通过种膜形成工序,在这样状态的半导体晶片W的表面形成种膜6。在这种情况下,种膜6可以是含Mn膜(图4(A)的S1),也可以是含CuMn合金膜(图4(B)的S1-1)。另外,该种膜6可以采用CVD法形成,也可以采用ALD法形成。在此,ALD法是指交替供给不同的成膜用气体,一层一层地重复形成原子水平或分子水平的薄膜的成膜方法。
接着,如图3(C)所示,通过埋入工程,形成Cu膜8作为金属膜,利用Cu膜8进行凹部2内的埋入(图4(A)的S2和图4(B)的S2)。该埋入工序可以采用CVD法进行,也可以采用ALD法进行,还可以与现有方法同样采用PVD法(溅射或蒸镀)或镀法进行。在必要的情况下,在调整至规定浓度的含氧(O)气氛中,将晶片W暴露于高温下进行退火处理,如图3(D)所示,使种膜6与作为其基底层的SiO2膜构成的绝缘层1在两者的边界部分进行自匹配的反应,可靠地形成由MnSixOy(x、y为任意正数)膜或MnOx(x为任意正数)膜构成的阻挡层112(图4(A)的S3和图4(B)的S3)。另外,在作为高温处理的前工序(种膜形成工序或Cu膜形成工序)中已经形成充分的阻挡层112的情况下,可以省去上述退火处理。但是,为了形成充分的阻挡层112,优选实施上述退火处理。
在此,对各工序进行详细说明。有用于形成含Mn膜作为种膜6(图4(A)的S1)的两种方法(使用图1所示的成膜装置)。第一种方法如图5(A)所示,使含Mn原料气体和水蒸气同时流通,采用CVD法形成含Mn膜。在采用CVD法的情况下,也可以根据需要将水蒸气的供给开始时间和供给停止时间与含Mn原料气体的供给开始时间和供给停止时间前后错开。
CVD法中的工艺处理条件是,工艺处理温度(指晶片温度,下同)为70~450℃,工艺处理压力为1Pa~13kPa左右。另外,含Mn原料气体的流量没有特别限定,但是考虑到成膜速度等时,其流量应为0.1~10sccm左右;水蒸气的流量也没有特别限定,但考虑到成膜速度等时,其流量为0.05~20sccm左右。
在采用CVD法的情况下,当含Mn原料气体的供给量为Mlsccm、水蒸气的供给量为M2sccm时,如果考虑成膜速度和原料气体的有效利用,两者供给量之比(M1/M2)为0.001≤M1/M2≤50,优选为0.01≤M1/M2≤5,更优选为0.5≤M1/M2≤2。
第二方法如图5(B)所示,采用ALD法,使含Mn原料气体和水蒸气交替间断地反复流通。含Mn原料气体供给期间与水蒸气供给期间之间的供给中断期间T1为吹扫期间。吹扫可以仅仅通过抽真空排出处理容器14内的残留气体来实施,或者也可以通过一边向处理容器14内导入N2气体等不活泼气体、一边对处理容器14内抽真空来实施。该吹扫的方法也同样适用于以下说明的方法。
在ALD法中,从某次含Mn原料气体的供给到下次的含Mn原料气体的供给的期间作为1个堆积循环,在每1个堆积循环中,形成非常薄(例如0.2~0.3nm左右)的一层含Mn膜。在此所需的种膜6的厚度例如为2nm左右,通过例如进行10个循环左右的堆积循环,能够形成上述膜厚的含Mn膜。即,与CVD法相比,通过采用ALD法,能够以更高的膜厚控制性形成更薄的膜。
ALD法中的工艺处理条件与上述CVD处理时相同,工艺处理温度为70~450℃左右,工艺处理压力为1Pa~13kPa左右。另外,含Mn原料气体的流量为0.1~10sccm左右,水蒸气的流量为0.05~20sccm左石。
含Mn原料气体的供给期间t1为10~15sec左右,水蒸气的供给期间t2为10sec左右,供给中断期间T1为20~120sec左右。与采用CVD法的成膜相比,采用ALD法的成膜能够也使膜更充分地附着在微细的凹部的内壁,因此,能够进一步提高阶梯覆盖。凹部的尺寸越微细则ALD法越有效。
有用于形成含CuMn合金膜作为种膜(图4(B)的S1-1)的三种方法(使用图2所示的成膜装置)。第一种方法如图6(A)所示,使含Cu原料气体、含Mn原料气体和水蒸气均同时流通,采用CVD法形成含CuMn合金膜。
第二成膜方法如图6(B)所示,采用ALD法,交替间断地反复进行含Cu原料气体和含Mn原料气体的同时供给、与水蒸气的供给,使气体流通。含Cu原料气体/含Mn原料气体供给与水蒸气供给之间的供给中断期间T5为吹扫期间。吹扫可以仅仅通过抽真空排出处理容器14内的残留气体来实施,也可以通过一边向处理容器14内导入N2气体等不活泼气体、一边对处理容器14内抽真空来实施。该吹扫的方法也同样适用于以下说明的方法。
在该ALD法中,从某次含Cu原料气体/含Mn原料气体的供给到下次的含Cu原料气体/含Mn原料气体的供给的期间为1个堆积循环,在每1个堆积循环中,形成非常薄(例如0.4~0.6nm左右)的一层含CuMn合金膜。将在此所需的种膜6的厚度换算为含CuMn合金膜中的纯Mn金属的膜厚,例如为0.5~2nm左右,通过例如进行10~100个循环左右的堆积循环,能够形成上述膜厚的含CuMn合金膜。与CVD法相比,通过采用ALD法,能够以更高的控制性形成更薄的膜。
ALD法中的工艺处理条件(也包括上述CVD处理的情况)是,工艺处理温度为70~450℃左右,工艺处理压力为1Pa~13kPa左右。另外,含Mn原料气体的流量为0.1~10sccm左右,含Cu原料气体的流量为1~100sccm左右。水蒸气的流量为0.05~20sccm左右。在形成含CuMn合金膜时,优选Cu流量为Mn流量的10倍左右,形成富含Cu的含CuMn合金膜。但是,由于Cu对SiO2等绝缘膜的密接性弱,因此在初期的堆积循环中,可以提高相对于含Cu原料气体流量的含Mn原料气体流量,形成富含Mn的含CuMn合金膜。
另外,含Mn原料气体的供给期间t5为10~15sec左右,含Cu原料气体的供给期间t6为10~50sec左右,水蒸气的供给期间t7为10sec左右,供给中断期间T5为20~120sec左右。在此如上所述,由于Cu对SiO2等绝缘膜的密接性弱,因而初期在堆积循环中,可以将含Mn原料气体的供给期间t5设定为大于含Cu原料气体的供给期间t6(例如15scc)(在图6(B)中以点线121表示)。即,可以设定工艺处理方案,使得含Mn原料气体和含Cu原料气体的供给量的比率随着成膜时间的推移或根据堆积膜厚而逐渐改变。由此,能够使含CuMn合金膜中的成分从富含Mn的状态向富含Cu的状态逐渐变化。由此,能够提高绝缘层1与种膜6、Cu膜8与种膜6之间的密接性,能够防止成膜中发生膜剥落等。
第三成膜方法如图6(C)所示,使上述两气体彼此之间隔着间断期间地交替地反复供给,并且在上述间断期间时供给水蒸气,即,将含Mn原料气体供给、含Mn原料气体供给中断、水蒸气供给、水蒸气供给中断、含Cu原料气体供给、含Cu原料气体供给中断、水蒸气供给和水蒸气供给中断作为1个堆积循环,重复进行这样的ALD循环。在这种情况下,1个堆积循环的期间增长为上述图6(B)所示情况的2倍。在该成膜方法中,形成膜厚为0.2~0.3nm左右的非常薄的含Mn膜与膜厚为0.2~0.3nm左右的非常薄的含Cu膜交替叠层而成的种膜6。由于两膜均非常薄,所以Mn与Cu相互扩散,形成合金状态,另外,考虑到种膜6与绝缘层1之间的密接性和阻挡性(Cu向绝缘层1的扩散),优选最初供给的原料气体为含Mn原料气体。
与采用CVD法的成膜相比,采用ALD法的成膜能够使膜也充分地附着在微细的凹部的内壁,因此,能够进一步提高阶梯覆盖。凹部的尺寸越微细ALD法越有效。
如上所述,图4(A)所示的种膜形成工序S1、或图4(B)所示的种膜形成工序S1-1结束。
接着,对作为图4(A)和图4(B)的S2所示的金属膜8(参照图3(C))的Cu膜的形成进行说明。在使用不具有含Cu原料气体供给***的图1所示的成膜装置形成种膜6的情况下,将晶片转移至图2所示的成膜装置,在使用图2所示的成膜装置形成种膜6的情况下,不移载晶片、使用相同的成膜装置。然后,同时向处理容器14供给含Cu原料气体和作为还原气体的H2气体,能够采用CVD法形成由Cu膜构成的金属膜8。取而代之,也可以通过以图6(B)和图6(C)所示的方式交替地反复供给含Cu原料气体和H2气体,形成由Cu膜构成的金属膜8。并且,也可以不供给H2气体、只供给含Cu原料气体,通过简单的热分解反应,形成由Cu膜构成的金属膜8。
此时的工艺处理条件(也包括CVD处理的情况)是,工艺处理温度为70~450℃左右,工艺处理压力为1Pa~13kPa左右。另外,含Cu原料气体的流量为1~100sccm左右,H2气体流量为5~500sccm左右。另外,也可以使用作为现有方法的PVD法(溅射或蒸镀)或者镀法代替上述CVD法或ALD法,形成由Cu膜构成的金属膜8,从而进行凹部2的埋入。
与镀法相比,在采用CVD法或ALD法的情况下,薄膜容易在微细的凹部的内壁上堆积,因此,即使凹部进一步微细化,也能够使内部不产生空隙等地进行凹部的埋入。
接着,在进行图4(A)和图4(B)的S3所示的退火处理(参照图3(D))的情况下,将上述埋入处理结束后的晶片W在如上所述规定浓度的含氧气体的环境中加热至规定的工艺处理温度,例如100~450℃左右。由此,能够可靠地在种膜6与由作为其基底膜的SiO2膜构成的绝缘层1的边界部分自匹配地形成MnSixOy膜构成的阻挡层112。在退火处理时,也可以设置如上所述能够在向处理容器内供给氧气等(氧气供给单元未图示)时,将氧气分压控制在例如10ppb左右的控制器。
退火处理的目的在于可靠地形成阻挡层112。因此,如果作为前工序的种膜形成工序和Cu膜形成工序在含氧(O)气氛中实施,且在对于阻挡层的自我形成而言足够高的温度、例如100~150℃以上的高温的工艺处理温度下实施,就会达到已经形成足够厚的阻挡层112的状态,因此可以省去上述退火处理。另外,在按照图4(A)或图4(B)的S2进行镀处理时,当然进行上述退火处理。另外,在使用图2所示的成膜装置150的情况下,种膜形成工序、采用CVD法或ALD法的Cu膜形成工序和退火处理工序可以都在同一处理装置150内连续进行。
在上述实施例中,在能够抽真空的图2所示的成膜装置内,使用含有过渡金属锰的含Mn原料气体和含氧气体(水蒸气),通过热处理在晶片W的表面形成薄膜。因此,能够缩短培育时间,并且能够确保高成膜率,并且,能够以高阶梯覆盖在微细的凹部2内形成种膜6。另外,通过使用同一处理装置12进行连续的处理,能够大幅度降低装置整体的成本。
并且,不需要分别地形成阻挡层和种膜(现有技术中的Ta/TaN阻挡层和Cu种膜)的成膜,因此能够提高处理能力。
另外,在使用含CuMn合金膜作为种膜6的情况下(图4(B)的情况),由于在种膜6中含有金属膜8的构成材料Cu,因此,能够提高种膜6与金属膜8之间的密接性。
<对含Mn膜的评价>
使用图1所示的成膜装置,采用在图4(A)的步骤S1中说明的成膜方法,进行形成含Mn膜的实验。参照图7和图8说明对形成的含Mn膜进行评价的结果。采用图5(A)所示的CVD法形成含Mn膜。图7是表示含Mn膜成膜速度与H2O流量的相关性的曲线图。使用XRF(荧光X射线分析)进行用于求出成膜速度的膜厚测定。图8是表示为了研究H2O对含Mn膜的成膜的影响而进行的X射线衍射的结果的曲线图。
在图7的曲线图中,横轴表示H2O流量,纵轴表示成膜速度。使H2O流量在0~20sccm的范围内变化。图7(B)放大显示图7(A)中用点线围起来的A部分。
含Mn膜成膜时的工艺处理条件如下所示。
含Mn原料((EtCp)2Mn)的流量:2.2sccm
鼓泡Ar气体流量:25sccm
晶片温度:100℃
工艺处理压力:133Pa
H2O流量:0~20sccm
成膜时间:30min
含Mn原料气体的流量2.2sccm是使用气体的状态方程式,根据原料瓶的内部温度(76.0℃)、原料瓶的内部压力(4.5torr)、含Mn原料气体的分压(0.37Torr)和鼓泡Ar气体流量而求出的。如图7所示,在H2O流量低的区域内,成膜速度随着H2O流量的增加而成比例地增加,但是,一旦H2O流量达到4.5sccm左右(以下将该点称为“饱和点”),成膜速度达到90nm/min左右,此后,即使在此之上增大H2O流量也不会改变成膜速度。
根据以上的结果可知,在图7的曲线图中的饱和点右侧的区域,含Mn原料气体的供给量不足,如果增加含Mn原料气体的供给量,成膜速度就会提高。
与此相对,由于在饱和点左侧的区域中,H2O的供给量不足,如果增加H2O的供给量,成膜速度就会提高。因此可知,为了控制成膜速度,只要控制H2O的供给量或含Mn原料气体的供给量即可。
图7曲线图中的饱和点的含Mn原料气体的供给量为2.2sccm左右,H2O的供给量为4.5sccm左右,因此,可以推测含Mn原料气体与H2O的反应比率为1∶2。因此,如果以该反应比率为基础来考虑,当含Mn原料气体的供给量为M1、H2O的供给量为M2时,优选M1/M2在下述范围内。
0.001≤M1/M2≤50
更优选为0.01≤M1/M2≤5,特别优选为0.5≤M1/M2≤2。
如果“0.5≤M1/M2≤2”,则含Mn原料气体的供给有过剩的倾向,因此,即使难以进行供给量的高精度控制的含Mn原料气体的供给量不稳定,若准确地对供给量的高精度控制较为简单的H2O的供给量进行控制,也能够准确地将成膜速度控制为所期望的值。
另外,如果“0.5≤M1/M2≤2”,通过改变H2O的供给量,能够高精度地改变成膜速度。另外,如果“M1/M2”超过2,则“M1/M2”越大,不参与反应而被废弃的含Mn原料气体越多,增加了成膜成本。
在该实验中,在上述工艺处理条件下以30分钟的成膜时间进行含Mn膜的成膜时,H2O供给量为0sccm时(此时,仅通过热分解反应成膜)形成的Mn膜厚度为0.3nm。与此相对,H2O供给量为10sccm时形成的含Mn膜厚度为2800nm,即成膜率也达到9300倍。
图8是表示通过X射线衍射测定以上述工艺处理条件形成的含Mn膜的结果的曲线图,横轴表示X射线衍射角度,纵轴表示X射线衍射强度。图8(A)表示H2O供给量为0sccm的情况,图8(B)表示H2O的供给量为10sccm的情况。在图8中,峰P1、P2为基板(晶片W)的单晶Si的峰,峰P3为MnO(200)结晶的峰。在此,在刚刚形成含Mn膜后(图4(A)的S1完成后),测定X射线衍射强度。
如图8(A)所示,在H2O供给量为零的情况下,只表示出硅的峰P1,几乎未形成MnO结晶(0.3nm)。与此相对,在供给H2O的情况下,如图8(B)所示可知,除了硅的峰P2之外,还出现了强度大的峰P3,形成了非常多的MnO结晶。并且,与将H2O的供给量设定为0sccm的情况相比,培育时间(从原料气体开始流过到实际开始堆积膜的时间)也从10分钟大幅度缩短到1分钟以下。由此,可以理解H2O非常有助于含Mn膜的成膜。这样获得的MnO膜、即种膜6与下层的Cu配线层3连接。MnO膜的电阻值大于在现有技术中通常作为种膜的Cu膜。但是,MnO种膜比与通过现有的溅射形成的阻挡膜相比非常薄,因此,MnO种膜/Cu配线层3间的接触电阻的增加很小。另外,MnO膜充分具备作为用于防止Cu扩散的阻挡膜的功能。另外,位于孔2B底部的种膜6也可以通过如下所述的穿通处理来除去。
另外,在上述方法发明的第一和第二实施例的说明中,阐明了图4(A)和图4(B)的各流程图的步骤S3的退火处理最好用于形成充分的阻挡层112。但是,根据其后详细的实验结果可知,即使不在氧气氛中实施上述退火处理,也能够形成发挥的充分阻挡功能的阻挡层112。
即,可认为在进行图4(A)和图4(B)所示的步骤S1(利用含Mn原料气体和水蒸气的成膜处理)或步骤S1-1(利用含Mn原料气体、含Cu原料气体和水蒸气的成膜处理)后,只进行加热(退火),即使不在氧气氛中也能够充分地形成作为阻挡层的MnOx(x为任意正数)或MnSixOy(x、y为任意正数)。
下面说明验证上述现象的实验结果。图9是表示实验中形成的硅基板上的叠层结构的截面图,图10是表示实验中形成的叠层结构的深度方向的元素分布的曲线图。
如图9所示,在该实验中,使用TEOS(原硅酸四乙酯)在硅基板W上形成SiO2膜130作为绝缘膜,并采用图4(A)的步骤S1(利用含Mn原料气体和水蒸气的成膜)的方法,通过CVD在其上形成作为种膜的含Mn膜132,进一步通过溅射在其上形成Cu膜134。
形成含Mn膜时的工艺处理条件如下所示。
含Mn原料:(EtCp)2Mn
鼓泡气体:Ar 25sccm
基板温度:100℃
工艺处理压力:133Pa
H2O流量:0.2sccm
原料瓶温度:70℃
成膜时间:15min
为了检查含Mn膜132能否发挥阻挡功能,进行加热加速度试验,之后采用SIMS(2次离子质谱法)测定各元素的分布。测定时,如果从表面侧(图9中的Cu膜侧)进行切削,上层的Cu原子就会混入含Mn膜中,因而从硅基板的背面侧进行切削测定。该加热加速度试验的条件如下所示,在去除了氧的Ar气氛中进行。
供给气体:Ar 50sccm
基板温度:400℃
压力:665Pa
加热时间:40min
在表示测定结果的图10中,横轴表示深度(厚度)、左侧纵轴表示Mn浓度,右侧纵轴表示O、Si、Cu的各2次离子强度。其中,深度0~50nm左右的区域为Cu区域,深度50~125nm左右的区域为MnOx区域,125nm左右以上的区域为SiO2区域。
以Cu原子为研究对象,从图10的曲线可知,,Cu原子向含Mn膜中扩散而少量存在,但Cu原子不向SiO2区域扩散,SiO2区域中的Cu原子几乎为零。
无论是否以400℃进行Cu原子扩散的加热加速度实验,都不发生Cu原子向SiO2区域的扩散,由上述实验结果可以确认,即使不实施氧气氛中的退火处理,兼作阻挡层的含Mn膜也能够充分地发挥阻挡功能。
<穿通处理>
但是,在上述方法发明的各实施例中,在形成含有Mn的种膜6的情况下,不仅在晶片W的上表面(绝缘层1的上表面,参照图3),在凹部2的整个内表面也形成了种膜6。由于该种膜6具有电绝缘性,因此,优选除去堆积在孔2B底面上的种膜6(其与下层的配线层3连接),降低与下层的配线层3的接触电阻。
因此,最好在进行用于埋入Cu膜的Cu膜形成(图4的各步骤S2)前,实施用于除去堆积在上述孔2B底面上的种膜6(薄膜)的穿通处理。图11(A)是用于说明穿通处理的第一实施例的截面图,图11(B)是用于说明穿通处理的第二实施例的截面图。
在图11中,半导体晶片W的结构与图3所示结构相同。即,在绝缘层1上形成有由孔2B和槽2A构成的凹部2,配线层3在孔2B的底部露出。在图11(A)的情况下,在通过图4中的步骤S1或S1-1形成含Mn膜或含CuMn膜的种膜6后,使用抗蚀剂140覆盖除孔2B之外的半导体晶片W的整个表面(参照图11(A)中的右侧)。该处理通过在晶片整个表面涂布抗蚀剂140后进行曝光和显影而实现(光刻工艺处理)。接着,将抗蚀剂140作为掩模进行蚀刻处理,仅选择性地除去堆积在孔2B底面上的薄膜种膜6。作为该蚀刻处理,可以使用利用Ar溅射的蚀刻或RIE(反应性离子蚀刻)等。
其后,在除去抗蚀剂140后,进行清洁处理,并且,通过Cu膜形成处理(图4中的S2)在凹部2中埋入Cu。也可以在进行Cu膜形成处理前,进行Cu种膜形成处理,设置该薄的Cu膜作为第二种膜。
在图11(B)的情况下,通过图4中的步骤S1或S1-1形成含Mn膜或含CuMn膜的种膜时,形成比与进行后工序的蚀刻处理时所削取的厚度相适应的厚度更厚的种膜6。在这种情况下,由于成膜用气体难以进入微细直径的孔2B内,所以与绝缘层1的上表面的膜厚t1和槽2A的底面的膜厚t2相比,孔2B底面的膜厚t3非常薄,且满足t3<t2≤t1的关系。另外,通过大量流通成膜用的气体、例如含Mn原料气体和水蒸气来提高成膜速度,能够进一步促进上述倾向(t3<t2≤t1)。
在形成种膜后,实施对包括孔2B底面部分的含CuMn膜的种膜的整个表面进行深蚀刻的蚀刻处理。在进行该深蚀刻的过程中,最初t3=0。即,能够选择性地仅除去堆积于孔2B的底面上的种膜6。作为该蚀刻处理,可以使用利用Ar溅射的蚀刻或RIE(反应性离子蚀刻)等。
之后,通过Cu膜形成处理(图4中的S2)将Cu埋入凹部2。在进行该Cu膜形成处理之前,进行Cu种膜形成处理,设置该薄Cu膜作为第二种膜。
在上述实施例中,通过热CVD和热ALD进行成膜,但并不限于此,也可以采用等离子体CVD、等离子体ALD、紫外线、或者使用激光的光CVD、光ALD等进行成膜。另外,在上述实施例中,为了形成含Mn膜,使用了水蒸气,但除此之外,还可以使用H2气作为还原气体或载气。
另外,在上述实施例中,为了形成含金属膜,使用了水蒸气作为含氧气体,但并不限于此,作为含氧气体,可以使用选自H2O(水蒸气)、N2O、NO2、NO、O3、O2、H2O2、CO、CO2、醇类中的1种以上的材料。上述醇类中包括甲醇和乙醇等。
另外,作为使用上述含Mn原料的有机金属材料,可以使用选自Cp2Mn[=Mn(C5H5)2]、(MeCp)2Mn[=Mn(CH3C5H4)2]、(EtCp)2Mn[=Mn(C2H5C5H4)2]、(i-PrCp)2Mn[=Mn(C3H7C5H4)2]、MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3]、(t-BuCp)2Mn[=Mn(C4H9C5H4)2]、CH3Mn(CO)5、Mn(DPM)3[=Mn(C11H19O2)3]、Mn(DMPD)(EtCp)[=Mn(C7H11C2H5C5H4)]、Mn(acac)2[=Mn(C5H7O2)2]、Mn(DPM)2[=Mn(C11H19O2)2]、Mn(acac)3[=Mn(C5H7O2)3]、Mn(hfac)2[=Mn(C5HF6O2)3]和((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2]中的1种以上的料。另外,除了有机金属材料以外,还可以使用金属配合物材料。
另外,在上述实施例中,作为基底膜的绝缘层1是SiO2,但并不限于此,也可以是用作层间绝缘层的Low-k(低介电常数)材料SiOC膜、SiCOH膜。具体而言,基底膜可以是选自SiO2膜(包括热氧化膜和等离子体TEOS膜)、SiOF膜、SiC膜、SiN膜、SiOC膜、SiCOH膜、SiCN膜、多孔二氧化硅膜、多孔甲基倍半硅氧烷膜、聚内炔膜、SiLK(注册商标)膜和氟碳膜中的1种膜、或由选自上述膜中的多个膜构成的叠层膜。
另外,在上述实施例,过渡金属是Mn,但并不限于此,也可以是其他过渡金属,例如可以是选自Nb、Zr、Cr、V、Y、Pd、Ni、Pt、Rh、Tc、Al、Mg、Sn、Ge、Ti和Re中的1种以上的金属。
另外,应该理解在此说明的成膜装置不过是优选的一个例子。例如可以使用卤素灯等加热灯作为加热单元,以代替电阻加热的加热器。另外,热处理装置并不限于单片式,也可以是批量式。成膜方法不限于热处理(在此指无等离子体的热处理),也可以使用等离子体作为辅助。在该情况下,例如通过将喷头部16作为上部电极,将载置台44作为下部电极,根据需要在两电极间施加高频电力,能够生成等离子体。另外,被处理体不限于半导体晶片,也可以是玻璃基板、LCD基板、陶瓷基板等其他种类的被处理体。
根据本发明,通过使用CVD法或ALD法,即使在半导体晶片上混杂有各种大小的槽和孔等凹部,也能够在所有凹部内形成足够薄且均匀的自匹配阻挡膜。在Cu多层配线中从下层的局部配线向上层的整个配线均可以适用本发明的技术,能够实现Cu多层配线的微细化。由此,通过半导体装置(设备)的高速化和微细化等,能够制造小型且高速可靠的电子设备。

Claims (31)

1.一种成膜方法,其特征在于:
在能够抽真空的处理容器内,使用含有过渡金属的含过渡金属原料气体和含氧气体,通过热处理在被处理体的表面形成薄膜。
2.一种成膜方法,其特征在于:
在能够抽真空的处理容器内,使用含有过渡金属的含过渡金属原料气体、含有铜的含铜原料气体和含氧气体,通过热处理在被处理体的表面形成薄膜。
3.根据权利要求1或2所述的成膜方法,其特征在于:
所述热处理为CVD(化学气相沉积)处理。
4.根据权利要求1或2所述的成膜方法,其特征在于:
所述热处理是交替地反复供给所述原料气体和所述含氧气体进行成膜的ALD(原子层沉积)处理。
5.根据权利要求2所述的成膜方法,其特征在于:
通过交替地反复实施下述第一工序和第二工序,形成所述薄膜,
第一工序包括所述含过渡金属气体的供给及其后的所述含氧气体的供给,
第二工序包括所述含铜气体的供给及其后的所述含氧气体的供给。
6.根据权利要求1~5中任一项所述的成膜方法,其特征在于:
在所述被处理体的表面具有凹部,通过所述热处理形成薄膜后,实施用于除去形成于所述凹部底面上的薄膜的穿通处理。
7.根据权利要求6所述的成膜方法,其特征在于:
所述穿通处理是在用抗蚀剂覆盖去除对象部位以外的被处理体的表面的状态下实施的蚀刻处理。
8.根据权利要求6所述的成膜方法,其特征在于:
所述穿通处理是对被处理体的整个表面进行深蚀刻的蚀刻处理。
9.根据权利要求6~8中任一项所述的成膜方法,其特征在于:
所述凹部包括槽和形成于该槽底部的孔,通过所述穿通处理除去的薄膜是形成于所述孔的底面的薄膜。
10.根据权利要求1~9中任一项所述的成膜方法,其特征在于:
通过CVD处理,在形成有所述薄膜的被处理体上堆积铜膜,实施所述被处理体的凹部的埋入处理。
11.根据权利要求10所述的成膜方法,其特征在于:
所述埋入处理在形成有所述薄膜的处理容器内进行。
12.根据权利要求10或11所述的成膜方法,其特征在于:
在所述埋入处理后的工序中,对所述被处理体实施退火处理。
13.根据权利要求12所述的成膜方法,其特征在于:
所述退火处理在实施所述埋入处理后的处理容器内进行。
14.根据权利要求1~9中任一项所述的成膜方法,其特征在于:
采用镀法,在形成有所述薄膜的被处理体上堆积铜膜,实施所述被处理体的凹部的埋入处理。
15.根据权利要求14所述的成膜方法,其特征在于:
在所述埋入处理后的工序中,对所述被处理体实施退火处理。
16.根据权利要求1~15中任一项所述的成膜方法,其特征在于:
所述薄膜的基底膜由选自SiO2膜、SiOF膜、SiC膜、SiN膜、SiOC膜、SiCOH膜、SiCN膜、多孔二氧化硅膜、多孔甲基倍半硅氧烷膜、聚丙炔膜、SiLK(注册商标)膜和氟碳膜中的1种以上的膜构成。
17.根据权利要求1~16中任一项所述的成膜方法,其特征在于:
所述含过渡金属原料包括有机金属材料或金属配合物材料。
18.根据权利要求17所述的成膜方法,其特征在于:
所述过渡金属包含锰(Mn),该含有锰的有机金属材料是选自Cp2Mn[=Mn(C5H5)2]、(MeCp)2Mn[=Mn(CH3C5H4)2]、(EtCp)2Mn[=Mn(C2H5C5H4)2]、(i-PrCp)2Mn[=Mn(C3H7C5H4)2]、MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3]、(t-BuCp)2Mn[=Mn(C4H9C5H4)2]、CH3Mn(CO)5、Mn(DPM)3[=Mn(C11H19O2)3]、Mn(DMPD)(EtCp)[=Mn(C7H11C2H5C5H4)]、Mn(acac)2[=Mn(C5H7O2)2]、Mn(DPM)2[=Mn(C11H19O2)2]、Mn(acac)3[=Mn(C5H7O2)3]、Mn(hfac)2[=Mn(C5HF6O2)3]、((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2]中的1种以上的材料。
19.根据权利要求18所述的成膜方法,其特征在于:
所述含有锰的含过渡金属原料气体的供给量M1与所述含氧气体的供给量M2之比M1/M2在0.001~50的范围内。
20.根据权利要求1~19中任一项所述的成膜方法,其特征在于:
在所述热处理中并用等离子体。
21.根据权利要求1~20中任一项所述的成膜方法,其特征在于:
所述原料气体和所述含氧气体在所述处理容器内初次混合。
22.根据权利要求1~21中任一项所述的成膜方法,其特征在于:
所述含氧气体由选自H2O(水蒸气)、N2O、NO2、NO、O3、O2、H2O2、CO、CO2和醇类中的1种以上的材料构成。
23.一种成膜装置,其为通过热处理在被处理体的表面形成含有过渡金属的薄膜的成膜装置,其特征在于,具有:
能够抽真空的处理容器;
设置在所述处理容器内、用于载置所述被处理体的载置台结构;
用于加热所述被处理体的加热单元;
用于向所述处理容器内导入气体的气体导入单元;
用于向所述气体导入单元供给原料气体的原料气体供给单元;和
用于向所述气体导入单元供给含氧气体的含氧气体供给单元。
24.根据权利要求23所述的成膜装置,其特征在于:
所述原料气体是含有过渡金属的含过渡金属原料气体。
25.根据权利要求24所述的成膜装置,其特征在于:
所述原料气体具有含有过渡金属的含过渡金属原料气体和含有铜的含铜原料气体。
26.根据权利要求23~25中任一项所述的成膜装置,其特征在于:
所述原料气体和所述含氧气体在所述处理容器内初次混合。
27.根据权利要求23~26中任一项所述的成膜装置,其特征在于:
所述含氧气体由选自H2O(水蒸气)、N2O、NO2、NO、O3、O2、H2O2、CO、CO2和醇类中的1种以上的材料构成。
28.一种存储介质,其应用于成膜装置,储存有在计算机上运行的计算机程序,其特征在于:
所述计算机程序包括用于实施权利要求1~22中任一项所述的成膜方法的步骤。
29.一种存储介质,其特征在于:
存储有用于控制成膜装置的动作的计算机能够读取的程序,使得在使用所述成膜装置通过热处理在所述被处理体的表面形成含有过渡金属的薄膜时,实施权利要求1~22中任一项所述的成膜方法,
所述成膜装置具有:
能够抽真空的处理容器;
设置在所述处理容器内、用于载置所述被处理体的载置台结构;
用于加热所述被处理体的加热单元;
用于向所述处理容器内导入气体的气体导入单元;
用于向所述气体导入单元供给原料气体的原料气体供给单元;
用于向所述气体导入单元供给含氧气体的含氧气体供给单元;和
控制装置整体的控制单元。
30.一种半导体装置,其特征在于:
具有通过权利要求1~22中任一项所述的成膜方法形成的膜结构。
31.一种电子设备,其特征在于:
具备半导体装置,该半导体装置具有通过权利要求1~22中任一项所述的成膜方法形成的膜结构。
CN2008800187453A 2007-06-04 2008-06-02 成膜方法和成膜装置 Expired - Fee Related CN101715602B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP148553/2007 2007-06-04
JP2007148553 2007-06-04
JP324098/2007 2007-12-15
JP2007324098A JP2009016782A (ja) 2007-06-04 2007-12-15 成膜方法及び成膜装置
PCT/JP2008/060159 WO2008149844A1 (ja) 2007-06-04 2008-06-02 成膜方法及び成膜装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2011102720978A Division CN102306627A (zh) 2007-06-04 2008-06-02 成膜方法和成膜装置

Publications (2)

Publication Number Publication Date
CN101715602A true CN101715602A (zh) 2010-05-26
CN101715602B CN101715602B (zh) 2012-06-20

Family

ID=40357277

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2011102720978A Pending CN102306627A (zh) 2007-06-04 2008-06-02 成膜方法和成膜装置
CN2008800187453A Expired - Fee Related CN101715602B (zh) 2007-06-04 2008-06-02 成膜方法和成膜装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2011102720978A Pending CN102306627A (zh) 2007-06-04 2008-06-02 成膜方法和成膜装置

Country Status (6)

Country Link
US (1) US8242015B2 (zh)
JP (2) JP2009016782A (zh)
KR (1) KR101153664B1 (zh)
CN (2) CN102306627A (zh)
TW (1) TWI416605B (zh)
WO (1) WO2008149844A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446715A (zh) * 2010-09-30 2012-05-09 硅绝缘体技术有限公司 通过原子层沉积形成半导体材料的***和方法
CN103031533A (zh) * 2011-09-29 2013-04-10 中国科学院微电子研究所 一种可实时数据处理的原子层沉积设备
CN106756872A (zh) * 2016-12-21 2017-05-31 电子科技大学 一种高通量cvd制备硅碳氧薄膜的装置

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
JP5196467B2 (ja) * 2007-05-30 2013-05-15 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP5133013B2 (ja) * 2007-09-10 2013-01-30 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
JP5343369B2 (ja) * 2008-03-03 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
JP5353109B2 (ja) * 2008-08-15 2013-11-27 富士通セミコンダクター株式会社 半導体装置の製造方法
KR101980167B1 (ko) 2008-11-07 2019-08-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP4415100B1 (ja) * 2008-12-19 2010-02-17 国立大学法人東北大学 銅配線、半導体装置および銅配線形成方法
JP4575998B2 (ja) 2009-02-13 2010-11-04 三井造船株式会社 薄膜形成装置および薄膜形成方法
WO2010095672A1 (ja) * 2009-02-18 2010-08-26 東洋紡績株式会社 金属薄膜製造方法および金属薄膜
JP5530118B2 (ja) * 2009-04-08 2014-06-25 東京エレクトロン株式会社 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5522979B2 (ja) 2009-06-16 2014-06-18 国立大学法人東北大学 成膜方法及び処理システム
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing
JP5653018B2 (ja) * 2009-09-24 2015-01-14 東京エレクトロン株式会社 酸化マンガン膜の成膜方法
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
KR102513073B1 (ko) 2009-11-13 2023-03-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US8138084B2 (en) 2009-12-23 2012-03-20 Intel Corporation Electroless Cu plating for enhanced self-forming barrier layers
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
WO2011132625A1 (en) 2010-04-23 2011-10-27 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US9064875B2 (en) * 2010-09-29 2015-06-23 Infineon Technologies Ag Semiconductor structure and method for making same
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
JP2012190854A (ja) * 2011-03-08 2012-10-04 Toshiba Corp 半導体装置及びその配線の形成方法
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
DE102012110060A1 (de) * 2011-11-21 2013-05-23 Infineon Technologies Ag Halbleiterstruktur und Verfahren zu deren Herstellung
CN103515297B (zh) * 2012-06-28 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
JP2014017345A (ja) * 2012-07-09 2014-01-30 Tokyo Electron Ltd Cu配線の形成方法
JPWO2014013941A1 (ja) * 2012-07-18 2016-06-30 東京エレクトロン株式会社 半導体装置の製造方法
JP2014062312A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd マンガンシリケート膜の形成方法、処理システム、半導体デバイスの製造方法および半導体デバイス
KR101370037B1 (ko) * 2012-12-21 2014-03-06 주식회사 나래나노텍 H2o 가스 가열 장치 및 방법, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
JP2014236192A (ja) * 2013-06-05 2014-12-15 東京エレクトロン株式会社 酸化マンガン膜の形成方法
US20150155313A1 (en) 2013-11-29 2015-06-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP5735093B1 (ja) * 2013-12-24 2015-06-17 株式会社マテリアル・コンセプト 太陽電池及びその製造方法
US9984975B2 (en) * 2014-03-14 2018-05-29 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
US9966339B2 (en) 2014-03-14 2018-05-08 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
JP5972317B2 (ja) * 2014-07-15 2016-08-17 株式会社マテリアル・コンセプト 電子部品およびその製造方法
KR102324826B1 (ko) 2015-04-02 2021-11-11 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
KR101721931B1 (ko) * 2015-09-30 2017-04-03 (주)아이작리서치 원자층 증착 장치 및 원자층 증착 방법
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
KR102112432B1 (ko) 2016-01-06 2020-05-18 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 가스 공급 장치
US9799603B2 (en) 2016-01-27 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
US9896762B1 (en) 2016-12-16 2018-02-20 Asm Ip Holding B.V. Method of depositing and etching film in one processing apparatus
CN108695189B (zh) * 2017-04-12 2021-05-04 台湾积体电路制造股份有限公司 晶圆加工装置及加工半导体晶圆的方法
TWI755536B (zh) * 2017-05-19 2022-02-21 日商新川股份有限公司 接合裝置與接合方法
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
US10760156B2 (en) 2017-10-13 2020-09-01 Honeywell International Inc. Copper manganese sputtering target
US11035036B2 (en) 2018-02-01 2021-06-15 Honeywell International Inc. Method of forming copper alloy sputtering targets with refined shape and microstructure
US10844483B1 (en) 2019-12-16 2020-11-24 Quantum Elements Development, Inc. Quantum printing methods
CN113388827A (zh) * 2021-04-25 2021-09-14 全立传感科技(南京)有限公司 在有高纵横比的图案化特征的基板上沉积金属膜的方法
WO2023152502A1 (en) * 2022-02-10 2023-08-17 Pilkington Group Limited Process for forming a coating

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3840650B2 (ja) * 1998-01-21 2006-11-01 株式会社トリケミカル研究所 配線用銅合金膜形成材料および配線用銅合金膜形成方法
JP2001156024A (ja) * 1999-09-13 2001-06-08 Tokyo Electron Ltd TiN系薄膜およびその成膜方法、成膜装置、TiN系薄膜を含む膜構造体およびその製造方法、ならびに半導体装置
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US6924316B2 (en) * 2001-06-18 2005-08-02 Japan National Oil Corporation Method for producing hydrocarbons by Fischer-Tropsch process
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
JP3495033B1 (ja) 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
US6933011B2 (en) * 2002-10-17 2005-08-23 Aviza Technology, Inc. Two-step atomic layer deposition of copper layers
AU2003290956A1 (en) * 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP2007502551A (ja) * 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド 銅メタライゼーションのためのald窒化タンタルの集積
US6987059B1 (en) * 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
JP5068925B2 (ja) * 2004-09-03 2012-11-07 Jx日鉱日石金属株式会社 スパッタリングターゲット
TWI377208B (en) * 2004-10-15 2012-11-21 Praxair Technology Inc Organometallic compounds and processes for preparation thereof
JP5053543B2 (ja) * 2005-02-02 2012-10-17 東ソー株式会社 タンタル化合物、その製造方法、タンタル含有薄膜、及びその形成方法
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
JP2006299407A (ja) * 2005-03-23 2006-11-02 Tokyo Electron Ltd 成膜方法、成膜装置およびコンピュータ読取可能な記憶媒体
JP4236201B2 (ja) * 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446715A (zh) * 2010-09-30 2012-05-09 硅绝缘体技术有限公司 通过原子层沉积形成半导体材料的***和方法
CN102446715B (zh) * 2010-09-30 2015-10-14 硅绝缘体技术有限公司 通过原子层沉积形成半导体材料的***和方法
CN103031533A (zh) * 2011-09-29 2013-04-10 中国科学院微电子研究所 一种可实时数据处理的原子层沉积设备
CN103031533B (zh) * 2011-09-29 2016-04-13 中国科学院微电子研究所 一种可实时数据处理的原子层沉积设备
CN106756872A (zh) * 2016-12-21 2017-05-31 电子科技大学 一种高通量cvd制备硅碳氧薄膜的装置
CN106756872B (zh) * 2016-12-21 2019-05-10 电子科技大学 一种高通量cvd制备硅碳氧薄膜的装置

Also Published As

Publication number Publication date
KR101153664B1 (ko) 2012-06-18
JP2009016782A (ja) 2009-01-22
KR20100024404A (ko) 2010-03-05
TWI416605B (zh) 2013-11-21
JP2013219380A (ja) 2013-10-24
US20100140802A1 (en) 2010-06-10
US8242015B2 (en) 2012-08-14
CN101715602B (zh) 2012-06-20
CN102306627A (zh) 2012-01-04
TW200915400A (en) 2009-04-01
WO2008149844A1 (ja) 2008-12-11
JP5683038B2 (ja) 2015-03-11

Similar Documents

Publication Publication Date Title
CN101715602B (zh) 成膜方法和成膜装置
US11830732B2 (en) Selective passivation and selective deposition
US11094535B2 (en) Selective passivation and selective deposition
US20200251384A1 (en) Deposition of aluminum oxide etch stop layers
KR101214704B1 (ko) 성막 방법 및 처리 시스템
TWI394858B (zh) 用於沉積具有降低電阻率及改良表面形態之鎢膜的方法
US10283404B2 (en) Selective deposition of WCN barrier/adhesion layer for interconnect
US7794788B2 (en) Method for pre-conditioning a precursor vaporization system for a vapor deposition process
CN102804351A (zh) 阻挡层、成膜方法以及处理***
US11832533B2 (en) Conformal damage-free encapsulation of chalcogenide materials
US7763311B2 (en) Method for heating a substrate prior to a vapor deposition process
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US9218980B2 (en) Surface treatment to improve CCTBA based CVD co nucleation on dielectric substrate
US9779950B2 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
CN101466864A (zh) 成膜装置、成膜方法、计算机程序和存储介质
US20210358745A1 (en) Selective passivation and selective deposition
KR100639458B1 (ko) TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법
Wächtler Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices
US20060068588A1 (en) Low-pressure deposition of ruthenium and rhenium metal layers from metal carbonyl precursors
CN102317499A (zh) Cu膜的成膜方法和存储介质
US20080038920A1 (en) System and method of selectively depositing ruthenium films by digital chemical vapor deposition
TW202400828A (zh) 選擇性沉積方法及化學品輸送系統

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120620

Termination date: 20170602