CN101419984B - 半导体结构及其形成方法 - Google Patents

半导体结构及其形成方法 Download PDF

Info

Publication number
CN101419984B
CN101419984B CN2008101492953A CN200810149295A CN101419984B CN 101419984 B CN101419984 B CN 101419984B CN 2008101492953 A CN2008101492953 A CN 2008101492953A CN 200810149295 A CN200810149295 A CN 200810149295A CN 101419984 B CN101419984 B CN 101419984B
Authority
CN
China
Prior art keywords
metal
layer
semiconductor
stressor layers
lining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008101492953A
Other languages
English (en)
Other versions
CN101419984A (zh
Inventor
朱慧珑
沃纳·劳希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101419984A publication Critical patent/CN101419984A/zh
Application granted granted Critical
Publication of CN101419984B publication Critical patent/CN101419984B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明公开了一种半导体结构及其形成方法。该半导体结构包括提供具有作为存在于栅极导体顶上的应力层的结果的应力沟道区的至少一晶体管,所述栅极导体包括包含底多晶硅层和顶金属半导体合金(即金属硅化物)层的叠层。所述应力层自对准于栅极导体。本发明的结构还具有由于位于源极/漏极区顶上的金属接触引起的减小的外部寄生S/D电阻,所述源极/漏极区包括由金属半导体合金构成的表面区。所述金属接触自对准于栅极导体。

Description

半导体结构及其形成方法
技术领域
本发明涉及半导体结构及其形成方法。更具体地,本发明涉及具有制对准金属通路接触的半导体结构。
背景技术
场效应晶体管(FET)是现代集成电路的基本构造块。这样的晶体管可以在传统体衬底(例如硅)上或在绝缘体上半导体(SOI)衬底上形成。
目前金属氧化物半导体(MOS)晶体管通过沉积栅极叠层材料于栅极介电质和衬底上方而被制造。通常,MOS晶体管制造工艺实施光刻和蚀刻工艺从而界定导电例如多晶硅栅极结构。栅极结构和衬底被热氧化,并且在此之后,源极/漏极延伸通过注入形成。有时注入使用隔离体进行以便产生栅极和被注入的结之间的特定距离。在一些情形,例如在n-FET器件的制造中,n-FET器件的源极/漏极延伸不使用隔离体注入。对于p-FET器件,源极/漏极延伸典型地在隔离体存在下被注入。较厚的隔离体典型地在源极/漏极延伸被注入之后形成。随后在该厚隔离体存在下进行深源极/漏极注入。进行高温退火以便激活结,在此之后源极/漏极和栅极的顶部通常被硅化。硅化物的形成典型地要求难熔金属被沉积在含硅衬底上随后进行产生硅化物材料的工艺。硅化物工艺形成对于深源极/漏极区和栅极导体的低电阻接触。
高集成密度可以减小制造成本。为了可以制造比当前可行的更高集成密度的集成电路(IC),例如存储器、逻辑和其它器件,必须发现一种方式以便进一步减小场效应晶体管(FET),例如金属氧化物半导体的尺寸。晶体管尺寸的减小允许性能的改善以及紧凑,但是这样的尺寸减小使一些器件产率下降。
随着持续减小晶体管的尺寸,需要通路接触的尺寸减小。但是,当通路开口的尺寸小并且栅极和通路之间的间距小时,难于蚀刻接触通路以便避免栅极对通路短路。尽管薄接触区应力层使得较为容易蚀刻通路开口,但是这样的薄接触区应力层减小了传递到晶体管沟道中的应力。这降低了晶体管的性能并且,这样是非常不希望的。栅极对通路短路减小了芯片的产率并且因而增加了IC制造的成本。
除了上述之外,制造高性能半导体结构中的另一关键的挑战是减小外部寄生源极/漏极(S/D)电阻。典型地,外延提高的S/D被实施以便解决该问题。但是,外延提高的S/D工艺添加了显著的器件集成复杂度。预外延表面清洁和外延生长条件对于在半导体衬底中存在的下面的掺杂核素及其浓度敏感并且需要广泛的优化。
考虑到上述,存在提供由应力沟道区引起的具有改善了的器件性能的半导体结构而不增加接触通路的尺寸的需求。此外,还存在提供其中外部寄生S/D电阻被减小的具有改善了的器件性能而无需外延生长提高了的S/D半导体区的半导体结构的需求。为了减小由于光刻未对准的面积损失,希望具有对于栅极的通路自对准。
发明内容
本发明提供了一种半导体结构,其包括具有应力沟道区的至少一晶体管,所述应力沟道区是存在于栅极导体顶上的应力层的结果,所述栅极导体包括包含底部多晶硅(polySi)层和顶部金属半导体合金(即金属硅化物)层的叠层。应力层自对准于栅极导体。本发明的结构还具有减小的外部寄生S/D电阻,由位于源极/漏极区的顶上的金属接触引起,该金属接触包括由金属半导体合金构成。
概括地,本发明的半导体结构包括:
位于半导体衬底上的至少一场效应晶体管,所述至少一场效应晶体管包括包含多晶硅的下层和第一金属半导体合金的上层的栅极导体叠层,所述栅极导体叠层具有包括至少一隔离体的侧壁和包括止蚀衬层和应力层的顶表面,所述止蚀衬层位于所述应力层的底表面和侧壁表面上;
第二金属半导体合金层,位于所述至少一隔离体的足印的所述半导体衬底内;和
金属接触,包含位于所述第二金属半导体合金层上的来自元素周期表的VIII或IB族的金属和W、B、P、Mo和Re的至少之一。
在本发明中,所述第一和第二金属半导体合金层可以包括相同材料或不同材料。在本发明中,所述第二金属半导体合金层位于至少一晶体管的源极扩散区和漏极扩散区内。
在本发明的一实施例中,半导体结构还包括位于金属接触和至少一晶体管顶上的阻挡层。
在本发明的另一实施例中,包括接触通路的线中(MOL)介电质位于该结构之内,其中接触通路与金属接触接触。在本发明的又一实施例中,接触通路包括扩散阻挡衬层。
在本发明的又一实施例中,金属接触包括CoW、CoP或CoWP,高度优选CoWP。
在本发明的又一实施例中,沟槽隔离区位于半导体衬底之内,其中沟槽隔离区的外边与第二金属半导体合金层的边接触。
在本发明的又一实施例中,栅极介电材料存在于栅极导体叠层和衬底之间。
在又一实施例中,存在于第一半导体合金的上层上的止蚀衬层和应力层每个具有低于至少一隔离体的上表面的上表面。
在本发明的又一实施例中,另一止蚀层和另一应力层的叠层位于沟槽隔离区和相邻于沟槽隔离区的第二半导体金属合金的部分上。在本发明中,止蚀层和另一止蚀层包括相同的止蚀材料,而应力层和另一应力层也包括相同的应力材料(或者是拉应力或者是压应力)。
在本发明的另一方面中,提供了一种半导体结构,其包括:
位于半导体衬底上的至少一场效应晶体管,所述至少一场效应晶体管包括包含多晶硅的下层和第一金属半导体合金的上层的栅极导体叠层,所述栅极导体叠层具有包括至少一隔离体的侧壁和包括止蚀衬层和应力层的顶表面,所述止蚀衬层位于所述应力层的底表面和侧壁表面上;
第二金属半导体合金层,位于所述至少一隔离体的足印的所述半导体衬底内;
包括位于所述第二金属半导体合金层上的来自元素周期表的VIII或IB族和W、B、P、Mo和Re的至少之一的金属的金属接触;和
位于所述金属接触和所述至少一晶体管顶上的线中介电质,所述线中介电质包括与位于所述第二金属半导体合金层顶上的所述金属接触接触的至少一接触通路。
观察到许多上述实施例对于本发明的这一方面也适用。
除了上述之外,本发明还提供了上述结构的制造方法。概略地,本发明的方法包括:
提供半导体衬底上的包括多晶硅的下层和多晶硅锗的上层的构图的材料叠层,所述构图的材料的叠层具有被至少一隔离体覆盖的侧壁;
从所述构图的材料叠层去除所述多晶硅锗的上层;
在所述多晶硅层之内形成第一金属半导体合金层并且在所述至少一隔离体的足印的所述半导体衬底内形成第二金属半导体合金层;
在所述第一半导体合金层上形成止蚀衬层和应力层,其中所述止蚀衬层存在于所述应力衬层的底表面和侧壁表面上;并且
在所述第二金属半导体合金层上形成包含来自元素周期表的VIII或IB族的金属和W、B、P、Mo和Re的至少之一的金属接触。
在本发明中,金属接触的形成包括非外延提高的源极/漏极方案,包括来自元素周期表的VIII或IB族的至少一金属和B、P、Mo和Re的至少之一的无电沉积。在一些实施例中,无电沉积还包括钯仔晶层。
在本发明方法的第一实施例中,形成止蚀衬层和应力层包括在半导体衬底和位于多晶硅下层内第一半导体合金层上方沉积止蚀衬层和应力层,提供具有垂直于多晶硅下层的至少一开口的构图的光致抗蚀剂,所述至少一开口位于所述衬底的有源区内和所述有源区之外,进行去除未被所述构图的光致抗蚀剂保护的应力层的第一蚀刻,并且进行去除未被构图的光致抗蚀剂所保护的止蚀衬层的第二蚀刻。
在本发明方法的第二实施例中,形成止蚀衬层和应力层包括在位于半导体衬底和多晶硅下层内的第一半导体合金层上方沉积止蚀衬层和应力层,提供具有垂直于多晶硅下层的至少一开口的构图的光致抗蚀剂,所述至少一开口仅位于所述衬底的有源区内,进行去除未被所述构图的光致抗蚀剂保护的应力层的第一蚀刻,并且进行去除未被构图的光致抗蚀剂所保护的止蚀衬层的第二蚀刻。在本发明方法的该第二实施例中,另一止蚀衬层和另一应力层的叠层形成于位于半导体衬底内的沟槽隔离区和邻接沟槽隔离区的第二半导体金属合金层的部分上。
本发明的方法还包括形成具有与第二半导体合金层接触的至少一接触通路的线中介电材料的步骤。
附图说明
图1A和1B是本发明结构的示意图(通过俯视图和沿切面A-A的截面图)。
图2-12B是描述在本发明的一实施例中所采用的基本工艺步骤的示意图(通过各个视图)。
图13A-15是描述在本发明另一实施例中所采用的基本工艺步骤的示意图(通过各个视图);该实施例提供了在上述图1A-1B中所示出的结构。
具体实施方式
现将通过参考下列讨论和本申请的附图更为详细地描述本发明,本发明提供了由位于栅极导体叠层顶上的应力层和位于含金属半导体合金的源极/漏极扩散区顶上的金属接触引起的、具有改善了器件性能和芯片产率的半导体结构及其制造方法。应当注意本申请的附图仅为示意性目的而被提供,并且因为如此未按比例绘制。
在下列描述中,阐述许多具体的细节,例如具体的结构、器件、材料、尺寸、工艺步骤和技术,以便提供对于本发明的透彻的理解。但是,本领域中的普通技术人员会理解,可以实践本发明而无需这些特定的细节。在其它的实例中,公知的结构或工艺步骤未被详细描述以便避免模糊本发明。
应当理解当如层、区或衬底的元件被称为在另一元件“上”或“上方”时,其可以直接在另一元件上或者也可以存在居间的元件。相反,当元件被称为在另一元件“直接上”或“直接上方”时,不存在居间的元件。还应当理解当一个元件被称为“连接”或“耦合”至另一元件时,可以直接连接或耦合至另一元件或者可以存在居间的元件。相反,当元件被称为“直接连接”或“直接耦合”至另一元件时,不存在居间的元件。
在详细讨论本发明之前,注意到在本发明的附图中有时示出了2个视图。在示出2个视图的情形,包括名称“A”的图是结构的俯视图,而包括名称“B”的图是通过俯视图中示出的A-A切面的截面图。
如上所述并且如同在图1A-1B和12A-12B中所示出的,本发明提供了一半导体结构100,其包括位于有源区AA中的半导体衬底12的表面上的至少一场效应晶体管102。至少一场效应晶体管102包括包含多晶硅的下层20’和第一金属半导体合金34A的上层的栅极导体叠层。栅极导体叠层具有包括至少一隔离体30的侧壁S1和S2和包括止蚀衬层36和应力层38的顶表面。如所示出的,止蚀衬层36位于应力层38的底表面和侧壁上。
在图1A-1B和12A-12B中所示出的本发明的结构包括位于所述至少一隔离体30的足印的半导体衬底12内的第二金属半导体合金层34B。包含来自元素周期表的VII或IB族的金属和W、B、P、Mo和Re至少之一的金属接触位于第二金属半导体合金层34B上。
在本发明中,第一和第二金属半导体合金层(分别是34A和34B)包含相同或不同的材料。第二金属半导体合金层34B位于至少一晶体管102的源极扩散区和漏极扩散区内(在图中分别标注为32)。
半导体结构100还包括位于金属接触42和至少一晶体管102的顶上的阻挡层44。包括由导电金属构成的接触通路48的线中(middle of line MOL)介电质46位于该结构内,其中接触通路48与金属接触42接触。扩散阻挡衬层50典型地存在于接触通路48内。
沟槽隔离区14位于半导体衬底12内,其中沟槽隔离区14的外边14A与第二金属半导体合金层34B的边E接触。
如所示出的,栅极介电材料20存在于栅极导体叠层104和半导体衬底12之间。
在本发明的一些实施例中,如在图1B中所示出的,另一止蚀层36’和另一应力层38’的叠层位于沟槽隔离区14和邻接沟槽隔离区14的第二半导体金属合金层34B的部分上。
现将参考根据本发明第一实施例所采用的基本工艺步骤的示意图的图2-12B。在第一实施例中,另一止蚀衬层36’和另一应力层38’的叠层未被形成。具体地,图2示出了制造图12A-12B中所示出的结构在本发明中所采用的初始结构10。具体地,该初始结构10包括具有位于其中的至少一沟槽隔离区14的半导体衬底12。在半导体衬底12顶上存在材料叠层,从底至顶包括多晶硅(polySi)层20和多晶硅锗层22。
图2中示出的初始结构10由本领域的技术人员所熟知的材料构成。此外,传统技术用于形成所述初始结构。例如,半导体衬底12包含任何半导体材料,其包括例如Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP和所有其他的III/V或II/VI化合物半导体。半导体衬底12可以是层叠半导体,例如Si/SiGe、Si/SiGeC,或绝缘体上半导体(SOI)。当采用SOI衬底时,掩埋的绝缘体例如,掩埋的氧化物或掩埋的氮化物位于顶和底半导体层之间。掩埋的绝缘体可以是连续的或是不连续的。在本发明的一些实施例中,半导体衬底12是含硅衬底,即包括硅的半导体材料。半导体衬底12可以被掺杂,未被掺杂或包含被掺杂的区和/或表面和未被掺杂的其它区和/或表面。
半导体衬底12可以被应变、未被应变或其中包含应变的区和未被应变的区。半导体衬底12可以具有单晶取向或者可以是具有至少两个具有不同晶向的表面区的混合取向半导体衬底。
位于半导体衬底12内的至少一沟槽隔离14使用本领域技术人员所熟知的技术形成。典型地,至少一沟槽隔离区14通过提供构图的掩模而形成,所述掩模通过沉积和光刻在半导体衬底的表面上具有至少一开口,随后使用蚀刻以便蚀刻沟槽于半导体衬底12中。沟槽的深度可以变化并且对于本发明不是关键的。接着,沟槽使用沉积工艺被填充以沟槽介电材料,例如SiO2或TEOS(原硅酸四乙酯)。填充沟槽之后,采用平坦化工艺,例如化学机械抛光(CMP)和/或研磨以便提供平面结构。在沟槽介电质填充之前,选择性的沟槽衬层可以被形成于沟槽内,并且也可以进行选择性的致密化步骤。
在形成材料叠层16之前,半导体衬底12的表面被清洁以便去除任何残留的层(例如自生氧化物),外来颗粒,和任何残留的金属表面污染并且临时保护半导体衬底12的表面。任何残留的硅氧化物首先在氢氟酸溶液中被去除。优选的颗粒和金属污染物的去除根据称为RCA清洁的工业标准栅极介电预清洁。RCE清洁包括在氢氧化胺溶液(NH4OH)和过氧化氢(H2O2)溶液中的处理随后在盐酸和氧化剂(例如H2O2,和O3)的水混合物中的处理。结果,被清洁的衬底表面用非常薄的化学氧化物的层(未示出)被“密封”。尽管使得化学氧化物典型地薄于约10埃以便不干扰栅极介电质18的性质,但是其厚度可以被改变以便有益地改变栅极介电质18的性能。
栅极介电质18的毯层形成于包括隔离区14顶上的半导体衬底12的整个表面上。栅极介电质18可以通过热生长工艺被形成,例如氧化、氮化或其组合。作为替代,栅极介电质18可以通过沉积工艺被形成,例如化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层或脉冲沉积(ALD或ALPD)、蒸镀、反应溅射、化学溶液沉积或其它类似的沉积工艺。栅极介电质18还可以利用任何上述工艺的组合被形成。
栅极介电质18由具有大约4.0或更大的、优选大于7.0的介电常数的绝缘材料构成。除非另述,在此介绍的介电常数是相对于真空的。注意SiO2典型地具有大约4.0的介电常数。具体地,在本发明中所采用的栅极介电质18包括,但不局限于:氧化物、氮化物、氧氮化物和/或包括金属硅酸盐的硅酸盐、铝酸盐、钛酸盐和氮化物。在一实施例中,优选栅极介电质18由氧化物构成,包括例如,SiO2、HfO2、ZrO2、Al2O3、TiO2、La2O3、SrTiO3、LaAlO3、Y2O3及其混合物。
栅极介电质18的厚度可以变化,但是典型地,栅极介电质18具有从大约0.5至大约10nm的厚度,从大约0.5至大约2nm的厚度更为典型。
在形成栅极介电质18之后,多晶硅20的毯层使用已知的沉积工艺被形成于栅极介电质18上,例如物理气相沉积、CVD、或蒸镀。在本发明的该情形沉积的多晶硅层20的厚度即高度可以根据所采用的沉积工艺而改变。典型地,多晶硅层20具有从大约20至大约180nm的厚度,从大约50至大约100nm的厚度更为典型。
在栅极介电质18顶上形成多晶硅层20之后,多晶硅锗(polySiGe)22的层被形成于多晶硅层20上。多晶硅锗层22可以在与在形成多晶硅层所使用的单独的沉积步骤中形成,或多晶硅锗层22可以在与在形成多晶硅层所使用的相同的沉积步骤中通过将Ge原子引入沉积先驱体流而形成。多晶硅锗层22的厚度可以根据在形成多晶硅锗层22中所使用的技术而改变。典型地,多晶硅锗层22具有从大约10至大约100nm的厚度,从大约10至大约50nm的厚度甚至更为典型。
图3示出了在图2中所示出的初始结构10,其包括位于多晶硅锗层22的表面上的构图的光致抗蚀剂24。构图的光致抗蚀剂24通过首先沉积抗蚀剂材料(未示出)于多晶硅锗层22的整个表面上而形成。抗蚀剂材料可以是有机抗蚀剂材料、无机抗蚀剂材料或混合抗蚀剂材料。在沉积抗蚀剂材料于多晶硅锗层22的表面上之后,抗蚀剂材料经历光刻工艺。光刻工艺包括曝光抗蚀剂材料于辐射图案并且使用传统抗蚀剂显影剂显影被曝光的抗蚀剂。
图4示出了进行去除未被构图的光致抗蚀剂24所保护的多晶硅锗层22和多晶硅层22的部分的蚀刻步骤之后形成的结构。蚀刻步骤包括干法蚀刻(即反应离子蚀刻、离子束蚀刻、等离子体蚀刻或激光烧蚀),化学湿法蚀刻(即包括化学蚀刻剂的蚀刻工艺)或其组合。典型地,在形成在图4中所示出的构图的结构中采用了反应离子蚀刻。注意到蚀刻步骤产生包含构图的多晶硅锗层22’和构图的多晶硅层20’的构图的材料叠层26。还注意到蚀刻步骤典型地停止于栅极介电质18的上表面上。
在一些实施例中,如图4中所示,单个构图的材料叠层26形成。在本发明的其它实施例中,多个这样的构图的材料叠层可以使用多个构图的光致抗蚀剂和上述蚀刻步骤形成。
形成构图的材料叠层26之后,构图的光致抗蚀剂24使用例如灰化的传统抗蚀剂剥离工艺从结构中去除。接着,传统CMOS工艺步骤被进行以便提供所示出的结构,例如在图5中所示出的。具体地,源极/漏极延伸区(未具体标识)使用传统延伸离子注入工艺形成。选择性的退火工艺可以跟随在延伸离子注入之后。在一些实施例中,晕注入(未示出)可以在本发明工艺的该点上使用传统晕注入工艺形成。在本发明的一些实施例中并且在源极/漏极延伸区的形成之前,可以进行栅极再氧化工艺以便在构图的材料叠层26的侧壁上形成薄氧化物(未示出)。
接着,至少一隔离体30通过沉积和蚀刻被形成。至少一隔离体30包括介电材料,其包括例如氧化物、氮化物或氧氮化物。典型地,至少一隔离体30包括硅的氧化物和/或硅的氮化物。至少一隔离体30必须足够地宽,使得源极和漏极金属半导体合金层(随后要形成)不侵占构图的材料叠层26的边的下面。典型地,源极/漏极金属半导体合金层不侵占材料叠层26的边的下面,当至少一隔离体30具有在底部测量的,从大约15至大约200nm的宽度。注意到在隔离体蚀刻期间,栅极介电质18的被暴露的部分也被去除。
在至少一隔离体30形成之后,源极/漏极扩散区32被形成于半导体衬底12中;源极/漏极扩散区32包括上述S/D延伸区。源极/漏极扩散区32使用离子注入和退火步骤被形成。退火步骤用来激活通过先前的注入步骤被注入的掺杂剂(或多个步骤,如果退火先前未进行以便激活在延伸注入区内的掺杂剂)。在本发明的该情形,缓冲区注入可以被进行以便提供优化器件的串连电阻的具有缓变结的源极/漏极区。
接着,构图的多晶硅锗层22’选择性地对于构图的多晶硅层20’被蚀刻以便提供例如在图6中所示出的结构。选择性的蚀刻包括可以对于硅选择性地去除硅锗的RIE或湿法蚀刻工艺。这样的选择性的RIE工艺的实例是任何CxFy气体,例如CF4或CHF3。注意在进行选择性的蚀刻工艺之后,构图的多晶硅层20’被暴露。
图7示出了在构图的多晶硅层20’的表面顶上的第一金属半导体合金层34A和源极/漏极扩散区32内的第二金属半导体合金层34B形成之后形成的结构。强调第一金属半导体合金34A和构图的多晶硅层20’形成本发明结构的栅极导体叠层104。
第一金属半导体合金层34A和第二金属半导体合金34B由相同或不同的金属半导体合金构成。当第一和第二半导体合金层由相同的材料构成时,能够与半导体材料热反应的金属被沉积在图6中所示出的结构的顶上。金属典型地是Ti、W、Co、Ni、Pt和Pd之一,Ti、W、Co和Ni更为优选。金属可以包括合金添加剂,例如,C、Al、Si、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Ge、Y、Zr、Nb、Mo、Ru、Rh、Pd、In、Sn、La、Hf、Ta、W、Re、Ir、Pt、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Tm、Yb、Lu及其混合物。当其存在时,合金添加剂以上至大约50原子百分比的量存在。金属通过传统沉积工艺形成,所述工艺包括例如化学气相沉积、等离子体增强化学气相沉积、镀、溅射、化学溶液沉积、原子层沉积、物理气相沉积和其它类似技术。合金添加剂可以在与金属同时形成或可以在其沉积之后被添加至金属,或者它可以在单独的层中被共沉积在金属顶上。
被沉积的金属的厚度可以改变。典型地,对于在FET中的应用,被沉积的金属具有从大约5至大约15nm的厚度。
金属的形成之后,例如TiN或TaN的选择性的扩散阻挡在退火之前可以被形成于金属顶上。退火在足以引起金属和半导体反应在一起形成金属半导体合金层,即金属硅化物或金属锗化物的条件下进行。退火可以在单个步骤中进行或者可以使用两步骤退火工艺。退火在大约300℃或更高的温度下进行,从大约400℃至大约700℃的温度更为典型。在单个退火工艺之后或者在两步骤退火的第一步骤之后,选择性的扩散阻挡使用本领域技术人员所熟知的技术被去除。退火可以在形成气体中进行,例如He、Ar、或N2。退火包括炉退火、快速热退火、尖峰退火、微波退火或激光退火。典型地,退火是快速热退火,其中退火时间典型地是小于1分钟。最终的退火步骤之后,任何未反应的金属从该结构中被去除。
当第一和第二金属半导体合金层(34A和34B)由不同材料构成时,在栅极叠层或源极/漏极扩散区上方形成阻挡掩模并且随后跟随上述过程。在金属半导体合金层之一形成之后,另一阻挡掩模形成于包括先前形成的金属半导体合金层的上方并且也跟随着上述过程,但是使用不同的金属。
现将参考图8A和8B,图8A和8B示出了止蚀衬层36和应力层38形成之后的结构;在图8A所示的俯视图中,标号AA指示位于衬底12内的晶体管的有源区。止蚀衬层36包括与应力层38的成份不同的介电材料。典型地,止蚀衬层36是氧化物,硅的氧化物是高度优选的。止蚀衬层36是具有从大约3至大约15nm的厚度的薄层,从大约5nm至大约12nm的厚度更为优选。止蚀衬层36使用传统沉积工艺形成,包括但不局限于CVD、PECVD、PVD、蒸镀和化学溶液沉积。注意止蚀衬层36覆盖至少一隔离体30的被暴露的内表面以及在构图的多晶硅层20’内形成的第一金属半导体合金34A的被暴露的表面。
应力层38随后形成于止蚀衬层36顶上,提供在图8A和8B中所示出的结构。具体地,应力层38包括具有趋向于有利和提高晶体管性能的应力的材料。当晶体管是n-FET时,应力优选是晶体管的沟道内提供在源极/漏极方向上的拉应力的拉应力。在这些环境下,晶体管内的电荷载流子迁移率被提高了。相反,当晶体管是p-FET时,覆盖层的压应力对于形成产生增强的空穴迁移率的源极/漏极方向上的压沟道应力的目的是希望的。在当前的实施例中,晶体管优选是n-FET并且应力层38优选包括拉应力层。
应力层38可以包括任何几种应力材料。非限制性的实例包括氮化物和氧氮化物。氮化物是迄今尤其常用的应力层材料,因为不同大小和类型的应力可以通过使用不同的形成氮化物层的沉积条件而被引入氮化物层材料。影响氮化物层的应力的具体的沉积条件包括在从大约200至大约600℃的温度范围改变低频等离子体对于高频等离子体的比率。典型地,应力层38包括具有从大约300至大约1500埃的沉积厚度的氮化物材料,尽管本发明不限制应力层仅包括氮化物材料。
现将参考图9A-9B,图9A-9B示出了在具有开口41的结构的表面上形成构图的光致抗蚀剂40之后的结构,开口41垂直于包括构图的多晶硅层20’的栅极导体叠层104。构图的光致抗蚀剂40使用上述传统光刻形成。注意开口41存在于结构的AA的内部和之外。
接着,在图9A-9B中示出的结构经历蚀刻步骤,蚀刻步骤首先去除应力层38,停止在止蚀衬层36上,并且随后对于残留的应力层和位于源极/漏极扩散区32内的第二金属半导体合金层34B选择性地去除止蚀衬层36。蚀刻穿过在构图的光致抗蚀剂40中存在的开口41发生。去除应力层38同时停止在下面的止蚀衬层上的第一蚀刻步骤包括使用CF4/O2化学试剂的反应离子蚀刻工艺。对于残留的应力层和第二金属半导体合金层34B选择性地去除止蚀衬层36的第二蚀刻步骤包括使用CHF3/CO化学试剂的反应离子蚀刻工艺。在上述蚀刻步骤之后形成的所得的结构例如在图10中所示出进行。
在当前方法的该情形,构图的光致抗蚀剂40使用传统抗蚀剂剥离工艺例如灰化被去除。
构图的光致抗蚀剂40的去除之后,金属接触42被选择性地形成于位于源极/漏极扩散区32顶上的各第二金属半导体合金层34B的顶上;金属接触42自对准于邻接的隔离体30的外边和沟槽隔离区14的外边14A。所得结构包括例如图11中所示出的金属接触42。金属接触42包括来自元素周期表的VIII或IB族的金属与W、B、P、Mo和Re的至少之一。来自VIII族的金属包括Fe、Ru、Os、Co、Rh、
Figure G2008101492953D0012100044QIETU
、Ni、Pd和Pt,而来自IB族的金属包括Cu、Ag和Au。优选金属接触包括CoW、CoP或CoWP,高度优选CoWP。
金属接触42使用减小寄生外部电阻的非外延提高的源极/漏极方案来形成。具体地,金属接触42通过使用无电沉积技术选择性地沉积而形成。
在无电沉积中,涉及一或更多的可溶还原剂的氧化和一或更多金属离子的还原的氧化还原反应出现于衬底的表面上。对于包括例如Co的许多金属,金属半导体合金表面对于工艺的持续是充分地催化的。但是为了开始工艺,金属半导体合金表面,在一些实例中,可以首先用例如钯离子的催化材料的薄层播种以便启动无电沉积。更为通常地,衬底用含钯离子溶液涂层。钯离子与衬底经历了沉浸交换反应,导致钯的薄层的形成(1至几个单层厚)。无电镀的背景信息在Industrial Electrochemistry-D.Pltcher和F.C.Walsh(Editor),2nd Edition,Chapman and Hall,NY1990,ISBN:0412304104和ElectrolessPlating:Fundamentals and Applications—G.O.Mallory,J.B.Hajdu(Editor)1990,ISBN:0815512775中很好地得到了记录。还参照J.Pan等.“Novel approach toReduce Source/drain Series Resistance in High Performance CMOS DevicesUsing Self-Aligned CoWP Process for 45nm Node UTSOI Transistors with20nm Gate Lengths”,VLSI2006。J.Pan等的文章的内容通过引用的方式结合于此。
注意到没有金属接触直接形成于存在于构图的多晶硅层20’内的第一金属半导体合金层34A上。
接着,阻挡层44使用例如CVD、PECVD、和PVD的传统沉积工艺被沉积在整个结构上。阻挡层44包括例如氮化物的介电材料,硅的氮化物是高度优选的。阻挡层44可以是压应力材料、拉应力材料或中性(即无)应力材料。阻挡层44具有从大约10至大约50nm的厚度,具有从大约15至大约30nm的厚度。
线中(MOL)介电质46被沉积在阻挡层44上并且被平坦化。MOL介电质46可以是未掺杂的硅玻璃(USG)、氟硅酸盐玻璃(FSG)、硼磷硅酸盐玻璃(BPSG)、旋涂低k介电层、或化学气相沉积(CVD)低k介电层。MOL介电质46可以使用传统沉积工艺而形成,包括例如CVD、PECVD、蒸镀和旋涂。接触通路孔形成于MOL介电质46中并且被填充一例如Cu、Ti、Al或其合金的金属以便形成接触通路48。在一些实施例中,并且如在图12A-12B中所示,接触通路48包括扩散阻挡材料50,扩散阻挡材料50为Ti、TiN、Ta、TaN、Ru、RuN、W和WN之一。用金属填充通路之前,扩散阻挡材料50在用包括溅射、镀、PECVD、CVD和PVD的沉积工艺形成于接触通路内。也可以进行选择性的扩散阻挡材料50和接触通路的金属48的平坦化工艺和回蚀刻。图12A-12B示出了进行了上述工艺步骤之后的本发明结构。
现将参考图13A-15,图13A-15示出了根据本发明第二实施例的工艺步骤,这些工艺步骤导致在图1A-1B中示出的结构的形成。第二实施例通过首先提供在第一实施例的图8中所示出的结构而开始。接着,并且如图13A-13B中所示,具有开口41’的构图的光致抗蚀剂40’使用传统光刻形成。与上述第一实施例不同,其中开口存在于AA内和之外,开口41’仅位于结构的AA内。
图14示出了未被光致抗蚀剂40’所保护的被暴露的应力层和止蚀衬层被去除之后图13A-13B的结构。在形成在图14中所示出的结构中所使用的蚀刻步骤与上述对于第一实施例的图9A-9B所述的相同。
构图的光致抗蚀剂40’随后如第一实施例中所述被去除,并且金属接触42也如本发明的第一实施例中所述被形成。所得结构在图15中被示出。注意在图15中所示出的结构中,另一止蚀衬层36’的和另一应力层38’的叠层留在邻接沟槽隔离区的第二金属半导体合金层34B的部分上。强调另一止蚀衬层36’由与止蚀衬层36相同的材料构成。还强调另一应力层38’由与位于栅极导体叠层顶上的应力层38相同的材料构成。
图1A-1B示出了形成的所得结构,包括阻挡层44、MOL46、扩散阻挡层50和接触通路48。
尽管对于其优选实施例具体示出和描述了本发明,但是本领域的技术人员应当理解可以进行前述和其它形式和细节的改变,而不偏离本发明的精神和范围。因此本发明旨在不限于描述和示出的精确的形式和细节,而是其落在所附权利要求的范围内。

Claims (23)

1.一种半导体结构,包括:
位于半导体衬底表面上的至少一场效应晶体管,所述至少一场效应晶体管包括包含多晶硅的下层和第一金属半导体合金的上层的栅极导体叠层,所述栅极导体叠层具有包括至少一隔离体的侧壁和包括止蚀衬层和应力层的顶表面,所述止蚀衬层位于所述应力层的底表面和侧壁表面上;
第二金属半导体合金层位于所述至少一隔离体的足印的所述半导体衬底内,且位于所述至少一晶体管的源极扩散区和漏极扩散区内;并且
金属接触,位于所述第二金属半导体合金层上并包括来自元素周期表VIII或IB族的金属和W、B、P、Mo和Re的至少之一。
2.根据权利要求1的半导体结构,还包括位于所述金属接触和所述至少一晶体管顶上的阻挡层。
3.根据权利要求2的半导体结构,其中包括接触通路的线中介电质存在并且所述接触通路与所述金属接触接触。
4.根据权利要求3的半导体结构,其中所述接触通路包括扩散阻挡衬层。
5.根据权利要求1的半导体结构,其中所述金属接触包括CoW、CoP或CoWP。
6.根据权利要求1的结构,还包括位于所述半导体衬底内的沟槽隔离区,其中所述沟槽隔离区的外边与所述第二金属半导体合金层的边接触。
7.根据权利要求1的半导体结构,其中在所述第一金属半导体合金层的上层上的所述止蚀衬层和所述应力层各自具有低于所述至少一隔离体的上表面的上表面。
8.根据权利要求6的半导体结构,还包括位于所述沟槽隔离区上的另一止蚀衬层和另一应力层的叠层和邻接所述沟槽隔离区的所述第二半导体金属合金层。
9.根据权利要求1的半导体结构,其中所述栅极导体叠层顶上的所述应力层是拉应力材料。
10.根据权利要求1的半导体结构,其中所述栅极导体叠层顶上的所述应力层是压应力材料。
11.一种半导体结构,包括:
位于半导体衬底表面上的至少一场效应晶体管,所述至少一场效应晶体管包括包含多晶硅的下层和第一金属半导体合金的上层的栅极导体叠层,所述栅极导体叠层具有包括至少一隔离体的侧壁和包括止蚀衬层和应力层的顶表面,所述止蚀衬层位于所述应力层的底表面和侧壁表面上;
第二金属半导体合金层,位于所述至少一隔离体的足印的所述半导体衬底内,且位于所述至少一晶体管的源极扩散区和漏极扩散区内;
金属接触,位于所述第二金属半导体合金层上并包括来自元素周期表VIII或IB族的金属和W、B、P、Mo和Re的至少之一;以及
线中介电质,位于所述金属接触和所述至少一晶体管顶上,所述线中介电质包括至少一接触通路,该至少一接触通路与位于所述第二金属半导体合金层顶上的所述金属接触接触。
12.根据权利要求11的半导体结构,还包括位于所述线中介电质和部分所述金属接触和所述至少一晶体管之间的阻挡层。
13.根据权利要求11的半导体结构,其中所述金属接触包括CoW、CoP或CoWP。
14.根据权利要求11的半导体结构,还包括位于所述半导体衬底内的沟槽隔离区,其中所述沟槽隔离区的外边与所述第二金属半导体合金层的边接触。
15.根据权利要求11的半导体结构,其中在所述第一金属半导体合金层的上层上的所述止蚀衬层和所述应力层各自具有低于所述至少一隔离体的上表面的上表面。
16.根据权利要求14的半导体结构,还包括位于所述沟槽隔离区和邻接所述沟槽隔离区的部分所述第二半导体金属合金层上的另一止蚀衬层和另一应力层的叠层。
17.根据权利要求11的半导体结构,其中所述栅极导体叠层顶上的所述应力层是拉应力材料。
18.根据权利要求11的半导体结构,其中所述栅极导体叠层顶上的所述应力层是压应力材料。
19.一种形成半导体结构的方法,包括:
提供包括多晶硅的下层和多晶硅锗的上层的构图的材料叠层于半导体衬底的表面上,所述构图的材料叠层具有被至少一隔离体覆盖的侧壁;
在所述构图的材料叠层和所述至少一隔离体两侧的半导体衬底内形成源极扩散区和漏极扩散区;
从所述构图的材料叠层去除所述多晶硅锗的上层;
在所述多晶硅层内形成第一金属半导体合金层并且在所述至少一隔离体的足印的所述半导体衬底内且在所述源极扩散区和所述漏极扩散区内形成第二金属半导体合金层;
形成止蚀衬层和应力层于所述第一半导体合金层上,其中所述止蚀衬层存在于所述应力衬层的底表面和侧壁表面上;并且
形成包括来自元素周期表的VIII或IB族的金属和W、B、P、Mo的至少之一的金属接触于所述第二金属半导体合金层上。
20.根据权利要求19的方法,其中所述形成所述金属接触包括非外延提高的源极/漏极方案,其包括来自元素周期表的VIII或IB族的至少一金属和B、P、Mo和Re的至少之一的无电镀沉积。
21.根据权利要求19的方法,其中所述形成止蚀衬层和应力层包括沉积所述止蚀衬层和应力层于所述半导体衬底和位于所述多晶硅的下层内的所述第一半导体合金层上方,提供具有垂直于所述多晶硅下层的至少一开口的构图的光致抗蚀剂,所述至少一开口位于所述衬底的有源区内和所述有源区之外,进行去除未被所述构图的光致抗蚀剂保护的所述应力层的第一蚀刻,并且进行去除未被所述构图的光致抗蚀剂保护的所述止蚀衬层的第二蚀刻。
22.根据权利要求19的方法,其中所述形成止蚀衬层和所述应力层包括沉积所述止蚀衬层和所述应力层于半导体衬底和位于所述多晶硅的下层内的所述第一半导体合金层的上方,提供垂直于所述多晶硅层的至少一开口的构图的光致抗蚀剂,所述至少一开口仅位于所述衬底的有源区内,进行去除未被所述构图的光致抗蚀剂保护的所述应力衬底的第一蚀刻,并且进行去除未被所述构图的光致抗蚀剂保护的所述止蚀衬层的第二蚀刻。
23.根据权利要求22的方法,其中另一止蚀层和另一应力层的叠层形成于位于所述半导体衬底内的沟槽隔离区和邻接所述沟槽隔离区的部分所述第二半导体金属合金层上。
CN2008101492953A 2007-10-26 2008-09-27 半导体结构及其形成方法 Expired - Fee Related CN101419984B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/925,168 US7615831B2 (en) 2007-10-26 2007-10-26 Structure and method for fabricating self-aligned metal contacts
US11/925,168 2007-10-26

Publications (2)

Publication Number Publication Date
CN101419984A CN101419984A (zh) 2009-04-29
CN101419984B true CN101419984B (zh) 2011-04-20

Family

ID=40581749

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101492953A Expired - Fee Related CN101419984B (zh) 2007-10-26 2008-09-27 半导体结构及其形成方法

Country Status (2)

Country Link
US (2) US7615831B2 (zh)
CN (1) CN101419984B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104681597A (zh) * 2013-11-28 2015-06-03 中国科学院微电子研究所 半导体器件及其制造方法

Families Citing this family (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
KR101194843B1 (ko) * 2007-12-07 2012-10-25 삼성전자주식회사 Ge 실리사이드층의 형성방법, Ge 실리사이드층을포함하는 반도체 소자 및 그의 제조방법
US7964923B2 (en) * 2008-01-07 2011-06-21 International Business Machines Corporation Structure and method of creating entirely self-aligned metallic contacts
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010082328A1 (ja) 2009-01-15 2010-07-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2010183022A (ja) * 2009-02-09 2010-08-19 Renesas Electronics Corp 半導体装置およびその製造方法
JP5449326B2 (ja) * 2009-03-31 2014-03-19 Jx日鉱日石金属株式会社 ショットキー接合fetの製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9773793B2 (en) * 2009-10-09 2017-09-26 Texas Instuments Incorporated Transistor performance modification with stressor structures
US8445371B2 (en) 2010-04-07 2013-05-21 International Business Machines Corporation Self-aligned contacts
US8367508B2 (en) 2010-04-09 2013-02-05 International Business Machines Corporation Self-aligned contacts for field effect transistor devices
CN102376633A (zh) * 2010-08-26 2012-03-14 中国科学院微电子研究所 一种半导体结构及其制造方法
US8633522B2 (en) * 2010-08-31 2014-01-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for fabricating the same
US20120205727A1 (en) 2011-02-11 2012-08-16 International Business Machines Corporation Semiconductor device including multiple metal semiconductor alloy region and a gate structure covered by a continuous encapsulating layer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8383485B2 (en) * 2011-07-13 2013-02-26 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial process for forming semiconductor devices
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8637908B2 (en) 2011-07-22 2014-01-28 International Business Machines Corporation Borderless contacts in semiconductor devices
TWI474632B (zh) * 2011-08-24 2015-02-21 Realtek Semiconductor Corp 支援天線分集機制的無線通信電路與相關的電腦程式產品
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103165454B (zh) * 2011-12-12 2016-08-17 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN107195684B (zh) * 2011-12-30 2020-12-08 英特尔公司 环绕式沟槽接触部结构和制作方法
US9490344B2 (en) 2012-01-09 2016-11-08 Globalfoundries Inc. Methods of making transistor devices with elevated source/drain regions to accommodate consumption during metal silicide formation process
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US9165928B2 (en) * 2013-06-14 2015-10-20 Globalfoundries Inc. Methods of forming gate structures for CMOS based integrated circuit products and the resulting devices
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9543438B2 (en) 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9947755B2 (en) * 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9997631B2 (en) * 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102524806B1 (ko) 2016-08-11 2023-04-25 삼성전자주식회사 콘택 구조체를 포함하는 반도체 소자
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US9997409B1 (en) * 2017-04-07 2018-06-12 International Business Machines Corporation Fabricating contacts of a CMOS structure
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10553486B1 (en) 2018-07-27 2020-02-04 Globalfoundries Inc. Field effect transistors with self-aligned metal plugs and methods
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1567536A (zh) * 2003-06-12 2005-01-19 台湾积体电路制造股份有限公司 金属硅化双层结构及其形成方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5675185A (en) * 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US5670812A (en) * 1995-09-29 1997-09-23 International Business Machines Corporation Field effect transistor having contact layer of transistor gate electrode material
US7104440B2 (en) * 1999-10-26 2006-09-12 First Data Corporation Money transfer systems and methods for travelers
US6713791B2 (en) * 2001-01-26 2004-03-30 Ibm Corporation T-RAM array having a planar cell structure and method for fabricating the same
US6627502B1 (en) * 2002-10-24 2003-09-30 Taiwan Semiconductor Manufacturing Company Method for forming high concentration shallow junctions for short channel MOSFETs
US6930030B2 (en) * 2003-06-03 2005-08-16 International Business Machines Corporation Method of forming an electronic device on a recess in the surface of a thin film of silicon etched to a precise thickness
US20050054169A1 (en) * 2003-09-09 2005-03-10 International Business Machines Corporation Method of manufacture of raised source drain mosfet with top notched gate structure filled with dielectric plug in and device manufactured thereby
US6908850B2 (en) * 2003-09-10 2005-06-21 International Business Machines Corporation Structure and method for silicided metal gate transistors
US6939751B2 (en) * 2003-10-22 2005-09-06 International Business Machines Corporation Method and manufacture of thin silicon on insulator (SOI) with recessed channel
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7381609B2 (en) * 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
DE102004026149B4 (de) * 2004-05-28 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines Halbleiterbauelements mit Transistorelementen mit spannungsinduzierenden Ätzstoppschichten
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7098536B2 (en) * 2004-10-21 2006-08-29 International Business Machines Corporation Structure for strained channel field effect transistor pair having a member and a contact via
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7247547B2 (en) * 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7105440B2 (en) * 2005-01-13 2006-09-12 International Business Machines Corporation Self-forming metal silicide gate for CMOS devices
US20060163670A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Dual silicide process to improve device performance
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices
US7482615B2 (en) * 2005-07-21 2009-01-27 International Business Machines Corporation High performance MOSFET comprising stressed phase change material
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US20070284654A1 (en) * 2006-06-08 2007-12-13 Rubino Judith M Metal alloy layer over conductive region of transistor device of different conductive material than conductive region
US20080169510A1 (en) * 2007-01-17 2008-07-17 International Business Machines Corporation Performance enhancement on both nmosfet and pmosfet using self-aligned dual stressed films
US7790622B2 (en) * 2007-07-14 2010-09-07 Samsung Electronics Co., Ltd. Methods for removing gate sidewall spacers in CMOS semiconductor fabrication processes
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1567536A (zh) * 2003-06-12 2005-01-19 台湾积体电路制造股份有限公司 金属硅化双层结构及其形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104681597A (zh) * 2013-11-28 2015-06-03 中国科学院微电子研究所 半导体器件及其制造方法

Also Published As

Publication number Publication date
CN101419984A (zh) 2009-04-29
US7981751B2 (en) 2011-07-19
US20100035400A1 (en) 2010-02-11
US7615831B2 (en) 2009-11-10
US20090108378A1 (en) 2009-04-30

Similar Documents

Publication Publication Date Title
CN101419984B (zh) 半导体结构及其形成方法
CN101483191B (zh) 半导体结构及其形成方法
CN1992275B (zh) 具有金属和多晶硅栅电极的高性能电路及其制造方法
US10103142B2 (en) Integrated circuit (IC) devices including stress inducing layers
CN101427386B (zh) 阻挡层的选择性实施以实现在具有高k电介质的CMOS器件制造中的阈值电压控制
CN100485936C (zh) 半导体结构及其制造方法
US8476139B2 (en) High performance MOSFET
US9252052B2 (en) Dual shallow trench isolation liner for preventing electrical shorts
US8105892B2 (en) Thermal dual gate oxide device integration
CN101443918B (zh) 一种半导体结构及其形成方法
US20130178056A1 (en) Field effect transistor having an asymmetric gate electrode
CN101268543A (zh) 用于更低的米勒电容和改善的驱动电流的单个栅极上的多个低和高介电常数栅级氧化物
CN101361173A (zh) 金属杂质的引入以改变导电电极的功函数
KR20160129689A (ko) Fet 및 fet를 형성하는 방법
WO2012174871A1 (zh) 一种锗基nmos器件及其制备方法
CN109427899A (zh) 半导体器件及其制造方法
CN103545211A (zh) 半导体器件制造方法
CN101123271A (zh) 半导体器件及其制造方法
CN102543696A (zh) 一种半导体器件的制造方法
CN103247672A (zh) 半导体器件及其制造方法
US10937685B2 (en) Diffusion break structures in semiconductor devices
CN101512771A (zh) 使用简化双应力衬层配置的具有增强性能的半导体结构
CN102214688A (zh) 一种高速晶体管结构及其制造方法
CN106206318B (zh) 一种鳍式场效应晶体管及其制备方法
US20090085131A1 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20170125

Address after: American New York

Patentee after: Globalfoundries second American LLC

Address before: New York grams of Armand

Patentee before: International Business Machines Corp.

Effective date of registration: 20170125

Address after: Cayman Islands Grand Cayman

Patentee after: INTERNATIONAL BUSINESS MACHINES Corp.

Address before: American New York

Patentee before: Globalfoundries second American LLC

TR01 Transfer of patent right

Effective date of registration: 20180328

Address after: Ontario, Canada

Patentee after: International Business Machines Corp.

Address before: Cayman Islands Grand Cayman

Patentee before: INTERNATIONAL BUSINESS MACHINES Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110420

Termination date: 20210927

CF01 Termination of patent right due to non-payment of annual fee