WO2006098316A1 - 薄膜形成方法 - Google Patents

薄膜形成方法 Download PDF

Info

Publication number
WO2006098316A1
WO2006098316A1 PCT/JP2006/305013 JP2006305013W WO2006098316A1 WO 2006098316 A1 WO2006098316 A1 WO 2006098316A1 JP 2006305013 W JP2006305013 W JP 2006305013W WO 2006098316 A1 WO2006098316 A1 WO 2006098316A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
space
thin film
internal space
silicon oxide
Prior art date
Application number
PCT/JP2006/305013
Other languages
English (en)
French (fr)
Inventor
Hiroshi Nogami
Katsuhisa Yuda
Hiroshi Tanabe
Original Assignee
Nec Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nec Corporation filed Critical Nec Corporation
Priority to US11/886,317 priority Critical patent/US20090202721A1/en
Publication of WO2006098316A1 publication Critical patent/WO2006098316A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate

Definitions

  • the present invention relates to a thin film forming method for forming a silicon oxide film on a silicon substrate, and more particularly to a thin film forming method using a chemical reaction using active species (radicals).
  • a process for generating a radical by forming plasma in a vacuum container of a substrate processing apparatus to form a thin film on the substrate for example, a process on a substrate disposed in the vacuum container,
  • a substrate processing apparatus and a substrate processing method for performing a surface treatment for improving the quality of a thin film formed thereon are used for various purposes.
  • plasma CVD is currently used as a substrate processing apparatus and a substrate processing method for forming a silicon oxide film suitable as a gate insulating film at a low temperature in the manufacture of a liquid crystal display using a polysilicon TFT at a low temperature. Is used.
  • this RS-CVD apparatus generates plasma in a vacuum vessel to generate radicals, and the radicals and deposition gas form a film on the substrate. It has been proposed for processing.
  • the interior of the vacuum vessel is separated into two chambers by a conductive partition plate.
  • one chamber is formed as a plasma generation space in which high-frequency electrodes are arranged
  • the other chamber is formed as a film formation processing space in which a substrate holding mechanism for mounting a substrate is arranged.
  • the conductive partition plate includes a plurality of through-holes that pass through the plasma generation space and the film formation processing space, and plasma generation.
  • a first internal space is provided that is isolated from the formation space and communicates with the film formation processing space through a plurality of material gas diffusion holes.
  • a gas for generating a desired active species by discharge plasma can be introduced into the plasma generation space, and the desired active species generated in the plasma generation space is formed through a plurality of through holes in the conductive partition plate. Introduced into space.
  • the material gas supplied from the outside to the first internal space is introduced into the film forming process space through the plurality of material gas diffusion holes.
  • the active species generated in the plasma generation space pass through a plurality of through holes as described above. Only the material gas introduced into the film formation processing space and provided inside the conductive partition plate is supplied to the first internal space from the outside through the plurality of material gas diffusion holes. To be introduced. Therefore, the material gas is introduced from the outside of the vacuum vessel directly into the film forming process space, that is, without being in contact with plasma or radicals.
  • Patent Document 1 Japanese Unexamined Patent Publication No. 2000-345349
  • Some CVD methods may be terminated by hydrogen atoms, but the bond is easily broken in the subsequent process at about 400 ° C, and there is a lack of long-term reliability. The interface characteristics were not obtained, and it was not suitable for the production of a gate oxide film.
  • An object of the present invention is to provide a thin film forming method capable of producing a silicon oxide film having good interface characteristics at a low temperature.
  • the inventors of the present invention have the following: active species (radicals) and materials in the vacuum vessel of the RS—CVD apparatus A gas containing nitrogen atoms is introduced as a gas other than the material gas into a film formation processing space where a gas comes into contact for the first time and a silicon oxide film is formed on a silicon substrate by a reaction between the two. While the silicon oxide film is being formed on the silicon substrate, the flow rate of the gas containing the nitrogen atoms is controlled so as to be maximized at least when the silicon oxide film is formed on the silicon substrate. As a result, it was found that the above problems could be solved, and the present invention was completed.
  • a conductive partition plate that separates the inside of the vacuum vessel into two chambers is provided in the vacuum vessel, and one of the two chambers is a high frequency
  • the plasma generation space in which the electrodes are arranged is formed, and the other chamber is formed as a film formation processing space in which the substrate holding mechanism for mounting the silicon substrate is arranged.
  • the conductive partition plate includes a plurality of through-holes passing through the plasma generation space and the film formation processing space, and is isolated from the plasma generation space, and includes the film formation processing space and a plurality of material gas diffusion holes.
  • a gas for generating desired active species by discharge plasma can be introduced into the plasma generation space.
  • the desired active species generated in the plasma generation space are the plurality of through holes of the conductive partition plate.
  • the material gas introduced into the film-forming treatment space through and supplied to the first internal space through the plurality of material gas diffusion holes is introduced into the film-forming treatment space, and the second internal space A gas other than the material gas supplied from the outside is introduced into the film formation space through the plurality of gas diffusion holes, and the reaction between the active species introduced into the film formation space and the material gas Is used to form a silicon oxide film on the silicon substrate.
  • the gas other than the material gas introduced into the second internal space is a gas containing nitrogen atoms, and the gas containing the nitrogen atoms during the silicon oxide film formation on the silicon substrate is performed.
  • This flow rate is adjusted so as to be at a maximum at the start of the formation of the silicon oxide film on the silicon substrate.
  • a gas containing nitrogen atoms in addition to the material gas is introduced into the film forming process space via the second internal space, and the film forming process is performed via the second internal space.
  • the flow rate of the gas containing nitrogen atoms introduced into the space is adjusted so as to be maximized at least when the silicon oxide film is formed on the silicon substrate. Therefore, the vicinity of the interface is formed in a state where a gas containing nitrogen atoms is mixed in the atmosphere of the film formation processing space, and as a result, a thin film having better interface characteristics can be produced.
  • the flow rate of the gas containing nitrogen atoms introduced into the film formation processing space is adjusted to be maximized at least at the time of starting the formation of the silicon oxide film on the silicon substrate, At the interface between the silicon oxide film and silicon, which is the gate electrode, the nitrogen atom concentration in the silicon oxide film is the highest density near the interface, and dangling bonds on the Si surface are reduced, resulting in good interface characteristics. Can be obtained.
  • Gases containing nitrogen atoms are dinitrogen monoxide (N 2 O), nitric oxide (NO), nitrogen dioxide (
  • the flow rate at which the gas containing nitrogen atoms is introduced into the second internal space is maximized at least at the time of starting the formation of the silicon oxide film on the silicon substrate.
  • it can be adjusted in various ways. For example, as shown in FIG. 2 (a), a form that is kept constant for a predetermined time from the start of the formation of the silicon oxide film to the silicon substrate until the end of the film formation, as shown in FIG. c)
  • the form of continuous reduction with the passage of time from the start of the formation of the silicon oxide film on the silicon substrate as shown in FIG. Any of the methods can be adopted which gradually decreases with the passage of time from the start of the formation of the silicon oxide film on the substrate.
  • a gas containing nitrogen atoms which is a gas other than the material gas introduced into the second internal space, is replaced with a gas containing nitrogen atoms,
  • the gas containing a nitrogen atom may be different from the gas containing an oxygen atom. That is, the gas containing nitrogen atoms and the gas containing oxygen atoms, which are different from the gas containing nitrogen atoms, are introduced into the film forming process space through the second internal space. it can.
  • the gas when a gas different from a gas containing nitrogen atoms and also containing a gas containing oxygen atoms is introduced into the film formation processing space via the second internal space, the gas contains nitrogen atoms.
  • the flow rate of introducing the gas into the second internal space is set to 0 at a predetermined time between the start of the formation of the silicon oxide film on the silicon substrate and the end of the film formation, and nitrogen atoms are reduced. Even after the flow rate of the introduced gas into the second internal space becomes zero, a gas containing oxygen atoms that is different from the gas containing nitrogen atoms is introduced into the second internal space. Can continue. This is advantageous in forming a silicon oxide film by actively supplementing oxygen to form a higher quality silicon oxide film.
  • oxygen gas can be used as the gas different from the above-described gas containing nitrogen atoms and containing oxygen atoms.
  • the material gas used in the present invention is represented by the chemical formula Si H (n is an integer) n 2n + 2
  • the material gas is diluted with a diluting gas.
  • the gas for generating the desired active species by the discharge plasma on the plasma generation space side contains oxygen gas.
  • the thin film forming method of the present invention there is an effect S that the interface characteristic between the silicon substrate and the silicon oxide film is good at low temperature and a good quality thin film having a low interface trap density can be produced.
  • FIG. 1 is a schematic diagram showing an example of a thin film forming apparatus that can be used for carrying out the first thin film forming method of the present invention.
  • silane gas is preferably used as a material gas, and a silicon oxide film is formed on a silicon substrate as a gate insulating film.
  • the vacuum container 1 of the present apparatus is composed of a container 2, an insulating material 4, and a high-frequency electrode 3, and is exhausted.
  • the inside of the gas mechanism 5 is maintained in a desired vacuum state.
  • a conductive partition plate 101 formed of a conductive member is provided, and the vacuum vessel 1 is separated into two upper and lower chambers by this conductive partition plate 101.
  • the upper chamber forms a plasma generation space 8, and the lower chamber forms a film formation processing space 9.
  • a high frequency power source 11 is connected to the high frequency electrode 3 in the plasma generation space 8.
  • a silicon substrate 10 to be film-formed is disposed on the substrate holding mechanism 6 provided in the film-forming space 9, and is disposed to face the conductive partition plate 101.
  • a heater 7 is provided inside the substrate holding mechanism 6 so that the silicon substrate 10 is held at a predetermined temperature.
  • the conductive partition plate 101 that separates the vacuum vessel 1 into two chambers has a desired thickness and has a flat plate shape as a whole.
  • a plurality of through holes 41 are formed in the conductive partition plate 101 in a dispersed manner, and the plasma generation space 8 and the film formation processing space 9 are connected only through the through holes 41.
  • the conductive partition plate 101 is formed with a first inner space 31 and a second inner space 21 which are separated from each other.
  • a material gas supply source 52 is connected to the first internal space 31 via a flow rate controller 63.
  • the material gas for example, one or two or more types represented by Si H (n is an integer) n 2n + 2
  • the above silane gas is used.
  • An oxygen gas supply source 51 is connected to the second internal space 21 via flow controllers 68 and 64, and supplies a gas containing nitrogen atoms (NO gas: x and y are integers) NO
  • a gas supply source 55 is connected via flow controllers 67 and 64. Examples of the gas supplied from the N 2 O gas supply source 55 to the second internal space 21 include dinitrogen monoxide (N0) and nitrogen monoxide (NO).
  • Nitrogen dioxide may be used alone or in combination of two or more.
  • Each of the first internal space 31 and the second internal space 21 has a plurality of material gas diffusion holes.
  • the silicon substrate 10 is transferred into the vacuum container 1 by a transfer robot (not shown), and film formation processing is performed. It is disposed on the substrate holding mechanism 6 installed in the space 9.
  • the substrate holding mechanism 6 is previously held at a predetermined temperature, and the silicon substrate 10 is thereby heated and held at a predetermined temperature.
  • the inside of the vacuum vessel 1 is evacuated by the evacuation mechanism 5, decompressed, and maintained in a predetermined vacuum state.
  • the flow rate of oxygen gas is controlled by the flow rate controller 61 and is introduced from the oxygen gas supply source 51 into the plasma generation space 8. Independently of this, the oxygen gas from the oxygen gas supply source 51 is introduced into the second internal space 21 with the flow rate controlled by the flow rate controllers 64 and 68.
  • the material gas is, for example, one or two or more types represented by Si H (n is an integer) n 2n + 2
  • the flow rate of the upper silane gas is controlled by the flow controller 63 and is introduced from the material gas supply source 52 into the first internal space 31.
  • the silane gas introduced into the first internal space 31 is supplied to the film forming treatment space 9 through the material gas diffusion holes 32.
  • the long-lived oxygen radicals generated in the plasma generation space 8 are supplied to the film formation processing space 9 through the plurality of through holes 41 of the conductive partition plate 101 together with the unexcited oxygen.
  • the NO gas supply source 55 force flow rate until a predetermined time from the start of the formation of the silicon oxide film to the silicon substrate until the end of the film formation.
  • NO gas whose flow rate is controlled by the controllers 64 and 67 is introduced, and the NO gas introduced into the second internal space 21 is supplied to the film forming treatment space 9 through the gas diffusion holes 22.
  • Oxygen gas whose flow rate is controlled by the flow rate controllers 64 and 68 from the oxygen gas supply source 51 is also introduced into the second internal space 21.
  • the introduction of the oxygen gas into the second internal space 21 may be performed after the introduction of N 2 O gas, which may be performed from the start of the formation of the silicon oxide film on the silicon substrate, or may be performed.
  • the mixed gas or oxygen gas of N 2 O gas and oxygen gas introduced into the second internal space 21 is
  • the gas is supplied to the film forming treatment space 9 through the gas diffusion holes 22.
  • Oxygen gas is supplied from the second internal space 21 through the gas diffusion hole 22 to the film formation processing space 9 so that the supply amount of oxygen radicals and oxygen to the film formation processing space 9 can be controlled independently. Even if the amount of oxygen radicals is increased by adjusting discharge power or the like in order to form a high-quality thin film, sufficient oxygen can be supplied. In this way, it is possible to sufficiently compensate for the oxygen that has been deficient in the conventional thin film formation reaction, and it is possible to form a thin film with higher quality than in the past.
  • a silicon oxide film was produced on a silicon substrate by chemical vapor deposition (CVD) under the following process conditions.
  • Substrate silicon substrate
  • N 2 O gas was introduced for about 24 seconds from the start of film formation, and the flow rate was reduced to zero.
  • oxygen gas is introduced together with N0 gas from the beginning of film formation, and after the NO gas flow rate becomes o, bow I continues and oxygen gas is introduced into the second internal space to continue film formation. I did it.
  • FIG. 1 is a schematic longitudinal sectional view of an example of a thin film forming apparatus that can be used for carrying out the first thin film forming method of the present invention.
  • FIG. 2] (a), (b) and (c) are graphs showing the relationship between the deposition time and the amount of N0 gas added, respectively. Explanation of symbols

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】低温で、シリコン基板とシリコン酸化膜との界面特性が良好であり、低界面トラップ密度の良質な薄膜を作製する薄膜形成方法を提案する。 【解決手段】真空容器内でプラズマを生成して活性種(ラジカル)を発生させ、この活性種と材料ガスでシリコン基板にシリコン酸化膜の成膜を行う薄膜形成方法であって、真空容器内で活性種(ラジカル)と材料ガスとが初めて接触し、両者の反応によりシリコン基板上へのシリコン酸化膜形成が行われる成膜処理空間へ、窒素原子を含むガスを前記材料ガス以外に導入することとし、シリコン基板へのシリコン酸化膜の成膜が行われている間における当該窒素原子を含むガスの流量を、少なくとも、シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように調整する薄膜形成方法。

Description

明 細 書
薄膜形成方法
技術分野
[0001] 本発明は、シリコン基板にシリコン酸化膜の成膜を行う薄膜形成方法に関し、特に 活性種 (ラジカル)を用いた化学反応を利用する薄膜形成方法に関する。
背景技術
[0002] 基板処理装置の真空容器内でプラズマを形成することによりラジカルを生成して当 該真空容器内に配置されている基板に対する処理、例えば、基板上に薄膜を成膜 する処理や、基板上に形成されている薄膜の膜質を改善するための表面処理などを 行う基板処理装置及び基板処理方法は種々の用途に用いられている。
[0003] 例えば、低温でポリシリコン型 TFTを利用する液晶ディスプレイの製作で、低温で ゲート絶縁膜として適当なシリコン酸化膜を成膜する基板処理装置、基板処理方法 として、現在のところ、プラズマ CVDが使用されている。
[0004] この中で、本願出願人は、先の出願である特開 2000— 345349号において、基板 処理装置の真空容器内でプラズマを生成してラジカルを発生させ、当該真空容器内 に配置されている基板に処理を行う CVD装置 (本明細書において、この先の特許出 願に係る CVD装置を通常のプラズマ CVD装置と区別するため、ラジカルシャワー C
VD装置として「RS - CVD装置」と呼ぶ)を提案してレ、る。
[0005] 特開 2000— 345349号にぉレ、ては、この RS— CVD装置は、真空容器内でプラズ マを生成してラジカルを発生させ、このラジカルと成膜ガスとで基板に成膜処理を行 うものとして提案されている。
[0006] すなわち、特開 2000— 345349号において提案されている RS— CVD装置とその 使用方法は、以下のようなものであった。
[0007] 真空容器の内部が導電性隔壁板によって二室に隔離されている。この二室のうち、 一方の室が高周波電極が配置されたプラズマ生成空間、他方の室が基板を搭載す る基板保持機構が配置された成膜処理空間としてそれぞれ形成される。導電性隔壁 板は、プラズマ生成空間と成膜処理空間とを通じさせる複数の貫通孔と、プラズマ生 成空間から隔離され、かつ成膜処理空間と複数の材料ガス拡散孔を介して通じてい る第一の内部空間を備えている。プラズマ生成空間には放電プラズマにより所望の 活性種を生成するためのガスが導入可能とされ、プラズマ生成空間内で生成された 所望の活性種が導電性隔壁板の複数の貫通孔を通じて成膜処理空間に導入される 。一方、第一の内部空間に外部から供給された材料ガスが複数の材料ガス拡散孔を 通して成膜処理空間に導入される。こうして、成膜処理空間に導入された活性種と材 料ガスとの反応を利用して基板に成膜が行われる。
[0008] なお、特開 2000— 345349号において提案されている RS— CVD装置とその使用 方法においては、前記のように、プラズマ生成空間内で生成された活性種は複数の 貫通孔を介してのみ成膜処理空間に導入され、導電性隔壁板の内部に供えられて レ、る第一の内部空間に外部から供給された材料ガスは複数の材料ガス拡散孔を通 して成膜処理空間に導入される。そこで、材料ガスは、真空容器の外部から成膜処 理空間に直接、すなわち、プラズマやラジカルに接触することなく導入される。
特許文献 1 :特開 2000— 345349号公報
発明の開示
発明が解決しょうとする課題
[0009] 上述のようなポリシリコン型 TFTを利用する液晶ディスプレイ等の作成において、低 温で作製した絶縁膜をゲート酸化膜として適用するには、界面特性が良好であること が要求されているが、 Si表面のダングリング 'ボンド力 シリコン酸化膜/シリコンの界 面形成後も残り、これらに関連する界面トラップ密度等において良好な界面特性を得 ることが難しかった。
[0010] 一部の CVD法などでは、水素原子によって終端される場合もあるが、その後の 40 0°C程度のプロセスで容易に結合が切れ、長期の信頼性に欠ける面があるため十分 な界面特性が得られずゲート酸化膜の製作には適さなかった。
[0011] この発明の目的とするところは、低温で界面特性の良好なシリコン酸ィ匕膜の作製を 可能とする薄膜形成方法を提供することにある。
課題を解決するための手段
[0012] この発明の発明者らは、 RS— CVD装置の真空容器内で活性種 (ラジカル)と材料 ガスとが初めて接触し、両者の反応によりシリコン基板上へのシリコン酸化膜形成が 行われる成膜処理空間へ、材料ガス以外のガスとして窒素原子を含むガスを導入す ることとし、し力も、シリコン基板へのシリコン酸化膜の成膜が行われている間における 当該窒素原子を含むガスの流量を、少なくとも、シリコン基板へのシリコン酸化膜の 成膜開始の時点において最大になるように制御することにより、前記課題を解決する ことができることを見い出し、この発明を完成した。
[0013] 本発明の方法が実施される薄膜形成装置は、真空容器の内部を二室に隔離する 導電性隔壁板が前記真空容器内に設けられ、前記二室のうち、一方の室が高周波 電極が配置されたプラズマ生成空間、他方の室がシリコン基板を搭載する基板保持 機構が配置された成膜処理空間としてそれぞれ形成されているものである。前記導 電性隔壁板は、前記プラズマ生成空間と前記成膜処理空間とを通じさせる複数の貫 通孔と、前記プラズマ生成空間から隔離され、かつ前記成膜処理空間と複数の材料 ガス拡散孔を介して通じている第一の内部空間と、前記第一の内部空間から隔離さ れ、かつ前記成膜処理空間と複数のガス拡散孔を介して通じている第二の内部空間 とを有している。そして、前記プラズマ生成空間には放電プラズマにより所望の活性 種を生成するためのガスが導入可能とされてレ、る。
[0014] このような構造を有する薄膜形成装置を用いて行われる本発明の薄膜形成方法は 、前記プラズマ生成空間内で生成された所望の活性種が前記導電性隔壁板の前記 複数の貫通孔を通じて前記成膜処理空間に導入され、前記第一の内部空間に外部 力 供給された材料ガスが前記複数の材料ガス拡散孔を通して前記成膜処理空間 に導入されると共に、前記第二の内部空間に外部から供給される前記材料ガス以外 のガスが前記複数のガス拡散孔を介して前記成膜処理空間に導入され、前記成膜 処理空間に導入された前記活性種と前記材料ガスとの反応を利用して前記シリコン 基板にシリコン酸化膜の成膜を行うものである。そして、前記第二の内部空間に導入 される前記材料ガス以外のガスを、窒素原子を含むガスとし、シリコン基板へのシリコ ン酸化の成膜が行われている間における当該窒素原子を含むガスの流量が、少なく とも、シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように 調整することを特徴とするものである。 [0015] この発明においては、材料ガス以外に窒素原子を含むガスを第二の内部空間を介 して成膜処理空間に導入することとし、しかも、第二の内部空間を介して成膜処理空 間に導入する窒素原子を含むガスの流量を、少なくとも、シリコン基板へのシリコン酸 化膜の成膜開始の時点において最大になるように調整している。そこで、窒素原子を 含むガスが成膜処理空間の雰囲気中に混入している状態で界面近傍が成膜され、 その結果、より良好な界面特性を持つ薄膜の作製が可能となる。
[0016] すなわち、成膜処理空間に導入される窒素原子を含むガスの流量が、少なくとも、 シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように調整さ れているため、ゲート電極となるシリコン酸化膜とシリコンとの界面において、シリコン 酸化膜中の窒素原子濃度が界面近傍で最も高密度になり、 Si表面のダングリング- ボンドが低減し、この結果、良好な界面特性を得ることができるのである。
[0017] 窒素原子を含むガスは、一酸化二窒素(N O)、一酸化窒素(NO)、二酸化窒素(
2
NO )のいずれか一種又は二種以上とするのが好ましい。
2
[0018] この発明において、窒素原子を含むガスを前記第二の内部空間に導入する流量は 、前述したように、少なくとも、シリコン基板へのシリコン酸化膜の成膜開始の時点に おいて最大にした後、種々の形式で調整可能である。例えば、図 2 (a)図示のように 、シリコン基板へのシリコン酸化膜の成膜開始の時点から成膜終了までの間のあらか じめ定められた時間まで一定に保つ形式、図 2 (c)図示のように、シリコン基板へのシ リコン酸化膜の成膜開始の時点から時間の経過と共に連続的に減少させる形式、あ るレ、は、図 2 (b)図示のように、シリコン基板へのシリコン酸化膜の成膜開始の時点か ら時間の経過と共に段階的に減少させる形式のいずれかを採用することができる。
[0019] なお、前述した本発明のいずれの薄膜形成方法においても、前記第二の内部空間 に導入される材料ガス以外のガスである窒素原子を含むガスを、窒素原子を含むガ スと、当該窒素原子を含むガスとは異なるガスであって酸素原子を含むガスとからな るようにすることができる。すなわち、窒素原子を含むガスと、この窒素原子を含むガ スとは異なるガスであって、酸素原子を含むガスとを、前記第二の内部空間を介して 成膜処理空間に導入するようにできる。
[0020] このようにすれば、シリコン酸化膜の形成において、積極的に酸素を補うことができ 、より高品質のシリコン酸化膜の形成が可能となる。
[0021] このように、窒素原子を含むガスとは異なるガスであって、酸素原子を含むガスをも 、前記第二の内部空間を介して成膜処理空間に導入する場合、窒素原子を含むガ スを前記第二の内部空間に導入する流量を、シリコン基板へのシリコン酸化膜の成 膜開始の時点から成膜終了までの間のあらかじめ定められた時点で 0にするとともに 、窒素原子を含むガスが前記第二の内部空間に導入される流量が 0になった後も、 前記窒素原子を含むガスとは異なるガスであって酸素原子を含むガスを前記第二の 内部空間に導入し続けるようにすることができる。このようにすれば、シリコン酸化膜 の形成において、積極的に酸素を補って、より高品質のシリコン酸化膜を形成する上 で有利である。
[0022] なお、前述した窒素原子を含むガスとは異なるガスであって、酸素原子を含むガス としては、例えば、酸素ガスを用いることができる。
[0023] この発明において使用する材料ガスとしては、化学式 Si H (nは整数)で表わさ n 2n+ 2
れる一種又は二種以上のシランガスを用いるのが好ましレ、。なお、材料ガスは、希釈 用ガスによって希釈されてレ、てもよレ、。
[0024] また、成膜処理空間に酸素ラジカルをより多く供給するため、プラズマ生成空間側 の放電プラズマにより所望の活性種を生成するためのガスは、酸素ガスを含有してな るのが好ましい。
発明の効果
[0025] この発明の薄膜形成方法により、低温で、シリコン基板とシリコン酸化膜との界面特 性が良好であり、低界面トラップ密度の良質な薄膜を作製することができるという効果 力 Sある。
発明を実施するための最良の形態
[0026] 以下に、この発明の好適な実施形態を添付図面に基づいて説明する。
[0027] 図 1は、この発明の第一の薄膜形成方法の実施に用いることができる薄膜形成装 置の一例を示す概略図である。この装置では、好ましくはシランガスを材料ガスとして 使用し、シリコン基板上にシリコン酸化膜をゲート絶縁膜として成膜する。
[0028] 本装置の真空容器 1は、容器 2、絶縁材 4、及び高周波電極 3によって構成され、排 気機構 5によってその内部が所望の真空状態に保持される。真空容器 1の内部には 導電性部材で成形された導電性隔壁板 101が設けられており、真空容器 1はこの導 電性隔壁板 101によって上下 2つの室に隔離される。上側の室はプラズマ生成空間 8を形成し、下側の室は成膜処理空間 9を形成する。
[0029] プラズマ生成空間 8の高周波電極 3には高周波電源 11が接続されている。
[0030] 前記成膜処理空間 9に設けられた基板保持機構 6の上には成膜処理されるシリコ ン基板 10が配置され、導電性隔壁板 101に対向配置される。基板保持機構 6の内 部にはヒータ 7が設けられており、これによりシリコン基板 10が所定の温度に保持され る。
[0031] 真空容器 1を二つの室に隔離する導電性隔壁板 101は、所望の厚みを有し、かつ 全体的に平板状の形状を有する。導電性隔壁板 101には、複数の貫通孔 41が分散 して形成されており、これら貫通孔 41を介してのみプラズマ生成空間 8と成膜処理空 間 9がつながっている。さらに導電性隔壁板 101には、互いに隔離された第一の内 部空間 31と第二の内部空間 21が形成されている。
[0032] 第一の内部空間 31には、材料ガス供給源 52が流量制御器 63を介して接続されて いる。材料ガスとしては、例えば、 Si H (nは整数)で表わされる一種又は二種以 n 2n + 2
上のシランガスが用いられる。
[0033] 第二の内部空間 21には、酸素ガス供給源 51が流量制御器 68、 64を介して接続さ れ、窒素原子を含むガス(N Oガス : x, yは整数)を供給する N Oガス供給源 55が 流量制御器 67、 64を介して接続されている。 N Oガス供給源 55から第二の内部空 間 21に供給されるガスとしては、例えば、一酸化二窒素(N〇)、一酸化窒素(NO)
2
、二酸化窒素(NO )のいずれか一種又は二種以上からなるものが用いられる。
2
[0034] 第一の内部空間 31及び第二の内部空間 21にはそれぞれ複数の材料ガス拡散孔
32とガス拡散孔 22が形成されており、第一の内部空間 31、第二の内部空間 21は、 材料ガス拡散孔 32、ガス拡散孔 22を介してそれぞれ別々に成膜処理空間 9とつな がっている。
[0035] 上記のように構成された薄膜形成装置を用いた薄膜形成方法を説明する。図示し ない搬送ロボットによってシリコン基板 10が真空容器 1の内部に搬送され、成膜処理 空間 9に設置された基板保持機構 6の上に配置される。
[0036] 基板保持機構 6は予め所定温度に保持されており、シリコン基板 10はこれにより所 定の温度に加熱保持される。
[0037] 真空容器 1の内部は、排気機構 5によって排気され、減圧されて所定の真空状態に 保持される。
[0038] 酸素ガスは、流量制御器 61で流量が制御され、酸素ガス供給源 51からプラズマ生 成空間 8に導入される。また、これと別個独立に、酸素ガス供給源 51から酸素ガスが 、流量制御器 64、 68で流量が制御されて、第二の内部空間 21に導入される。
[0039] 一方、材料ガスである、例えば、 Si H (nは整数)で表わされる一種又は二種以 n 2n + 2
上のシランガスが流量制御器 63によって流量が制御され、材料ガス供給源 52から第 一の内部空間 31に導入される。第一の内部空間 31に導入されたシランガスは、材 料ガス拡散孔 32を通して、成膜処理空間 9に供給される。
[0040] 上記の状態で、高周波電極 3に高周波電源 11より電力が供給され、プラズマ生成 空間 8内において酸素プラズマが生成される。酸素プラズマを生成することにより、中 性の励起種であるラジカル (活性種)が生成される。
[0041] プラズマ生成空間 8で生成された長寿命の酸素ラジカルは、励起されなかった酸素 とともに導電性隔壁板 101の複数の貫通孔 41を通つて成膜処理空間 9に供給される
。プラズマ生成空間 8内では、荷電粒子も生成されるが、この荷電粒子は、寿命が短 ぐ貫通孔 41を通る間に死滅する。
[0042] この際、第二の内部空間 21には、シリコン基板へのシリコン酸化膜の成膜開始の 時点から成膜終了までの間のあらかじめ定められた時間まで、 N Oガス供給源 55 力 流量制御器 64、 67で流量が制御された、 N Oガスが導入されており、第二の 内部空間 21に導入された N Oガスが、ガス拡散孔 22を通して成膜処理空間 9に供 給される。
[0043] こうして、成膜処理空間 9において、成膜処理空間 9に供給された酸素ラジカルは、 第一の内部空間 31より材料ガス拡散孔 32を通して供給されたシランガスと反応し、こ れをトリガーとした一連の反応が引き起こされるに際して、成膜処理空間 9には第二 の内部空間 21、ガス拡散孔 22を介して N Oガスが導入されているので、シリコン基 板 10とシリコン酸化膜の界面に N Oが混入し、より良好な界面特性を持つシリコン 酸化膜の作製が可能となる。
[0044] 第二の内部空間 21には、酸素ガス供給源 51から流量制御器 64、 68で流量が制 御された、酸素ガスも導入される。第二の内部空間 21への酸素ガスの導入は、シリコ ン基板へのシリコン酸化膜の成膜開始の時点から行っていてもよぐ N Oガスの導 入を中止した後から行ってもよい。
[0045] 第二の内部空間 21に導入された N Oガスと酸素ガスの混合ガス又は酸素ガスは
、ガス拡散孔 22を通して成膜処理空間 9に供給される。第二の内部空間 21よりガス 拡散孔 22を通して酸素ガスが成膜処理空間 9に供給されることによって、成膜処理 空間 9への酸素ラジカルと酸素の供給量を独立に制御することが可能となり、高品質 な薄膜を形成するために放電電力等の調整によって酸素ラジカル量を増加させても 、充分な酸素を供給することができる。このようにすれば、従来の薄膜形成の反応に おいて不足していた酸素を充分に補うことができ、従来よりも高品質の薄膜の形成が 可能となる。
実施例 1
[0046] 図 1図示の薄膜形成装置を用いて、以下のプロセス条件により、化学蒸着法 (CVD 法)で、シリコン基板上にシリコン酸化膜を作製した。
[0047] (1)基板:シリコン基板
(2)プラズマ生成空間に導入される酸素ガス:
流量 5. O X 10_ 1 (l/min) (1500sccm)
(3)高周波電力: 150W
(4)材料ガス Si H (n= l):
n 2n + 2
流量 4. O X 10"3 (l/min) (20sccm)
(5)第二の内部空間に導入される N Oガス (x= l、 y= 2):
流量 4· O X 10"4 (l/min) (2sccm)
(6)第二の内部空間に導入される酸素ガス:
流量 4· O X 10"4 (l/min) (2sccm)
(7)基板の温度 (成膜温度): 300°C (8)プラズマ生成空間の圧力: 40Pa
(9)成膜処理空間の圧力: 40Pa
(10)薄膜全体の膜厚 (成膜時間):100nm (4分)
[0048] N Oガスの導入は、図 2 (a)図示のように、成膜開始から約 24秒間行レ、、そこで流 量を 0にした。一方、酸素ガスは、成膜開始時から N〇ガスと共に導入し、 N Oガス の流量が oになった後も弓 Iき続き第二の内部空間に酸素ガスを導入して、成膜を続 けた。
[0049] 上述の方法によって、シリコン基板とシリコン酸化膜の界面から 10nm以下の領域 に、シランガスに対し、 10%の窒素を混入させることにより、
Figure imgf000011_0001
ップ密度を実現することができた。
[0050] この実施例 1と同一の条件で、 N Oガスの導入を、成膜開始力も約 24秒間の間に
、図 2 (b)図示のように連続的に減少させた実験と、図 2 (c)図示のように段階的に減 少させた実験とを行った。これらの場合も、図 2 (a)図示のように成膜開始力 約 24秒 間 N Oガスの導入量を一定に保った場合と同等の界面トラップ密度が実現された。 図面の簡単な説明
[0051] [図 1]この発明の第一の薄膜形成方法の実施に用いることができる薄膜形成装置の 一例の縦断面概略図である。
[図 2] (a) (b) (c)はそれぞれ成膜時間と N〇ガスの添加量との関係を示すグラフ。 符号の説明
1 真空容器
3
6 基板保持機構
8 プラズマ生成空間
9 成膜処理空間
10 シリコン基板
21、 23 第二の内部:
22 ガス拡散孔
24 ガス導入孔 、 33 第一の内部空間 、 34 材料ガス拡散孔
酸素ガス供給源 材料ガス供給源
N Oガス供給源 、 63、 64、 67、 68 流量制御器 、 102 導電性隔壁板

Claims

請求の範囲
[1] 真空容器の内部を二室に隔離する導電性隔壁板を前記真空容器内に設け、前記二 室のうち、一方の室を高周波電極が配置されたプラズマ生成空間、他方の室をシリコ ン基板を搭載する基板保持機構が配置された成膜処理空間としてそれぞれ形成し、 前記導電性隔壁板は、前記プラズマ生成空間と前記成膜処理空間とを通じさせる複 数の貫通孔と、前記プラズマ生成空間から隔離され、かつ前記成膜処理空間と複数 の材料ガス拡散孔を介して通じている第一の内部空間と、前記第一の内部空間から 隔離され、かつ前記成膜処理空間と複数のガス拡散孔を介して通じている第二の内 部空間とを有し、前記プラズマ生成空間には放電プラズマにより所望の活性種を生 成するためのガスが導入可能とされ、前記プラズマ生成空間内で生成された所望の 活性種が前記導電性隔壁板の前記複数の貫通孔を通じて前記成膜処理空間に導 入され、前記第一の内部空間に外部から供給された材料ガスが前記複数の材料ガ ス拡散孔を通して前記成膜処理空間に導入されると共に、前記第二の内部空間に 外部から供給された前記材料ガス以外のガスが前記複数のガス拡散孔を介して前 記成膜処理空間に導入され、前記成膜処理空間に導入された前記活性種と前記材 料ガスとの反応を利用して前記シリコン基板にシリコン酸化膜の成膜を行う薄膜形成 方法であって、
前記第二の内部空間に導入される前記材料ガス以外のガスを、窒素原子を含むガ スとし、前記シリコン基板へのシリコン酸化膜の成膜が行われている間における当該 窒素原子を含むガスの流量が、少なくとも、前記シリコン基板へのシリコン酸化膜の 成膜開始の時点において最大になるように調整することを特徴とする薄膜形成方法
[2] 窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシ リコン酸化膜の成膜開始の時点力、ら成膜終了までの間のあらかじめ定められた時間 まで一定に保つことを特徴とする請求項 1記載の薄膜形成方法。
[3] 窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシ リコン酸化膜の成膜開始の時点から時間の経過と共に連続的に減少させることを特 徴とする請求項 1記載の薄膜形成方法。
[4] 窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシ リコン酸化膜の成膜開始の時点から時間の経過と共に段階的に減少させることを特 徴とする請求項 1記載の薄膜形成方法。
[5] 請求項 1乃至 4のいずれか一項記載の薄膜形成方法において、前記第二の内部空 間に導入される材料ガス以外のガスである窒素原子を含むガスが、窒素原子を含む ガスと、当該窒素原子を含むガスとは異なるガスであって酸素原子を含むガスとから なることを特徴とする薄膜形成方法。
[6] 窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシ リコン酸化膜の成膜開始の時点力、ら成膜終了までの間のあらかじめ定められた時点 で 0にするとともに、窒素原子を含むガスが前記第二の内部空間に導入される流量 力 SOになった後も、前記窒素原子を含むガスとは異なるガスであって酸素原子を含む ガスを前記第二の内部空間に導入し続けることを特徴とする請求項 5記載の薄膜形 成方法。
[7] 前記材料ガスは、化学式 Si H (nは整数)で表わされる一種又は二種以上のシラ n 2n + 2
ンガスであることを特徴とする請求項 1〜6のいずれか一つの項に記載の薄膜形成方 法。
[8] 前記窒素原子を含むガスは、一酸化二窒素、一酸化窒素、二酸化窒素のいずれか 一種又は二種以上であることを特徴とする請求項 1〜7のいずれか一つの項に記載 の薄膜形成方法。
PCT/JP2006/305013 2005-03-15 2006-03-14 薄膜形成方法 WO2006098316A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/886,317 US20090202721A1 (en) 2005-03-15 2006-03-14 Method for Thin Film Formation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005-073217 2005-03-15
JP2005073217A JP2006261217A (ja) 2005-03-15 2005-03-15 薄膜形成方法

Publications (1)

Publication Number Publication Date
WO2006098316A1 true WO2006098316A1 (ja) 2006-09-21

Family

ID=36991659

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/305013 WO2006098316A1 (ja) 2005-03-15 2006-03-14 薄膜形成方法

Country Status (5)

Country Link
US (1) US20090202721A1 (ja)
JP (1) JP2006261217A (ja)
CN (1) CN100568463C (ja)
TW (1) TW200702480A (ja)
WO (1) WO2006098316A1 (ja)

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN104947086B (zh) * 2015-06-02 2017-09-15 常州比太科技有限公司 一种用于生产太阳能电池片的镀膜***及镀膜方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223208A (ja) * 2000-02-08 2001-08-17 Seiko Epson Corp 半導体素子製造装置および半導体素子の製造方法
JP2004111505A (ja) * 2002-09-17 2004-04-08 Anelva Corp 薄膜形成装置及び方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
FR2812665B1 (fr) * 2000-08-01 2003-08-08 Sidel Sa Procede de depot de revetement par plasma, dispositif de mise en oeuvre du procede et revetement obtenu par un tel procede
US6287962B1 (en) * 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6583026B1 (en) * 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
JP5089121B2 (ja) * 2006-09-29 2012-12-05 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびプラズマ処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223208A (ja) * 2000-02-08 2001-08-17 Seiko Epson Corp 半導体素子製造装置および半導体素子の製造方法
JP2004111505A (ja) * 2002-09-17 2004-04-08 Anelva Corp 薄膜形成装置及び方法

Also Published As

Publication number Publication date
CN100568463C (zh) 2009-12-09
TW200702480A (en) 2007-01-16
CN101160645A (zh) 2008-04-09
US20090202721A1 (en) 2009-08-13
JP2006261217A (ja) 2006-09-28

Similar Documents

Publication Publication Date Title
WO2006098316A1 (ja) 薄膜形成方法
KR101012295B1 (ko) 박막형성 장치 및 방법
TWI356101B (ja)
JP4611414B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
KR20210040264A (ko) 주기적 플라즈마 강화 증착 공정에 의해 토포그래피 선택적인 실리콘 산화물 막을 형성하는 방법
JP5813303B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
TWI325603B (en) Forming high-k dielectric layers on smooth substrates
JP4279176B2 (ja) シリコン窒化膜の形成方法
KR101610784B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP5011148B2 (ja) 半導体装置の製造方法、クリーニング方法及び基板処理装置
JP5575582B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
WO2008056557A1 (fr) Procédé permettant de former un mince film de silicium par un procédé de dépôt chimique en phase vapeur assisté par plasma
US7589002B2 (en) Method of forming an oxygen- or nitrogen-terminated silicon nanocrystalline structure and an oxygen- or nitrogen-terminated silicon nanocrystalline structure formed by the method
WO2006054393A1 (ja) 薄膜製造方法及び薄膜製造装置
JP2014187393A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
US6524940B2 (en) Methods and devices utilizing the ammonium termination of silicon dioxide films
JP2009200112A (ja) Iii族元素添加酸化亜鉛の生成方法及び基板
KR100738068B1 (ko) 산화 환원 반응을 이용한 귀금속 전극 형성 방법
JP2004111506A (ja) シリコン酸化膜作製方法
TWI807230B (zh) 用於電漿沉積的初始調制
JP4598428B2 (ja) アモルファスシリコン又はポリシリコンの成膜方法
TW202229613A (zh) 於階梯式結構上沉積材料的方法
JP2000082702A (ja) プラズマ反応方法
US20050271830A1 (en) Chemical vapor deposition method
JP2002289615A (ja) 薄膜形成方法及び薄膜形成装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680012418.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 06729047

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 11886317

Country of ref document: US