WO2006081234A2 - Ruthenium layer deposition apparatus and method - Google Patents

Ruthenium layer deposition apparatus and method Download PDF

Info

Publication number
WO2006081234A2
WO2006081234A2 PCT/US2006/002461 US2006002461W WO2006081234A2 WO 2006081234 A2 WO2006081234 A2 WO 2006081234A2 US 2006002461 W US2006002461 W US 2006002461W WO 2006081234 A2 WO2006081234 A2 WO 2006081234A2
Authority
WO
WIPO (PCT)
Prior art keywords
vessel
ruthenium
substrate
ruthenium tetroxide
chamber
Prior art date
Application number
PCT/US2006/002461
Other languages
French (fr)
Other versions
WO2006081234A3 (en
Inventor
Timothy W. Weidman
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/228,649 external-priority patent/US7438949B2/en
Priority claimed from US11/228,425 external-priority patent/US20060162658A1/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2007553170A priority Critical patent/JP5043684B2/en
Priority to EP06733844A priority patent/EP1853745A2/en
Publication of WO2006081234A2 publication Critical patent/WO2006081234A2/en
Publication of WO2006081234A3 publication Critical patent/WO2006081234A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Definitions

  • Embodiments of the invention generally relate to methods for depositing a catalytic layer on a barrier layer, prior to depositing a conductive layer thereon.
  • Multilevel, 45 nm node metallization is one of the key technologies for the next generation of very large scale integration (VLSI).
  • VLSI very large scale integration
  • the multilevel interconnects that lie at the heart of this technology possess high aspect ratio features, including contacts, vias, lines and other apertures. Reliable formation of these features is very important for the success of VLSI and the continued effort to increase quality and circuit density on individual substrates. Therefore, there is a great amount of ongoing effort being directed to the formation of void-free features having high aspect ratios of 10:1 (height: width) or greater.
  • Copper is a choice metal for filling VLSI features, such as sub-micron high aspect ratio, interconnect features.
  • Contacts are formed by depositing a conductive interconnect material, such as copper into an opening ⁇ e.g., via) on the surface of insulating material disposed between two spaced-apart conductive layers.
  • a high aspect ratio of such an opening may inhibit deposition of the conductive interconnect material that demonstrates satisfactory step coverage and gap-fill.
  • copper is a popular interconnect material, copper suffers by diffusing into neighboring layers, such as dielectric layers. The resulting and undesirable presence of copper causes dielectric layers to become conductive and electronic devices to fail. Therefore, barrier materials are used to control copper diffusion.
  • a typical sequence for forming an interconnect includes depositing one or more non-conductive layers, etching at least one of the layer(s) to form one or more features therein, depositing a barrier layer in the feature(s), and depositing one or more conductive layers, such as copper, to fill the feature.
  • the barrier layer typically includes a refractory metal nitride and/or suicide, such as titanium or tantalum.
  • tantalum nitride is one of the most desirable materials for use as a barrier layer. Tantalum nitride provides a good barrier to copper diffusion, even when relatively thin layers are formed ⁇ e.g., 20 A or less).
  • a tantalum nitride layer is typically deposited by conventional deposition techniques, such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).
  • Tantalum nitride does have some negative characteristics, which include poor adhesion to the copper layer deposited thereon. Poor adhesion of the subsequent deposited copper layer(s) can lead to rapid electromigration in the formed device and possibly process contamination issues in subsequent processing steps, such as, chemical mechanical polishing (CMP). It is believed that exposure of the tantalum nitride layer to sources of oxygen and/or water can result in oxidation thus preventing the formation of a strong bond with the subsequently deposited copper layer. The interface between a tantalum nitride barrier layer and a copper layer is likely to separate during a standard tape test.
  • Typical deposition processes utilize precursors that contain carbon which becomes incorporated in the deposited barrier layer.
  • the carbon incorporation is often detrimental to the completion of wet chemical processes since the deposited film tends to be hydrophobic which reduces or prevents the fluid from wetting and depositing a layer having desirable properties.
  • oxidizing processes are often used on barrier layers to remove the incorporated carbon, but these processes can have a detrimental effect on the other exposed and highly oxidizable layers, such as, copper interconnects. Therefore, a process and apparatus is needed that is able to deposit a barrier layer or adhesion layer that is able to enhance bonding adhesion between the various layers, such as tantalum nitride (TaN) and copper.
  • TaN tantalum nitride
  • an apparatus for depositing a catalytic layer on a substrate surface which includes a ruthenium tetroxide generation system containing a vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium containing material, an oxidizing source adapted to deliver an oxidizing gas to the ruthenium containing material in the vessel to form a ruthenium tetroxide containing gas in the vessel, and a source vessel assembly in fluid communication with the vessel and adapted to collect the ruthenium tetroxide containing gas formed in the vessel.
  • the source vessel assembly may contain a source vessel having a collection region, and a heat exchanging device in thermal communication with a collection surface in contact with the collection region, and a processing chamber in fluid communication with the source vessel.
  • the processing chamber may contain one or more walls that form a second processing region, a substrate support positioned in the second processing region, and a heat exchanging device in thermal communication with the substrate support.
  • an apparatus for depositing a catalytic layer on a substrate surface which includes a ruthenium tetroxide generation system containing a vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium tetroxide containing material, a vacuum pump in fluid communication with the vessel, and a source vessel assembly in fluid communication with the vessel and adapted to collect a ruthenium tetroxide containing gas delivered from the vessel.
  • the source vessel assembly may contain a source vessel having a collection region, and a heat exchanging device in thermal communication with a collection surface in contact with the collection region, and a processing chamber in fluid communication with the source vessel.
  • the processing chamber may contain one or more walls that form a second processing region, a substrate support positioned in the second processing region, and a heat exchanging device in thermal communication with the substrate support.
  • an apparatus for depositing a catalytic layer on a substrate surface which includes a ruthenium tetroxide generation system containing a first vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium tetroxide containing material, and a first source vessel assembly in fluid communication with the vessel and adapted to collect an amount of a ruthenium tetroxide containing gas transferred from the first vessel.
  • the first source vessel assembly may contain a source vessel having a collection region, and a heat exchanging device in thermal communication with a collection surface in contact with the collection region.
  • a second vessel may have one or more walls that form a second processing region adapted to retain an amount of a ruthenium tetroxide containing material, and a second source vessel assembly in fluid communication with the vessel and adapted to collect an amount of a ruthenium tetroxide containing gas transferred from the second vessel.
  • the second source vessel assembly may contain a source vessel having a collection region, and a heat exchanging device in thermal communication with a collection surface in contact with the collection region, and a processing chamber.
  • the processing chamber is in fluid communication with the source vessel and may contain one or more walls that form a chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device in thermal communication with the substrate support.
  • an apparatus for depositing a catalytic layer on a substrate surface which includes a mainframe having a substrate transferring region, a ruthenium tetroxide generation system containing a vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium containing material, and an oxidizing source adapted to deliver an oxidizing gas to the ruthenium containing material in the vessel to form a ruthenium tetroxide containing gas in the vessel, a processing chamber attached to the mainframe and in fluid communication with the source vessel.
  • the processing chamber may contain one or more walls that form a chamber processing region, a fluid delivery line in fluid communication with the vessel and the chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device in thermal communication with the substrate support, and a robot adapted to transfer a substrate from the transferring region of the mainframe to the chamber processing region of the processing chamber.
  • an apparatus for depositing a catalytic layer on a substrate surface which includes a mainframe having a substrate transferring region, a ruthenium tetroxide generation system containing a vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium tetroxide containing material, and a vacuum pump in fluid communication with the first processing region of the vessel, a processing chamber attached to the mainframe and in fluid communication with the source vessel.
  • the processing chamber may contain one or more walls that form a chamber processing region, a fluid delivery line in fluid communication with the vessel and the chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device in thermal communication with the substrate support, and a robot adapted to transfer a substrate from the transferring region of the mainframe to the chamber processing region of the processing chamber.
  • an apparatus for depositing a ruthenium containing layer on a substrate surface used to form a semiconductor device or flat panel display which includes a processing chamber adapted to deposit a ruthenium containing layer of the substrate, wherein the processing chamber contains one or more walls that form a chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device the is in thermal communication with the substrate support, and a ruthenium tetroxide generation system.
  • the ruthenium tetroxide generation system may contain a first vessel having one or more walls that form a first processing region adapted to contain a solvent mixture containing ruthenium tetroxide, a second vessel having one or more walls that form a collection region in fluid communication with the processing chamber, a fluid pump in fluid communication with the first vessel and the second vessel.
  • the fluid pump may be adapted to deliver an amount of the solvent mixture from the first vessel to the collection region of the second vessel, and a heat exchanging device in thermal communication with the collection region.
  • an apparatus for depositing a catalytic layer on a substrate surface which includes a ruthenium tetroxide generation system containing a vessel having one or more walls that form a containment region that contains a mixture of ruthenium tetroxide and a solvent.
  • One or more gas sources may be in fluid communication with the containment region.
  • the apparatus further includes a processing chamber that may contain one or more walls to form a chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device in thermal communication with the substrate support.
  • the apparatus further includes a fluid delivery line in fluid communication with the containment region of the vessel and the chamber processing region of the processing chamber.
  • Figure 1A illustrates a process sequence according to one embodiment described herein;
  • Figure 1B illustrates another process sequence according to one embodiment described herein;
  • Figures 2A-2D illustrate schematic cross-sectional views of an integrated circuit fabrication sequence formed by a process described herein;
  • Figures 3A-3D illustrate schematic cross-sectional views of integrated circuit fabrication sequence formed by another process described herein;
  • Figure 4 illustrates a cross-sectional view of a deposition chamber that may be adapted to perform an embodiment described herein;
  • Figure 5 illustrates another process sequence according to one embodiment described herein
  • Figures 6A-6C illustrate a cross-sectional view of a process chamber that may be adapted to perform an embodiment described herein;
  • Figure 7 illustrates another process sequence according to one embodiment described herein
  • Figure 8 is a plan view of a cluster tool used for semiconductor processing wherein the present invention may be used to advantage;
  • Figure 9 illustrates another process sequence according to one embodiment described herein.
  • Figure 10A illustrates another process sequence according to one embodiment described herein;
  • Figure 10B illustrates another process sequence according to one embodiment described herein;
  • Figure 10C illustrates a cross-sectional view of a process vessel that may be adapted to perform an embodiment described herein.
  • Figure 11 illustrates a cross-sectional view of a deposition chamber that may be adapted to perform an embodiment described herein.
  • a method and apparatus for depositing a ruthenium containing layer on a substrate is generally disclosed.
  • the method and apparatus described herein may be especially useful for fabricating electronic devices that are formed on a surface of the substrate or wafer.
  • the method includes exposing a substrate surface to a ruthenium tetroxide vapor to form a catalytic layer on the substrate surface and then filling the device structures by an electroless, electroplating, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma-enhanced CVD (PE-CVD) 1 atomic layer deposition (ALD), or plasma-enhanced ALD (PE-ALD) processes.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PE-CVD plasma-enhanced CVD
  • ALD atomic layer deposition
  • PE-ALD plasma-enhanced ALD
  • the catalytic layer is a ruthenium containing layer adapted to act as a layer that can promote the adhesion between prior and subsequently deposited layers, act as a barrier layer or act as a catalytic layer to promote subsequent PVD, CVD, PE-CVD, ALD, PE-ALD, electrole ⁇ s, and/or electrolytic deposition processes. Due to electromigration, device isolation and other device processing concerns a method and apparatus is described herein that is able to deposit a ruthenium containing layer that is able to strongly bond to the exposed surface(s) of the substrate.
  • Atomic layer deposition or "cyclical deposition” as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • the two, three or more reactive compounds may alternatively be introduced into a reaction zone of a processing chamber.
  • each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface.
  • a first precursor or compound A is pulsed into the reaction zone followed by a first time delay.
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a purge gas such as nitrogen
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is a cycle.
  • a cycle may start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.
  • a "substrate surface” as used herein refers to any substrate or material surface formed on a substrate upon which film processing is performed.
  • a substrate surface on which processing may be performed include materials such as monocrystalline, polycrystalline or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), and/or carbon doped silicon oxides, such as SiO x Cy, for example, BLACK DIAMOND ® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California.
  • SOI silicon on insulator
  • doped silicon silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), and/or carbon doped silicon oxides
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Embodiments of the processes described herein deposit metal-containing layers on many substrates and surfaces, especially, barrier layers. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100>, Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, or patterned or non- patterned wafers. Substrates made of glass or plastic, which, for example, are commonly used to fabricate flat panel displays and other similar devices, are also included in embodiments described herein.
  • semiconductor wafers such as crystalline silicon (e.g., Si ⁇ 100>, Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, or patterned or non-
  • a "pulse” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • the duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself.
  • a “half-reaction” as used herein refers to a pulse of a precursor followed by a purge step.
  • the method and apparatus described herein is adapted to selectively or non-selectively deposit a ruthenium containing layer on device features formed on the substrate surface by use of a ruthenium tetroxide containing gas. It is believed that the selective or non-selective deposition of a ruthenium containing layer on the surface of the substrate is strongly dependent on the temperature and type of surfaces that are exposed to the ruthenium tetroxide containing gas. It is also believed that by controlling the temperature of a substrate at a desired temperature below, for example about 180 0 C 1 a ruthenium layer will selectively deposit on certain types of surfaces. At higher temperatures, for example greater than 180 0 C, the ruthenium deposition process from a ruthenium tetroxide containing gas becomes much less selective and thus will allow a blanket film to deposit on all types of surfaces.
  • the deposition of a ruthenium containing layer is used to promote the adhesion and filling of subsequent layers on the surface of the substrate.
  • the properties of the ruthenium containing layer deposited on the surface of the substrate is specially tailored to fit the needs of the devices formed on the surface of the substrate. Typical desirable properties include the formation of crystalline or amorphous metallic ruthenium layers on the surface of the substrate so that the formed layer(s) can act as a barrier layer, a catalytic layer for subsequent electroless or electroplating processes, or even fill a desired device feature.
  • ruthenium containing layer is the formation of ruthenium dioxide layer (RuO 2 ) on the surface of the substrate to, for example, promote selective bottom up growth of an electroless and/or electroplated layer, or form an electrode that is compatible with ferroelectric oxides ⁇ e.g., BST), or piezoelectric materials ⁇ e.g., PZT) used to form various Micro-Electro-Mechanical Systems (MEMS) devices.
  • RuO 2 ruthenium dioxide layer
  • a ruthenium containing layer is deposited on a barrier layer on a substrate surface by exposing the barrier layer to a ruthenium containing gas, so that a conductive layer can be deposited on the ruthenium containing layer.
  • the barrier layer e.g., tantalum nitride
  • the barrier layer is deposited by an ALD process, but may also be deposited by a PVD, CVD, or other conventional deposition processes.
  • FIG. 1A depicts process 100 according to one embodiment described herein for fabricating an integrated circuit.
  • Process 100 includes steps 102-106, wherein during step 102, a metal-containing barrier layer is deposited on a substrate surface.
  • the barrier layer is exposed to a ruthenium containing gas while the substrate is maintained at a desired processing temperature to deposit a ruthenium containing layer.
  • a conductive layer is deposited on the catalytic layer during step 106.
  • Process 100 corresponds to Figures 2A-2D by illustrating schematic cross- sectional views of an electronic device at different stages of an interconnect fabrication sequence incorporating one embodiment of the invention.
  • Figure 2A illustrates a cross- sectional view of substrate 200 having a via or an aperture 202 formed into a dielectric layer 201 on the surface of the substrate 200.
  • Substrate 200 may comprise a semiconductor material such as, for example, silicon, germanium, silicon germanium, for example.
  • the dielectric layer 201 may be an insulating material such as, silicon dioxide, silicon nitride, FSG, and/or carbon-doped silicon oxides, such as SiO x Cy, for example, BLACK DIAMOND ® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California.
  • Aperture 202 may be formed in substrate 200 using conventional lithography and etching techniques to expose contact layer 203.
  • Contact layer 203 may include doped silicon, copper, tungsten, tungsten suicide, aluminum or alloys thereof.
  • Barrier layer 204 may be formed on the dielectric layer 201 and in aperture 202, as depicted in Figure 2B.
  • Barrier layer 204 may include one or more barrier materials such as, for example, tantalum, tantalum nitride, tantalum silicon nitride, titanium, titanium nitride, titanium silicon nitride, tungsten nitride, silicon nitride, silicon carbide, derivatives thereof, alloys thereof and combinations thereof.
  • Barrier layer 204 may be formed using a suitable deposition process including ALD, chemical vapor deposition (CVD), physical vapor deposition (PVD), or combinations thereof.
  • a tantalum nitride barrier layer may be deposited using a CVD process or an ALD process wherein a tantalum-containing compound or a tantalum precursor ⁇ e.g., PDMAT) and a nitrogen-containing compound or a nitrogen precursor ⁇ e.g., ammonia) are reacted.
  • tantalum and/or tantalum nitride is deposited as barrier layer 204 by an ALD process as described in commonly assigned U.S. Serial No. 10/281 ,079, entitled "Gas Delivery Apparatus for Atomic Layer Deposition," filed October 25, 2002, and published as US 2003-0121608, and is herein incorporated by reference.
  • a Ta/TaN bilayer may be deposited as barrier layer 204, wherein the tantalum layer and the tantalum nitride layer are independently deposited by ALD, CVD, and/or PVD processes. Further disclosure of processes for depositing a material or multiple materials as a barrier layer or another layer is described in commonly assigned U.S. Serial No. 10/052,681 , entitled “Reliability Barrier Integration for Cu Application,” filed January 17, 2002, and published as US 2002-0060363, in commonly assigned U.S. Patent No. 6,951 ,804, in commonly assigned U.S. Serial No.
  • barrier layer 204 is deposited with a film thickness in a range from about 5 A to about 150 A, preferably from about 5 A to about 50 A, such as about 20 A.
  • barrier layer 204 is deposited on aperture 202 with a sidewall coverage of about 50 A or less, preferably about 20 A or less.
  • a barrier layer 204 containing tantalum nitride may be deposited to a thickness of about 20 A or less is believed to be a sufficient thickness in the application as a barrier to prevent diffusion of subsequently deposited metals, such as copper.
  • tantalum-containing compounds that are useful during a vapor deposition process to form a barrier layer, include, but are not limited to precursors such as pentakis(dimethylamino) tantalum (PDMAT or Ta[NMe 2 Is), pentakis(ethylmethylamino) tantalum (PEMAT or Ta[N(Et)Me] 5 ), pentakis(diethylamino) tantalum (PDEAT or Ta(NEt 2 ) S ,), tertiarybutylimino-tris(dimethylamino) tantalum (TBTDMT or ( 1 BuN)Ta(NMe 2 ) S ), tertiarybutylimino-tris(diethylamino) tantalum (TBTDET or ( 1 BuN)Ta(NEt 2 ) S ), tertiarybutylimino-tris(ethylmethylamino) tantalum (TBTEAT or ( 1
  • nitrogen containing-compounds that are useful during the vapor deposition process to form a barrier layer, include, but are not limited to precursors such as ammonia (NH 3 ), hydrazine (N 2 H 4 ), methylhydrazine (Me(H)NNH 2 ), dimethyl hydrazine (Me 2 NNH 2 or Me(H)NN(H)Me), tertiarybutylhydrazine ( 1 Bu(H)NNH 2 ), phenylhydrazine (C 6 H 5 (H)NNH 2 ), a nitrogen plasma source (e.g., N, N 2 , N 2 /H 2 , NH 3 , or a N 2 H 4 plasma), 2,2'-azotertbutane ( 1 BuNN 1 Bu), an azide source, such as ethyl azide (EtN 3 ), trimethylsilyl azide (Me 3 SiN 3 ), plasmas thereof, derivatives thereof, or combinations thereof.
  • precursors such as am
  • a barrier layer 204 containing tantalum nitride may be deposited by an ALD process that begins with the adsorption of a monolayer of a tantalum-containing compound on the substrate followed by a monolayer of a nitrogen-containing compound.
  • the ALD process may start with the adsorption of a monolayer of a nitrogen-containing compound on the substrate followed by a monolayer of the tantalum-containing compound.
  • the process chamber is usually evacuated between pulses of reactant gases.
  • a catalytic layer 206 is deposited on barrier layer 204 as depicted in Figure 2D.
  • Catalytic layer 206 is formed by exposing the barrier layer 204 to a ruthenium containing gas to form a ruthenium containing layer.
  • the barrier layer 204 chemically reduces the ruthenium containing gas to form catalytic layer 206 on barrier layer 204 containing ruthenium.
  • the process of forming the ruthenium containing gas and depositing the ruthenium containing layer is further described below in conjunction with Figures 4-7.
  • the catalytic layer may be deposited to a thickness in a range from about an atomic layer to about 100 A, preferably, from about 2 A to about 20 A.
  • Process 100 further includes step 106 to deposit a conductive layer on catalytic layer 206.
  • bulk layer 220 is deposited on the catalytic layer 206.
  • Bulk layer 220 may be comprised of a copper or copper alloy deposited using an electroless copper process alone, such as ALD, CVD, PVD, or in combination with copper electroplating.
  • Bulk layer 220 may have a thickness in a range from about 100 A to about 10,000 A.
  • bulk layer 220 comprises copper and is deposited by an electroless plating process.
  • An electroplating process may also be completed in a separate electroplating chamber.
  • One method, apparatus and system that may be used to perform an electroplating deposition process is further described in the commonly assigned U.S. Serial No. 10/268,284, entitled “Electrochemical Processing Cell,” filed October 9, 2002, and published as US 2004-0016636, and U.S. Patent No. 6,258,220, which are incorporated by reference herein in its entirety to the extent not inconsistent with the claimed aspects and description herein.
  • a ruthenium containing layer is directly deposited on a dielectric layer to form a catalytic layer on a substrate surface, so that a conductive layer can be deposited on the catalytic layer.
  • Figure 1 B depicts process 300 according to one embodiment described herein for fabricating an integrated circuit.
  • Process 300 includes steps 304-306, wherein a catalytic layer is directly deposited on a dielectric surface 251 A and contact surface 251 B, as illustrated in Figures 3A-E.
  • Figures 3A-D illustrate schematic cross-sectional views of an electronic device at different stages of an interconnect fabrication sequence, which incorporates at least one embodiment of the invention.
  • FIG 3A illustrates a cross-sectional view of substrate 250 having a via or an aperture 252 formed in a dielectric layer 251 on the surface of the substrate 250.
  • the process 300 begins by forming a ruthenium containing layer 256 on the dielectric layer 251 during step 304 by exposing the surface of the substrate 250 to a ruthenium containing gas while the substrate is maintained at a desired processing temperature (see Figure 3B).
  • a ruthenium containing layer 256 is deposited on the dielectric layer 251 by allowing the ruthenium components in the ruthenium containing gas form a bond to the surface of the substrate 250.
  • a conductive layer 260 is deposited on the ruthenium containing layer 256 during step 306 (see Figure 3D).
  • the surface of dielectric surface 251 A is generally an oxide and/or a nitride material comprising silicon.
  • the dielectric surface 251 A may comprise an insulating material such as, silicon dioxide, FSG, and/or carbon-doped silicon oxides, such as SiO x Cy, for example, BLACK DIAMOND ® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California.
  • the contact surface 251 B is an exposed region of the underlying interconnect in the lower layer and typically may comprise materials, such as, copper, tungsten, ruthenium, CoWP, CoWPB, aluminum, aluminum alloys, doped silicon, titanium, molybdenum, tantalum, nitrides, or suicides of these metals.
  • a ruthenium containing layer 256 is deposited on the dielectric layer 251 by the application of a ruthenium containing gas.
  • the ruthenium containing layer 256 is deposited with a thickness in a range from about an atomic layer to about 100 A, preferably, from about 5 A to about 50 A, for example, about 10 A.
  • the process of forming the ruthenium containing gas and depositing the ruthenium containing layer is further described below in conjunction with Figures 4-7.
  • the ruthenium containing layer 256 is deposited such that the formed layer will adheres to the dielectric layer 251 as well as the subsequent conducting layer, such as a seed layer or a bulk layer.
  • Process 300 further includes step 306 to deposit a conductive layer 260 on the ruthenium containing layer 256.
  • the conductive layer 260 may form a seed layer (e.g., a thin metal layer (see Figure 3D)) or a bulk layer (e.g., fill the aperture 252 (see Figure 3C)) that is deposited on the ruthenium containing layer 256.
  • a seed layer may be a continuous layer deposited by using conventional deposition techniques, such as ALD, CVD, PVD, electroplating, or electroless processes.
  • the invention as described herein may be advantageous, since the deposition of a ruthenium containing layer on the surface of the substrate can be a seed layer for direct depositing an electroplated layer.
  • Seed layers may have a thickness in a range from about a single molecular layer from about 20 to about 100 A. Generally, a seed layer contains copper or a copper alloy.
  • the process of depositing a ruthenium containing layer having desirable properties on a substrate surface may be performed by completing the process steps 702-706 in process 700, which is discussed below.
  • the process step 104 in Figure 1A and step 304 in Figure 1 B are adapted to form a ruthenium containing layer having desirable properties by generating a ruthenium tetroxide containing gas and exposing a temperature controlled substrate surface.
  • Figure 4 illustrates one embodiment of a deposition chamber 600 that can be adapted to generate and deposit a ruthenium containing layer on a substrate surface.
  • the ruthenium containing layer is formed on a substrate surface by creating ruthenium tetroxide in an external vessel and then delivering the generated ruthenium tetroxide gas to a surface of a temperature controlled substrate positioned in a processing chamber.
  • a ruthenium tetroxide containing gas is generated, or formed, by passing an ozone containing gas across a ruthenium source that is housed in an external vessel.
  • the ruthenium source is maintained at a temperature near room temperature.
  • the ruthenium source contains an amount of ruthenium metal (Ru) which reacts with the ozone.
  • the metallic ruthenium source contained in the external vessel is in a powder, a porous block, or solid block form.
  • the ruthenium source housed in the external vessel contains an amount of a perruthenate material, such as sodium perruthenate (NaRuO 4 ), potassium perruthenate (KRuO 4 ), or a derivative thereof, which will react with the ozone, likely according to reaction (1 ) or (2), to form ruthenium tetroxide (RuO 4 ) a compound that is volatile at the reaction conditions.
  • a perruthenate material such as sodium perruthenate (NaRuO 4 ), potassium perruthenate (KRuO 4 ), or a derivative thereof, which will react with the ozone, likely according to reaction (1 ) or (2), to form ruthenium tetroxide (RuO 4 ) a compound that is volatile at the reaction conditions.
  • a spray pyrolysis type processes may be used to form the perruthenate materials.
  • non-volatile materials such as sodium peroxide and ruthenium
  • a flowable medium such as water
  • the droplets are heated in a furnace, conventional thermal spray device, or other device, to form a powder containing the reacted materials (e.g., NaRuO 4 ).
  • the deposition chamber 600 generally contains a process gas delivery system 601 and a processing chamber 603.
  • Figure 4 illustrates one embodiment of a process chamber 603 that may be adapted to deposit the ruthenium containing layers on the substrate surface.
  • the processing chamber 603 is a processing chamber 603 that may be adapted to deposit a layer, such as a barrier layer ( Figures 2A-D), on the surface of the substrate by use of a CVD, ALD, PE-CVD, or PE-ALD process prior to depositing a ruthenium containing layer on the surface of the substrate.
  • the processing chamber 603 is adapted to primarily deposit the ruthenium containing layer and thus any prior or subsequent device fabrication steps are performed in other processing chambers.
  • the prior or subsequent processing chambers and the processing chamber 603 are attached to a cluster tool ( Figure 8) that is adapted to perform a desired device fabrication process sequence.
  • the barrier layer may be deposited in an ALD process chamber, such as the ENDURA ® iCuB/STM process chamber or the PRODUCER ® type process chamber, prior to forming the ruthenium containing layer in the processing chamber 603.
  • the processing chamber 603 is a vacuum processing chamber that is adapted to deposit the ruthenium containing layer at a sub atmospheric pressure, such as a pressure between about 0.1 mTorr and about 50 Torr.
  • Vacuum processing will also improve the diffusion transport process of the ruthenium tetroxide to the surface of the substrate and tend to reduce the limitations caused by convective type transport processes.
  • the processing chamber 603 generally contains a processing enclosure 404, a gas distribution showerhead 410, a temperature controlled substrate support 623, a remote plasma source 670 and the process gas delivery system 601 connected to the inlet line 426 of the processing chamber 603.
  • the processing enclosure 404 generally contains a sidewall 405, a ceiling 406 and a base 407 enclose the processing chamber 603 and form a process area 421.
  • a substrate support 623 which supports a substrate 422, mounts to the base 407 of the processing chamber 603.
  • a backside gas supply (not shown) furnishes a gas, such as helium, to a gap between the backside of the substrate 422 and the substrate support surface 623A to improve thermal conduction between the substrate support 623 and the substrate 422.
  • the substrate support 623 is heated and/or cooled by use of a heat exchanging device 620 and a temperature controller 621 , to improve and control properties of the ruthenium layer deposited on the substrate 422 surface.
  • the heat exchanging device 620 is a fluid heat exchanging device that contains embedded heat transfer lines 625 that are in communication with a temperature controlling device 621 which controls the heat exchanging fluid temperature.
  • the heat exchanging device 620 is a resistive heater, in which case the embedded heat transfer lines 625 are resistive heating elements that are in communication with the temperature controlling device 621.
  • the heat exchanging device 620 is a thermoelectric device that is adapted to heat and cool the substrate support 623.
  • a vacuum pump 435 such as a turbo-pump, cryo-turbo pump, roots-type blower, and/or rough pump, controls the pressure within the processing chamber 603.
  • the gas distribution showerhead 410 consists of a gas distribution plenum 420 connected to the inlet line 426 and the process gas delivery system 601.
  • the inlet line 426 and process gas delivery system 601 are in communication with the process region 427 over the substrate 422 through plurality of gas nozzle openings 430.
  • the showerhead 410 is made from a conductive material ⁇ e.g., anodized aluminum), which acts as a plasma controlling device by use of the attached to a first impedance match element 475 and a first RF power source 490.
  • a bias RF generator 462 applies RF bias power to the substrate support 623 and substrate 422 through an impedance match element 464.
  • a controller 480 is adapted to control the impedance match elements (i.e., 475 and 464), the RF power sources (i.e., 490 and 462) and all other aspects of the plasma process.
  • the frequency of the power delivered by the RF power source may range between about 0.4 MHz to greater than 10 GHz.
  • dynamic impedance matching is provided to the substrate support 623 and the showerhead 410 by frequency tuning and/or by forward power serving.
  • Figure 4 illustrates a capacitively coupled plasma chamber, other embodiments of the invention may include inductively coupled plasma chambers or combination of inductively and capacitively coupled plasma chambers with out varying from the basic scope of the invention.
  • the processing chamber 603 contains a remote plasma source (RPS) (element 670 in Figures 4, 6A-C and 11) that is adapted to deliver various plasma generated species or radicals to the processing region 427 through an inlet line 671.
  • RPS remote plasma source
  • An RPS that may be adapted for use with the deposition chamber 600 is an ASTRON ® Type AX7651 reactive gas generator from MKS ASTEX ® Products of Wilmington, Massachusetts.
  • the RPS is generally used to form, reactive components, such as hydrogen (H) radicals, which are introduced into the processing region 427.
  • the RPS thus improves the reactivity of the excited gas species to enhance the reaction process.
  • a typical RPS process may include using 1 ,000 seem of H 2 and 1 ,000 seem of argon and an RF power of 350 Watts and a frequency of about 13.56 MHz.
  • a forming gas such as a gas containing 4% H 2 and the balance nitrogen may be used.
  • a gas containing hydrazine (N 2 H 4 ) may be used.
  • the use of plasma excitation to generate reducing species capable of converting RuO 2 to Ru will allow this reaction to proceed at lower temperatures. This process may be most useful when it is desired to deposit the RuO 2 selectively, generally below approximately 180 0 C and then subsequently perform the reduction to metallic ruthenium at the same temperature and/or in the same chamber.
  • a process gas delivery system 601 is adapted to deliver a ruthenium containing gas, or vapor, to the processing region 427 so that a ruthenium containing layer can be formed on the substrate surface.
  • the process gas delivery system 601 generally contains one or more gas sources 611A-E, an ozone generating device 612, a processing vessel 630, a source vessel assembly 640 and an outlet line 660 attached to the inlet line 426 of the processing chamber 603.
  • the one or more gas sources 611A-E are generally sources of various carrier and/or purge gases that may be used during processing in the processing chamber 603.
  • the one or more gases delivered from the gas sources 611A-E may include, for example, nitrogen, argon, helium, hydrogen, or other similar gases.
  • the ozone generator 612 is a device which converts an oxygen containing gas from an gas source (not shown) attached to the ozone generator 612 into a gas containing between about 4 wt.% and about 100 wt.% of ozone (O 3 ), with the remainder typically being oxygen.
  • the concentration of ozone is between about 6 wt.% and about 100 wt.%. It should be noted that forming ozone in concentrations greater than about 15% will generally require a purification process that may require a process of adsorbing ozone on a cold surface in a processing vessel and then purging the vessel using an inert gas to remove the contaminants.
  • the ozone concentration may be increased or decreased based upon the amount of ozone desired and the type of ozone generating equipment used.
  • a typical ozone generator that may be adapted for use with the deposition chamber 600 are the SEMOZON ® and LIQUOZON ® Ozone generators that can be purchased from MKS ASTEX ® Products of Wilmington, Massachusetts.
  • the gas source 611 A may be adapted to purge or as a carrier gas to deliver the ozone generated in the ozone generator 612 to the input port 635 of the processing vessel 630.
  • the processing vessel 630 contains a vessel 631 , a temperature controlling device 634A, an input port 635 and an output port 636.
  • the vessel 631 is generally an enclosed region made of or coated with glass, ceramic or other inert material that will not react with the processing gas formed in the vessel 631.
  • the vessel 631 contains a volume of a ruthenium source ⁇ e.g., ruthenium metal, sodium perruthenate; see element "A”), preferably in a porous-solid, powder, or pellet form, to promote the formation of ruthenium tetroxide when the ozone gas is delivered to the vessel 631.
  • the temperature controlling device 634A generally contains a temperature controller 634B and a heat exchanging device 634C, which are adapted to control the temperature of the vessel 631 at a desired processing temperature during the ruthenium tetroxide generation process.
  • the heat exchanging device 634C is a temperature controlled fluid heat exchanging device, a resistive heating device and/or a thermoelectric device that is adapted to heat and/or cool the vessel 631 during different phases of the process.
  • a remote plasma source 673 is connected to the processing vessel 630 via the RPS inlet line 673A so that in different phases of the ruthenium tetroxide formation process the ruthenium source can be regenerated by injecting hydrogen (H) radicals into the vessel 631 to reduce any formed oxides on the surface of the ruthenium source. Regeneration may be necessary when an undesirable layer of ruthenium dioxide (RuOg) is formed on a significant portion of the exposed ruthenium source contained in the vessel 631.
  • the regeneration process is performed when by introducing a hydrogen containing gas to the ruthenium source that has been heated to an elevated temperature in an effort to reduce the formed oxides.
  • the source vessel assembly 640 generally contains a source vessel 641 , a temperature controller 642, an inlet port 645 and an outlet port 646.
  • the source vessel 641 is adapted to collect and retain the ruthenium tetroxide generated in the processing vessel 630.
  • the source vessel 641 is generally lined, coated or made from a glass, ceramic, plastic (e.g., TEFLON ® , PTFE, or polyethylene), or other material that will not react with the ruthenium tetroxide and has desirable thermal shock and mechanical properties.
  • the temperature controller 642 cools the source vessel 641 to a temperature less than 20 0 C to condense the ruthenium tetroxide gas on to the walls of the source vessel.
  • the temperature controller 642 generally contains a temperature controller device 643 and a heat exchanging device 644, which are adapted to control the temperature of the source vessel 641 at a desired processing temperature.
  • the heat exchanging device 644 is a temperature controlled fluid heat exchanging device, a resistive heating device and/or a thermoelectric device that is adapted to heat and cool the source vessel 641.
  • FIG. 5 depicts process 700 according to one embodiment described herein for forming a ruthenium containing layer on a substrate surface.
  • Process 700 includes steps 702-708, wherein a ruthenium containing layer is directly deposited on a substrate surface.
  • the first process step 702 of process 700 includes step of forming a ruthenium tetroxide gas and collecting the generated gas in the source vessel 641.
  • ozone generated in the ozone generator 612 is delivered to the ruthenium source contained in the processing vessel 631 to form a flow of a ruthenium tetroxide containing gas, which is collected in the vessel 641.
  • an ozone containing gas flows across the ruthenium source which causes ruthenium tetroxide to be formed and swept away by the flowing gas.
  • the gas flow path is from the ozone generator 612, in the inlet port 635, across the ruthenium source (item "A"), through the outlet port 636 in the vessel 631 through the process line 648 and into the closed source vessel 641.
  • a conventional vacuum pump 652 e.g., conventional rough pump, vacuum ejector
  • the gas source 611A is used to form an ozone containing gas that contains pure oxygen and ozone or an inert gas diluted oxygen containing gas and ozone.
  • the ruthenium source (item "A") contained in the vessel 631 is maintained at a temperature between about 0°C and about 100 0 C, and more preferably between about 20 0 C and about 60 0 C to enhance the ruthenium tetroxide formation process in the vessel 631. While a lower ruthenium tetroxide generation temperature is generally desirable, it is believed that the required temperature to form a ruthenium tetroxide gas is somewhat dependent on the amount of moisture contained in the vessel 631 during processing.
  • the source vessel 641 is maintained at a temperature below about 25°C at pressures that allow the generated ruthenium tetroxide to condensed, or crystallized (or solidified), on the walls of the source vessel 641.
  • the source vessel 641 is maintained at a pressure of about 5 Torr and a temperature between about -20 0 C and about 25°C.
  • the injection of water may be important to improve the dissociation of the ruthenium tetroxide from the ruthenium source, for example, when ruthenium source contains sodium perruthenate, potassium perruthenate, or a derivative thereof.
  • the second process step 704 is designed to remove the unwanted oxygen (O 2 ) and unreacted ozone (O 3 ) components from the ruthenium tetroxide containing gas.
  • the second process step 704 is completed while the walls of the source vessel 641 are maintained at a temperature of 25 0 C or below, by closing the ozone isolation valve 612A and flowing one or more purge gasses from the one or more of the gas sources 611 B-C through the processing vessel 630, into the process line 648, through the source vessel 641 and then through the exhaust line 651 to the exhaust system 650.
  • the amount of un- solidified or un-condensed ruthenium tetroxide that is wasted during the completion of process step 704, can be minimized by adding a wait step of a desired length between the process step 702 and process step 704 to allow the ruthenium tetroxide time to condense or solidify.
  • the amount of un-solidified or un-condensed ruthenium tetroxide that is wasted can be further reduced also by lowering the source vessel wall temperature to increase the rate of solidification, and/or increasing the surface area of the source vessel to increase the interaction of the walls and the ruthenium tetroxide containing gas.
  • the purge gases delivered from the one or more gas sources 61 1 B-C can be, for example, nitrogen, argon, helium, or other dry and clean process gas. Since the unwanted oxygen (O 2 ) and unreacted ozone (O 3 ) components can cause unwanted oxidation of exposed surfaces on the substrate the process of removing these components can be critical to the success of the ruthenium deposition process. Removal of these unwanted oxygen (O 2 ) and unreacted ozone (O 3 ) components is especially important where copper interconnects are exposed on the surface of the substrate, since copper has a high affinity for oxygen and is corroded easily in the presence of an oxidizing species.
  • the process step 704 is completed until the concentration of oxygen (O 2 ) and/or unreacted ozone (O 3 ) is below about 100 parts per million (ppm). In one aspect, it may be desirable to heat the vessel 631 to a temperature between about 20°C and 25 0 C during the process step 704 to assure that all of the formed ruthenium tetroxide has been removed from the process vessel 630. [0067] In one aspect, the purging process (step 704) is completed by evacuating the source vessel 641 using a vacuum pump 652 to remove the contaminants.
  • the temperature and pressure of the vessel may be controlled to minimize the loss due to vaporization. For example, it may be desirable to pump the source vessel assembly 640 to a pressure of about 5 Torr while it is maintained at a temperature below about 0 0 C.
  • the third process step 706, or deliver the ruthenium tetroxide to the processing chamber 603 step is completed after the source vessel 641 has been purged and valve 637A is closed to isolate the source vessel 641 from the processing vessel 630.
  • the process step 706 starts when the source vessel 641 is heated to a temperature to cause the condensed or solidified ruthenium tetroxide to form a ruthenium tetroxide gas, at which time the one or more of the gas sources 611 (e.g., items 611 D and/or 611 E), the gas sources associated isolation valve (e.g., items 638 and/or 639) and process chamber isolation valve 661 are opened which causes a ruthenium tetroxide containing gas to flow into the inlet line 426, through the showerhead 410, into an process region 427 and across the temperature controlled substrate 422 so that a ruthenium containing layer can be formed on the substrate surface.
  • the gas sources 611 e.g., items 611 D and/or 611 E
  • the gas sources associated isolation valve e.g., items 638 and/or 639
  • the source vessel 641 is heated to a temperature between about 0°C and about 50°C to cause the condensed or solidified ruthenium tetroxide to form a ruthenium tetroxide gas. It should be noted that even at the low temperatures, for example about 5°C, an equilibrium partial pressure of ruthenium tetroxide gas will exist in the source vessel 641. Therefore, in one aspect, by knowing the mass of ruthenium tetroxide contained in the vessel, by knowing the volume and temperature of the source vessel 641 , a repeatable mass can be delivered to the processing chamber 603.
  • a continuous flow of a ruthenium tetroxide containing gas can be formed and delivered to the processing chamber 603, by knowing the sublimation or vaporization rate of the ruthenium tetroxide at a given temperature for a given sized source vessel 641 and flowing a carrier gas at a desired rate through the source vessel 641 to form a gas having a desired concentration of ruthenium tetroxide.
  • the substrate surface is maintained, by use of the temperature controlled substrate support 623, at a temperature above about 180 0 C, and more preferably at a temperature between of about 180 0 C and about 45O 0 C, and more preferably a temperature between about 200 0 C and about 400 0 C.
  • the temperature may be between about 300 0 C and about 400 0 C.
  • the processing chamber pressure is maintained at a pressure below about 10 Torr, and preferably between about 500 milliTorr (mTorr) and about 5 Torr.
  • the selectivity of the deposited ruthenium containing layer and crystal structure of the deposited ruthenium containing layer can be adjusted and controlled as desired. It is believed that a crystalline ruthenium containing layer will be formed at temperatures above 35O 0 C.
  • a the ruthenium tetroxide containing gas is formed when a nitrogen containing gas is delivered from the gas source 611 D and a hydrogen (H 2 ) containing gas ⁇ e.g., hydrogen (H 2 ), hydrazine (N 2 H 4 )) is delivered from the gas source 611 E through the source vessel assembly 640 containing an amount of ruthenium tetroxide and then through the process chamber 603.
  • H 2 hydrogen
  • H 2 hydrogen
  • N 2 H 4 hydrazine
  • 100 seem of nitrogen and 100 seem of H 2 gas is delivered to the process chamber 603 which is maintained at a pressure between about 0.1 and about 10 Torr, and more preferably about 2 Torr.
  • the desired flow rate of the gasses delivered from the gas sources 611 is dependent upon the desired concentration of the ruthenium tetroxide in the ruthenium tetroxide containing gas and the vaporization rate of the ruthenium tetroxide from the walls of the source vessel 641.
  • the remote plasma source 670 is utilized during the process step 706 to enhance the process of forming a metallic ruthenium layer.
  • H radicals generated in the remote plasma source are injected into the processing region 427 to reduce any formed oxides on the surface of the ruthenium source.
  • the RPS is used to generate H radicals as the ruthenium tetroxide containing gas is delivered to the processing region 427.
  • the RPS is only used after each successive monolayer of ruthenium has been formed and thus forms a two step process consisting of a deposition step and then a reduction of the ruthenium layer step.
  • the amount of ruthenium tetroxide gas generated and dispensed in the process chamber 603 is monitored and controlled to assure that the process is repeatable, complete saturation of the process chamber components is achieved and a desired thickness of the ruthenium containing film has been deposited.
  • the mass of the ruthenium tetroxide delivered to the process chamber is monitored by measuring the change in weight of the source vessel 641 as a function of time, by use of a conventional electronic scale, load cell, or other weight measurement device.
  • the gas delivery system 601 is adapted to deliver a single dose, or mass of ruthenium tetroxide, to the process chamber 603 and the substrate to form a ruthenium containing layer on the surface of the substrate.
  • multiple sequential doses of ruthenium tetroxide are delivered to the process chamber 603 to form a multilayer ruthenium containing film.
  • To perform the multiple sequential doses at least one of the process steps 702 through 706, described in conjunction with Figures 5 or 7, are repeated multiple times to form the multilayer ruthenium containing film.
  • the surface area of the source vessel 641 and the length of the process step 702 are both sized to allow a continuous flow of a desired concentration of a ruthenium tetroxide containing gas across the surface of the substrate during the ruthenium containing layer deposition process.
  • the gas flow distribution across the surface of the substrates can be important to the formation of uniform layers upon substrates processed in the processing chamber, especially for processes that are dominated by mass transport limited reactions (CVD type reactions) and for ALD type processes where rapid surface saturation is required for reaction rate limited deposition. Therefore, the use of a uniform gas flow across the substrate surface by use of a showerhead 410 may be important to assure uniform process results across the surface of the substrate.
  • the process of delivering a mass of ruthenium tetroxide into the process chamber 603 has advantages over conventional ALD or CVD type processes, because the organic material found in the ALD or CVD precursor(s) are not present in the ruthenium containing gas and thus will not be incorporated into the growing ruthenium containing layer.
  • the incorporation of the organic materials in the growing ruthenium film can have large affect on the electrical resistance, adhesion and the stress migration and electromigration properties of the formed device(s).
  • the ruthenium containing layer deposition rate per ALD cycle using ruthenium tetroxide will be increased over conventional precursors, due to the improved ruthenium coverage per ALD cycle.
  • FIG. 6A illustrates another embodiment of a gas delivery system 602 found in the deposition chamber 600.
  • the gas delivery system 602 is similar to the gas delivery system 601 , described in relation to Figure 4, except that the gas delivery system 602 contains two or more source vessel assemblies 640 ⁇ e.g., items 640A-B).
  • Each of the source vessel assemblies 640A and 640B each contain their own source vessels (elements 641A-641B), a temperature controller (elements 642A-B), a temperature controller device (elements 643A-B), a heat exchanging device (elements 644A-B), an inlet port (elements 645A-B) and an outlet port (elements 646A-B).
  • the two source vessels 640A-B are used to alternately collect and dispense the generated ruthenium tetroxide so that the chamber process will not be interrupted by the time that is required to collect the ruthenium tetroxide in a single source vessel.
  • the first source vessel 640A is completing process step 706 on a substrate positioned in the process chamber 603, using the gas sources 611 D-E, first source vessel 641 A and process chamber isolation valve 661 A
  • the second source vessel 640B can be completing process step 702, using the ozone generator 612, the processing vessel 631 , source vessel 640B, inlet port 635, outlet port 636, isolation valve 637B and the process line 648B.
  • FIG. 6B illustrates one aspect of the gas delivery system 602, where each of the two or more source vessel assemblies 640 ⁇ e.g., element 640A or 640B) are separately supported by their own, or a separate, processing vessel 630.
  • This configuration may be advantageous when one of the vessels 631 (e.g., 631 A or 631 B) need to be replaced when the ruthenium source material has been depleted or a maintenance activity needs to be performed on one of the vessels.
  • the gas sources 611A-C and the ozone generator 612 are shared by the first processing vessel 630A and the second processing vessel 630B.
  • the controller 480 is adapted to monitor the process(es) being performed in the process chamber 603, in an effort to assure that at least one of the source vessels 640A or 640B contains a desired amount of the solidified or crystallized ruthenium tetroxide at any given time.
  • Typical aspects of the process that the controller 480 that may need to monitored are the mass of ruthenium tetroxide in the source vessels 640A-B, the state of the process that is ongoing in the process chamber 603 and/or whether one or more substrates are waiting to be processed in the deposition chamber 600.
  • the gas delivery system 602 is adapted to look ahead and adjust the rate of generation of the ruthenium tetroxide as needed, to assure that at least one of the vessels 640A-B contains a desired mass of precursor at a desired time.
  • This configuration is important since the ruthenium tetroxide generation process, can be kinetically limited by the reaction rate of ozone with the ruthenium or mass transport limited due to the flow of the ozone containing gas across the surface of the ruthenium source contained in the processing vessel 631.
  • the ruthenium tetroxide generation process will have a maximum generation rate at which the ruthenium tetroxide can be formed and thus the throughput of the deposition chamber may be limited by this process.
  • the generation process variables may be affected by the ozone gas/ruthenium solid interface surface area, the temperature of the ruthenium source, the concentration of ozone in the processing vessel 631 , and the flow rate of the carrier gas delivered into the processing vessel, to name just a few.
  • the controller 480 is adapted to adjust the time when to begin the ruthenium tetroxide formation process and the flow rate of the ozone containing gas into the processing vessel 631 to control the rate of ruthenium tetroxide formation and thus prevent a case where the gas delivery system cannot fill the source vessel 641 in time due to need to generate ruthenium tetroxide at a rate that exceeds the maximum ruthenium tetroxide formation rate.
  • Figure 6C illustrates one embodiment of the gas delivery system 601 similar to what is shown in Figure 6B, except that contains a dosing vessel assembly 669 mounted in the outlet line 660 which is adapted to deliver a repeatable mass of ruthenium tetroxide gas, or volume of ruthenium tetroxide gas at a desired temperature and pressure, to the process chamber 603.
  • the dosing vessel assembly 669 generally contains an inlet isolation valve 664, a dosing vessel 662, and an outlet isolation valve 663.
  • the dosing vessel assembly 669 also contains a temperature sensor 665, pressure sensor 667, a heat exchanging device 668 (e.g., fluid heat exchanging device, a resistive heating device and/or a thermoelectric device) and a temperature controller 672, which are adapted to communicate with the controller 480.
  • the controller 480 is adapted to control and monitor the state of the ruthenium tetroxide gas retained in the dosing vessel 662.
  • the dosing vessel assembly 669 also contains an optical sensor 681 which is adapted to sense the presence to ruthenium tetroxide and communicate with the controller 480.
  • the optical sensor 681 is adapted to sense the presence of the ruthenium tetroxide containing gas in the dosing vessel 662 by measuring the change in absorption of certain wavelengths of light in the ruthenium tetroxide containing gas.
  • the optical sensor may be an optical prism or other conventional device that is calibrated to sense the presence of a desired concentration of ruthenium tetroxide gas in the dosing vessel 662.
  • FIG. 7 illustrates process 700A which is a modified version of the process 700 depicted in Figure 5, which includes a new fill dosing vessel step 705.
  • the dosing vessel 662 is filled after performing the purge source vessel step 704 has been completed, but prior to process step 706.
  • the dosing vessel prior to starting the process step 705 the dosing vessel is evacuated to a desired vacuum pressure by opening the outlet valve 663, while leaving the inlet valve 664 closed, thus allowing the vacuum pump 435 in the process chamber 603 to evacuate the dosing vessel 662.
  • Process step 705 starts when one of the source vessels 641 A, or 641 B, that contains an amount of condensed or solidified ruthenium tetroxide is heated to a temperature that causes the condensed or solidified ruthenium tetroxide in the source vessel 640A, or 640B, to form a ruthenium tetroxide containing gas.
  • the process chamber isolation valve 661 A, or 661 B, and the inlet isolation valve 664 are opened, while the outlet isolation valve 663 is closed, thus causing the ruthenium tetroxide gas to flow into the dosing vessel 662.
  • the inlet valve 664 is closed.
  • a fixed mass, or volume at a desired temperature and pressure is retained in the dosing vessel 662.
  • the mass of ruthenium tetroxide retained in the dosing vessel 662 is then maintained at a desired temperature and pressure by use of the temperature sensor 665, the pressure sensor 667, the heat exchanging device 668 and the temperature controller 672 until the process step 706 is ready to be completed.
  • the process step 706 is not started until a desired temperature and/or pressure is achieved in the dosing vessel 662 so that a repeatable deposition process, i.e., process step 706, can be performed on the substrate.
  • process 700A the process step 706 is modified from the process described above in conjunction with Figure 5, due to the incorporation of dosing vessel 662 in the system.
  • process 706 is completed when the gas source isolation valve 673 and the outlet valve 663 are opened, while the inlet valve 664 remains closed, thus causing the carrier gas from the inert gas source 674 to flow through the dosing vessel 662 and carry the ruthenium tetroxide containing gas into the inlet line 426, through the showerhead 410, into the evacuated process region 427 and across the temperature controlled substrate 422 so that a ruthenium containing layer can be formed on the substrate surface.
  • no carrier gas is used to deliver the ruthenium tetroxide to the process region 427.
  • the inert gas source 674 and/or the dosing vessel 662 are used to "dose,” or “pulse,” the ruthenium tetroxide containing gas into the process region 427 so that the gas can saturate the surface of the substrate (e.g., an ALD type process).
  • the "dose,” or “dosing process,” may be performed by opening and closing the various isolation valves for a desired period of time so that a desired amount of the ruthenium containing gas can be injected into the process chamber 603.
  • no inert gas is delivered to the dosing vessel 662, from the gas source 674, during the dosing process.
  • an ozone generator 612B is connected to the process chamber 603 and is utilized to remove the deposited ruthenium on the various chamber components during the previous deposition steps.
  • a single ozone generator 612 is used to form the ruthenium tetroxide containing gas and clean the processing chamber 603. Alternate Ruthenium Tetroxide Generation Process
  • Figure 9 illustrates one embodiment of a ruthenium tetroxide containing solvent formation process 1001 that may be used to form ruthenium tetroxide using a perruthenate containing source material.
  • the first step of the ruthenium tetroxide containing solvent formation process 1001 (element 1002) starts by first dissolving a perruthenate material, such as sodium perruthenate in an aqueous solution in a first vessel (e.g., element 1021 in Figure 10C).
  • a perruthenate material such as sodium perruthenate
  • the process solution may be formed by dissolving sodium perruthenate in a solution of excess sodium hypochlorite (NaOCI) followed by titration with sulfuric acid to a pH value of about 7 to liberate ruthenium tetroxide.
  • NaOCI sodium hypochlorite
  • hypochlorite materials such as potassium hypochlorite or calcium hypochlorite, may also be used in place of the sodium hypochlorite.
  • the ruthenium tetroxide is likely formed according to reaction (4).
  • a process solution was formed by mixing 50 ml_ of a sodium hypochlorite (e.g., 10% NaOCI solution) with 1 gram of finely powdered sodium perruthenate and stirring until dissolution is essentially complete. A sufficient amount of 10% solution of H 2 SO 4 in water was then added to achieve a pH of about 7.
  • a sodium hypochlorite e.g., 10% NaOCI solution
  • H 2 SO 4 phosphoric acid
  • an optional purification step 1004 may next be performed on the process solution.
  • the step 1005 generally includes the steps: 1) warming the process solution mixture to temperature of about 50°C in a first vessel, and 2) bubbling an inert gas or ozone (O 3 ) through the process solution to deliver the vapor generated in the first vessel to a cooled second vessel (e.g., ⁇ 20°C) where the generated vapor condenses giving a mixture of ruthenium tetroxide and water.
  • the ruthenium tetroxide vapor generated in the first vessel will thus be collected in the pure water contained in the second vessel.
  • Step 1004 the second vessel will contain the aqueous solution components that the rest of the ruthenium tetroxide containing solvent formation process 1001 steps will use, while the left over components in the first vessel can be discarded or reclaimed.
  • Step 1004 may be useful to help purify the process solution which will be used as the ruthenium tetroxide source material.
  • Suitable solvents generally include the materials such as perfluorocarbons (C x F y ), hydrofluorocarbons (H x CyF 2 ), or chlorofluorocarbons (Freons or CFCs.).
  • C x F y perfluorocarbons
  • H x CyF 2 hydrofluorocarbons
  • chlorofluorocarbons Freons or CFCs.
  • any solvent material that is non-polar, non-oxidizable and has a boiling point near and more preferably below about 50 0 C may be useful to perform this process.
  • the boiling point of the solvent is within a range from about 25°C to 40 0 C.
  • a suitable solvents may be perfluoropentane (C 5 F 12 ), perfluorohexane (C 6 Fu), a Freon containing material, such as Freon 11 (fluorotrichloromethane (CFCI 3 )), or Freon 113 (1 ,1 ,2-trichloro-1 ,2,2-trifluoroethane (CCI 2 FCCIF 2 )), a derivative thereof, or a combination thereof.
  • various common refrigerants may be employed as solvents, particularly if the entire process can be performed within a sealed system capable of preventing their release into the environment.
  • Perfluoropentane may have many advantages for use in the semiconductor industry since it can easily be purchased in a pure form, it is not an ODS, and is extremely inert and thus will generally not react with the materials it is exposed to during processing.
  • an optional step 1008 may next be completed on the solvent mixture formed in step 1006.
  • This step adds the action of bubbling ozone (O3) through the solvent mixture contained in the first vessel (e.g., element 1021 Figure 10C), which is maintained at a temperature preferably near room temperature to assure complete formation of ruthenium tetroxides.
  • An example of a ruthenium generation step includes flowing 4% ozone containing gas at a rate of 500 mL/min through the mixture containing 1 g of sodium perruthenate, 50 m!_ of water and 25 g of Freon 113 until a desired amount of ruthenium tetroxide is formed by the process.
  • the final step 1010 of the ruthenium tetroxide containing solvent formation process 1001 generally requires the step of separating the water from the solvent mixture formed after completing steps 1006 and/or 1008 to form an "anhydrous" solvent mixture.
  • a solvent that is not miscible with water allows the water to be easily removed from the solvent mixture by use of some conventional physical separation process. Failure to separate most, if not all, of the water from the rest of the solvent mixture may cause problems in the subsequent process steps and can decrease the selectivity of the ruthenium containing layer deposition.
  • the selected solvent is not miscible with water and has a different density than water, such as perfluoropentane, Freon 11 or Freon 113
  • most of the water can be easily separated from the static mixture by use of simple mechanical techniques (e.g., a separator/ funnel, siphon or pump).
  • a complete removal of the residual water may be accomplished by contacting the liquid with a molecular sieve (e.g., 3A molecular sieves) followed by conventional filtration.
  • the "anhydrous" solvent mixture can then be transferred into a vessel that may be used as an ALD or CVD precursor source for use on a processing tool in which the ruthenium containing layer is to be deposited.
  • pure solid ruthenium tetroxide is generally unstable which makes it difficult to handle and hard to transport from one place to another. Therefore, one benefit of the invention described herein is it creates a way to effectively transport and/or generate pure ruthenium tetroxide that can be used to form a ruthenium containing layer. In one aspect, it may be desirable to ship and place the ruthenium tetroxide in an environment that has no exposure to light to prevent decomposition of the ruthenium tetroxide to ruthenium dioxide and oxygen.
  • the purification process may include completing the process step 1004 on the process solution formed in step 1002 at least once.
  • the process step 1010 in the ruthenium tetroxide containing solvent formation process 1001 is completed on the process solution at least once.
  • the "anhydrous" solvent mixture is then used to form a ruthenium containing layer on a surface of the substrate by use of another embodiment of the process 700 (hereafter process 700B) illustrated in Figure 10A.
  • the process 700B contains a new process step 701 , a refined version of process step 702 (i.e., step 702A in Figure 10C) and the process steps 704-706 described above.
  • the steps found in process 700B may be rearranged, altered, one or more steps may be removed, or two or more steps may be combined into a single step without varying from the basic scope of the invention.
  • the process step 705 is removed from the process 700B.
  • step 701 requires the separation of the ruthenium tetroxide from the rest of the "anhydrous" solvent mixture.
  • step 701 is a series of process steps (see process sequence 701 A in Figure 10B) that may utilize a separation hardware system 1020 (see Figure 10C) to separate the ruthenium tetroxide from the rest of the "anhydrous" solvent mixture.
  • Figure 10B illustrates one embodiment of a process sequence 701 A that may be used to perform process step 701.
  • the process sequence 701 A starts by delivering and connecting a first vessel 1021 that contains the "anhydrous" solvent mixture (element “A") formed using the ruthenium tetroxide containing solvent formation process 1001 to a processing vessel assembly 1023.
  • the hardware shown in Figure 1 OC is intended to be a direct replacement for the processing vessels 630, 630A and 630B shown in Figures 4 and 6A-C, which can deliver a ruthenium tetroxide containing gas to the source vessel assembly (see element 640 in Figures 4 and elements 640A or 640B in Figures 6A-C) and eventually the processing chamber 603 (see Figures 4 and 6A-C).
  • the processing vessel assembly 1023 generally contains a processing vessel 1023B and temperature controlling device 1023A (e.g., fluid heat exchanging device, a resistive heating device and/or a thermoelectric device).
  • temperature controlling device 1023A e.g., fluid heat exchanging device, a resistive heating device and/or a thermoelectric device.
  • the first step (step 701 B) of the process sequence 701 A starts by injecting a desired amount of the "anhydrous" solvent mixture, into a processing vessel 1023B by use of a metering pump 1022 or other conventional fluid delivery process.
  • the processing vessel 1023B is then evacuated to a desired temperature and pressure (step 701 C) by use of a heat exchanging device 1023A, a vacuum pump 1025 and/or one or more gas sources 611 B-C so that the solvent, which has a higher vapor pressure than the ruthenium tetroxide, will vaporize and thus become separated from the ruthenium tetroxide material that is retained in the processing vessel 1023B (element "B" Figure 10C).
  • temperatures of less than about 0 0 C and pressures of about 360 Torr can be used to separate the solidified ruthenium tetroxide from the solvent mixture.
  • Low pressures, such as about 3 Torr, may be used to perform the separation process, but a larger amount ruthenium tetroxide will be carried away with the solvent, and thus lost, as the pressure used to complete this step is lowered.
  • step 701 D generally requires that the processing vessel 1023B be evacuated until the pressure in the processing vessel reaches a desired level or until the pressure in the vessel stabilizes.
  • step 701 D is performed until only small amounts of solvent, left over water and/or other solubilized foreign materials are left in the processing vessel 1023B. Failure to adequately separate the other materials from the ruthenium tetroxide material may cause contamination of the ruthenium containing layer formed during subsequent deposition process(es) (e.g., step 706 of Figures 5 and 7). In one aspect, it may be advantageous to control the temperature in the processing vessel 1023B to cause the solvent and other materials to be removed.
  • a cold trap assembly 1024 is used to collect and reclaim the vaporized solvent material created as the processing vessel 1023B is evacuated by the vacuum pump 1025.
  • the cold trap assembly 1024 is adapted to cool a portion of the vacuum line 1025A to a temperature that will cause the vaporized solvent material to condense so that in a subsequent step the condensed solvent can be reclaimed in a collection tank/system 1024D.
  • the cold trap assembly 1024 generally contains a collection region 1024B of chilled vacuum line 1025A, an isolation valve 1026, a temperature controlling device 1024A ⁇ e.g., fluid heat exchanging device, a resistive heating device and/or a thermoelectric device) and a collection line 1024C connected to a solvent collection tank/system 1024D.
  • a temperature controlling device 1024A e.g., fluid heat exchanging device, a resistive heating device and/or a thermoelectric device
  • collection line 1024C connected to a solvent collection tank/system 1024D.
  • any collected ruthenium tetroxide found in the condensed solvent is reclaimed.
  • step 701 the separated ruthenium tetroxide, which is contained in processing vessel 1023B, can then be used to form a ruthenium containing layer on a surface of the substrate .by use of a refined version of process step 702 (step 702A in Figure 10A) and the process steps 704-706 described above.
  • the refined process step 702A requires controlling the temperature of the ruthenium tetroxide material contained in the processing vessel 1023B and the pressure inside the processing vessel 1023B to cause the leftover solid ruthenium tetroxide to vaporize, so that it can be collected in a source vessel assembly ⁇ e.g., elements 640, 640A or 640B in Figures 4 and 6A-C), similar to the aspects discussed in process step 702 above.
  • the term vaporize as used herein is intended to describe the process of causing a material to be converted from a solid or liquid to a vapor.
  • the ruthenium tetroxide material is maintained at a temperature of about 25°C and 2 Torr to cause the vaporization process to occur so that vaporized material can be delivered and collected in the source vessel(s).
  • the vaporized ruthenium tetroxide is carried by a flowing process gas delivered from the one or more gas sources 611 B-C through the processing vessel 1023B, a process line ⁇ e.g., 648, 648A or 648B) and valve 637A to the source vessel(s) (not shown).
  • the concentration and flow rate of the ruthenium tetroxide containing gas is related to the process gas flow rate and the vaporization rate of the ruthenium tetraoxide in the processing vessel 1023B.
  • the vaporization rate is related to the equilibrium partial pressure of ruthenium tetroxide at the pressure and temperature maintained in the processing vessel 1023B.
  • At least one of the process steps 701 through 706, described in conjunction with Figure 10A, are repeated multiple times to form the multilayer ruthenium containing film.
  • a continuous flow of a desired concentration of a ruthenium tetroxide containing gas is delivered across the surface of the substrate during the ruthenium containing layer deposition process.
  • the "anhydrous" solvent mixture formed in the ruthenium tetroxide containing solvent formation process 1001 is directly delivered to a substrate surface positioned in the processing chamber 603 (see Figure 11).
  • an inert solvent such as perfluoropentane (CsF 12 ), which will generally not interact with the materials on the substrate surface at temperatures below its decomposition temperature, is used to prevent contamination of the substrate surface during the ruthenium containing layer deposition process.
  • a ruthenium containing layer is formed on a surface of a heated substrate by delivering the "anhydrous" solvent mixture to the substrate positioned in the process region 427 of the processing chamber 603.
  • the heated substrate may be at a temperature below about 350 0 C, and more preferably at a temperature below about 300 0 C. Selection of the process temperature can be important to prevent the decomposition of the solvent material.
  • the processing chamber pressure is maintained at a process pressure below about 10 Torr to complete the ruthenium containing layer deposition process.
  • a desired amount, or mass, of the purified solvent mixture (element "A") is delivered to the process region 427 by use of a carrier gas delivered from the gas source 611 D and a hydrogen (H 2 ) containing gas (e.g., hydrogen (H 2 )) to form a ruthenium layer on the surface of the substrate.
  • a hydrogen (H 2 ) containing gas e.g., hydrogen (H 2 )
  • the reducing co-reactant may be hydrazine (N 2 H 4 ) which is entrained in an inert carrier gas such as N 2 .
  • the carrier gas is delivered from the gas source 611 E through a first vessel 1021 , which contains the "anhydrous" solvent mixture and then directly through outlet line 660 and to a substrate 422 positioned in the process region 427 of the process chamber 603.
  • multiple sequential doses of the "anhydrous" solvent mixture are delivered to the process chamber 603 to form a multilayer ruthenium containing film.
  • a desired amount of the "anhydrous" solvent mixture is sequentially delivered to the substrate multiple times to form the multilayer ruthenium containing film.
  • the desired mass of ruthenium tetroxide that needs to be delivered to the process region 427 to form a ruthenium containing layer is generally dependent on the amount of ruthenium tetroxide that is required to completely saturate the substrate surface and other chamber components. Therefore, the amount of the "anhydrous" solvent mixture that needs to be delivered to the process chamber 603 is dependent on the desired mass of ruthenium tetroxide and the concentration of the ruthenium tetroxide in the "anhydrous" solvent mixture.
  • a continuous flow of the "anhydrous" solvent mixture is adapted to flow across the surface of the substrate 422 during the ruthenium containing layer deposition process.
  • the "anhydrous" solvent mixture flows past the surface of the substrate and is collected by the vacuum pump 435.
  • a cold trap assembly 1024 ( Figure 10C) and collection tank/system 1024D ( Figure 10C) are in fluid communication with the process region 427 and the vacuum pump 435 to collect any leftover "anhydrous" solvent mixture components, such as the solvent and any unreacted ruthenium tetroxide.
  • Figure 8 is a plan view of a cluster tool 1100 that is useful for electronic device processing wherein the present invention may be used to advantage.
  • Two such platforms are the CENTURA ® RTM and the ENDURA ® RTM both available from Applied Materials, Inc., of Santa Clara, Calif.
  • Figure 8 illustrates a plan view of a CENTURA ® RTM cluster tool.
  • the details of one such staged-vacuum substrate processing system are disclosed in U.S. Patent No. 5,186,718, which is incorporated herein by reference. The exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a fabrication process.
  • the cluster tool 1100 generally comprises a plurality of chambers and robots and is preferably equipped with a system controller 1102 programmed to control and carry out the various processing methods and sequences performed in the cluster tool 1100.
  • Figure 8 illustrates one embodiment, in which a processing chamber 603 is mounted in position 1114A on the transfer chamber 1110 and three substrate processing chambers 1202A-C are mounted in positions 1114B-D on the transfer chamber 1110.
  • the processing chamber 603 may placed in one or more of the other positions, for example positions 1114B-D, to improve hardware integration aspects of the design of the system or to improve substrate throughput. In some embodiments, some of the positions 1114A-D remain unoccupied to reduce cost or complexity of the system during processes.
  • an optional front-end environment 1104 (also referred to herein as a Factory Interface or Fl) is shown positioned in selective communication with a pair of load lock chambers 1106.
  • Factory interface robots 1108A-B disposed in the front-end environment 1104 are capable of linear, rotational, and vertical movement to shuttle substrates between the load locks 1106 and a plurality of substrate containing pods (elements 1105A-D) which are mounted on the front-end environment 1104.
  • the load locks 1106A-1106B provide a first vacuum interface between the front- end environment 1104 and a transfer chamber 1110.
  • two load locks 1106 are provided to increase throughput by alternatively communicating with the transfer chamber 1110 and the front-end environment 1104.
  • a second load lock can communicate with the front-end environment 1104.
  • the load locks are a batch type load lock that can receive two or more substrates from the factory interface, retain the substrates while the chamber is sealed and then evacuated to a low enough vacuum level to transfer of the substrates to the transfer chamber 1110.
  • a robot 1113 is centrally disposed in the transfer chamber 1110 to transfer substrates from the load locks to one of the various processing chambers mounted in positions 1114A-D and service chambers 1116A-B.
  • the robot 1113 is adapted to transfer the substrate "W" to the various processing chambers by use of commands sent from the system controller 1102.
  • a robot assembly used in a cluster tool that may be adapted to benefit from the invention are described in commonly assigned U.S. Patent Nos. 5,447,409, 5,469,035, and 6,379,095, which are hereby incorporated by reference in their entireties.
  • the processing chambers 1202A-C mounted in one of the positions 1114A-D may perform any number of processes such as preclean ⁇ e.g., selective or nonselective dry etch of the substrate surface), PVD, CVD, ALD, Decoupled Plasma Nitridation (DPN), rapid thermal processing (RTP), metrology techniques (e.g., particle measurement) and etching while the service chambers 1116A-B are adapted for degassing, orientation, cool down and the like.
  • preclean e.g., selective or nonselective dry etch of the substrate surface
  • PVD decoupled Plasma Nitridation
  • ALD Decoupled Plasma Nitridation
  • RTP rapid thermal processing
  • metrology techniques e.g., particle measurement
  • the processing sequence is adapted to deposit a barrier layer on the surface of the substrate using an ALD type process and then deposit a ruthenium containing layer in a separate chamber.
  • the cluster tool 1110 may be configured such that processing chamber 1202A is a ENDURA ® iCuB/STM chamber, which is available from Applied Materials, Inc., and the processing chamber 603 is mounted in position 1114A.
  • a preclean chamber is added to the process sequence prior to the barrier deposition process (element 102 of Figure 1A) and is mounted in position 1202B of the cluster tool 1110.
  • one or more of the processing chambers 1202A-C may be an RTP chamber which can be used to anneal the substrate before or after performing the batch deposition step.
  • An RTP process may be conducted using an RTP chamber and related process hardware commercially available from Applied Materials, Inc. located in Santa Clara, California.
  • one or more of the single substrate processing chambers 1202A-C may be a CVD chamber. Examples of such CVD process chambers include DXZ ® chambers, ULTIMA HDP-CVD ® and PRECISION 5000 ® chambers, commercially available from Applied Materials, Inc., located in Santa Clara, California.
  • one or more of the single substrate processing chambers 1202A-C may be a PVD chamber.
  • PVD process chambers include ENDURA ® PVD processing chambers, commercially available from Applied Materials, Inc., located in Santa Clara, California.
  • one or more of the single substrate processing chambers 1202A-C may be a DPN chamber. Examples of such DPN process chambers include DPN CENTURA ® , commercially available from Applied Materials, Inc., located in Santa Clara, California.
  • one or more of the single substrate processing chambers 1202A-C may be a process/substrate metrology chamber.
  • the processes completed in a process/substrate metrology chamber can include, but are not limited to particle measurement techniques, residual gas analysis techniques, XRF techniques, and techniques used to measure film thickness and/or film composition, such as, ellipsometry techniques.
  • the ruthenium containing layer deposited in process step 104 in Figure 1A and step 304 in Figure 1 B is deposited on a substrate surface maintained at a temperature so that a ruthenium oxide layer is formed of one or all surface of the substrate. Thereafter, the ruthenium oxide layer can be reduced to form a metallic ruthenium layer by heating the substrate and exposing the surface to a reducing gas (e.g., hydrogen containing gas), exposing the surface of the substrate to an electroless or electroplating solution which will reduce the exposed surfaces, or by liberating the oxygen from the layer by increasing the temperature of the substrate.
  • a reducing gas e.g., hydrogen containing gas
  • a ruthenium layer will selectively formed in which metallic ruthenium is formed on exposed metal surfaces and a ruthenium oxide layer on all other non-metallic materials such as dielectric materials silicon dioxide.
  • This aspect may be especially important when using subsequent selective deposition processes, such as an electroless deposition process. This may be useful for selectively forming an electroless layer of an exposed tungsten plug (e.g., metal 2 layer) after patterning but before performing other deposition processes.

Abstract

Embodiments of the invention provide apparatus and methods for forming a ruthenium-containing layer on a substrate (422) from a ruthenium tetroxide containing gas. Generally, the method includes exposing a substrate to a ruthenium tetroxide vapor to form a catalytic layer on the substrate and subsequently filling device structures on the substrate by at least one deposition process. In one embodiment, the ruthenium containing layer is formed on a substrate by creating ruthenium tetroxide in an external vessel (631) and then delivering the generated ruthenium tetroxide gas to a temperature controlled substrate positioned within a processing chamber (404). In another embodiment, a ruthenium containing layer is formed on a substrate, using the ruthenium tetroxide containing solvent. In another embodiment, the solvent is separated from a ruthenium tetroxide containing solvent mixture and the remaining ruthenium tetroxide is used to form a ruthenium containing layer on the substrate.

Description

RUTHENIUM LAYER DEPOSITION APPARATUS AND METHOD
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the invention generally relate to methods for depositing a catalytic layer on a barrier layer, prior to depositing a conductive layer thereon.
Description of the Related Art
[0002] Multilevel, 45 nm node metallization is one of the key technologies for the next generation of very large scale integration (VLSI). The multilevel interconnects that lie at the heart of this technology possess high aspect ratio features, including contacts, vias, lines and other apertures. Reliable formation of these features is very important for the success of VLSI and the continued effort to increase quality and circuit density on individual substrates. Therefore, there is a great amount of ongoing effort being directed to the formation of void-free features having high aspect ratios of 10:1 (height: width) or greater.
[0003] Copper is a choice metal for filling VLSI features, such as sub-micron high aspect ratio, interconnect features. Contacts are formed by depositing a conductive interconnect material, such as copper into an opening {e.g., via) on the surface of insulating material disposed between two spaced-apart conductive layers. A high aspect ratio of such an opening may inhibit deposition of the conductive interconnect material that demonstrates satisfactory step coverage and gap-fill. Although copper is a popular interconnect material, copper suffers by diffusing into neighboring layers, such as dielectric layers. The resulting and undesirable presence of copper causes dielectric layers to become conductive and electronic devices to fail. Therefore, barrier materials are used to control copper diffusion.
[0004] A typical sequence for forming an interconnect includes depositing one or more non-conductive layers, etching at least one of the layer(s) to form one or more features therein, depositing a barrier layer in the feature(s), and depositing one or more conductive layers, such as copper, to fill the feature. The barrier layer typically includes a refractory metal nitride and/or suicide, such as titanium or tantalum. Of this group, tantalum nitride is one of the most desirable materials for use as a barrier layer. Tantalum nitride provides a good barrier to copper diffusion, even when relatively thin layers are formed {e.g., 20 A or less). A tantalum nitride layer is typically deposited by conventional deposition techniques, such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).
[0005] Tantalum nitride does have some negative characteristics, which include poor adhesion to the copper layer deposited thereon. Poor adhesion of the subsequent deposited copper layer(s) can lead to rapid electromigration in the formed device and possibly process contamination issues in subsequent processing steps, such as, chemical mechanical polishing (CMP). It is believed that exposure of the tantalum nitride layer to sources of oxygen and/or water can result in oxidation thus preventing the formation of a strong bond with the subsequently deposited copper layer. The interface between a tantalum nitride barrier layer and a copper layer is likely to separate during a standard tape test.
[0006] Typical deposition processes utilize precursors that contain carbon which becomes incorporated in the deposited barrier layer. The carbon incorporation is often detrimental to the completion of wet chemical processes since the deposited film tends to be hydrophobic which reduces or prevents the fluid from wetting and depositing a layer having desirable properties. To solve this problem, oxidizing processes are often used on barrier layers to remove the incorporated carbon, but these processes can have a detrimental effect on the other exposed and highly oxidizable layers, such as, copper interconnects. Therefore, a process and apparatus is needed that is able to deposit a barrier layer or adhesion layer that is able to enhance bonding adhesion between the various layers, such as tantalum nitride (TaN) and copper. Also, in some cases a process and apparatus is needed to form an adhesion layer which can be directly deposited on dielectric, non-metallic or other desirable materials. [0007] Therefore, a need exists for a method to deposit a copper-containing layer on a barrier layer with good step coverage, strong adhesion and low electrical resistance within a high aspect ratio interconnect feature.
SUMMARY OF THE INVENTION
[0008] In one embodiment, an apparatus for depositing a catalytic layer on a substrate surface is provided which includes a ruthenium tetroxide generation system containing a vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium containing material, an oxidizing source adapted to deliver an oxidizing gas to the ruthenium containing material in the vessel to form a ruthenium tetroxide containing gas in the vessel, and a source vessel assembly in fluid communication with the vessel and adapted to collect the ruthenium tetroxide containing gas formed in the vessel. The source vessel assembly may contain a source vessel having a collection region, and a heat exchanging device in thermal communication with a collection surface in contact with the collection region, and a processing chamber in fluid communication with the source vessel. The processing chamber may contain one or more walls that form a second processing region, a substrate support positioned in the second processing region, and a heat exchanging device in thermal communication with the substrate support.
[0009] In another embodiment, an apparatus for depositing a catalytic layer on a substrate surface is provided which includes a ruthenium tetroxide generation system containing a vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium tetroxide containing material, a vacuum pump in fluid communication with the vessel, and a source vessel assembly in fluid communication with the vessel and adapted to collect a ruthenium tetroxide containing gas delivered from the vessel. The source vessel assembly may contain a source vessel having a collection region, and a heat exchanging device in thermal communication with a collection surface in contact with the collection region, and a processing chamber in fluid communication with the source vessel. The processing chamber may contain one or more walls that form a second processing region, a substrate support positioned in the second processing region, and a heat exchanging device in thermal communication with the substrate support.
[0010] In another embodiment, an apparatus for depositing a catalytic layer on a substrate surface is provided which includes a ruthenium tetroxide generation system containing a first vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium tetroxide containing material, and a first source vessel assembly in fluid communication with the vessel and adapted to collect an amount of a ruthenium tetroxide containing gas transferred from the first vessel. The first source vessel assembly may contain a source vessel having a collection region, and a heat exchanging device in thermal communication with a collection surface in contact with the collection region. A second vessel may have one or more walls that form a second processing region adapted to retain an amount of a ruthenium tetroxide containing material, and a second source vessel assembly in fluid communication with the vessel and adapted to collect an amount of a ruthenium tetroxide containing gas transferred from the second vessel. The second source vessel assembly may contain a source vessel having a collection region, and a heat exchanging device in thermal communication with a collection surface in contact with the collection region, and a processing chamber. The processing chamber is in fluid communication with the source vessel and may contain one or more walls that form a chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device in thermal communication with the substrate support.
[0011] In another embodiment, an apparatus for depositing a catalytic layer on a substrate surface is provided which includes a mainframe having a substrate transferring region, a ruthenium tetroxide generation system containing a vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium containing material, and an oxidizing source adapted to deliver an oxidizing gas to the ruthenium containing material in the vessel to form a ruthenium tetroxide containing gas in the vessel, a processing chamber attached to the mainframe and in fluid communication with the source vessel. The processing chamber may contain one or more walls that form a chamber processing region, a fluid delivery line in fluid communication with the vessel and the chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device in thermal communication with the substrate support, and a robot adapted to transfer a substrate from the transferring region of the mainframe to the chamber processing region of the processing chamber.
[0012] In another embodiment, an apparatus for depositing a catalytic layer on a substrate surface is provided which includes a mainframe having a substrate transferring region, a ruthenium tetroxide generation system containing a vessel having one or more walls that form a first processing region adapted to retain an amount of a ruthenium tetroxide containing material, and a vacuum pump in fluid communication with the first processing region of the vessel, a processing chamber attached to the mainframe and in fluid communication with the source vessel. The processing chamber may contain one or more walls that form a chamber processing region, a fluid delivery line in fluid communication with the vessel and the chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device in thermal communication with the substrate support, and a robot adapted to transfer a substrate from the transferring region of the mainframe to the chamber processing region of the processing chamber.
[0013] In another embodiment, an apparatus for depositing a ruthenium containing layer on a substrate surface used to form a semiconductor device or flat panel display is provided which includes a processing chamber adapted to deposit a ruthenium containing layer of the substrate, wherein the processing chamber contains one or more walls that form a chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device the is in thermal communication with the substrate support, and a ruthenium tetroxide generation system. The ruthenium tetroxide generation system may contain a first vessel having one or more walls that form a first processing region adapted to contain a solvent mixture containing ruthenium tetroxide, a second vessel having one or more walls that form a collection region in fluid communication with the processing chamber, a fluid pump in fluid communication with the first vessel and the second vessel. The fluid pump may be adapted to deliver an amount of the solvent mixture from the first vessel to the collection region of the second vessel, and a heat exchanging device in thermal communication with the collection region.
[0014] In another embodiment, an apparatus for depositing a catalytic layer on a substrate surface is provided which includes a ruthenium tetroxide generation system containing a vessel having one or more walls that form a containment region that contains a mixture of ruthenium tetroxide and a solvent. One or more gas sources may be in fluid communication with the containment region. The apparatus further includes a processing chamber that may contain one or more walls to form a chamber processing region, a substrate support positioned in the chamber processing region, and a heat exchanging device in thermal communication with the substrate support. The apparatus further includes a fluid delivery line in fluid communication with the containment region of the vessel and the chamber processing region of the processing chamber. BRIEF DESCRIPTION OF THE DRAWINGS
[0015] So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0016] Figure 1A illustrates a process sequence according to one embodiment described herein; [0017] Figure 1B illustrates another process sequence according to one embodiment described herein;
[0018] Figures 2A-2D illustrate schematic cross-sectional views of an integrated circuit fabrication sequence formed by a process described herein;
[0019] Figures 3A-3D illustrate schematic cross-sectional views of integrated circuit fabrication sequence formed by another process described herein;
[0020] Figure 4 illustrates a cross-sectional view of a deposition chamber that may be adapted to perform an embodiment described herein;
[0021] Figure 5 illustrates another process sequence according to one embodiment described herein;
[0022] Figures 6A-6C illustrate a cross-sectional view of a process chamber that may be adapted to perform an embodiment described herein; and
[0023] Figure 7 illustrates another process sequence according to one embodiment described herein;
[0024] Figure 8 is a plan view of a cluster tool used for semiconductor processing wherein the present invention may be used to advantage;
[0025] Figure 9 illustrates another process sequence according to one embodiment described herein;
[0026] Figure 10A illustrates another process sequence according to one embodiment described herein;
[0027] Figure 10B illustrates another process sequence according to one embodiment described herein;
[0028] Figure 10C illustrates a cross-sectional view of a process vessel that may be adapted to perform an embodiment described herein.
[0029] Figure 11 illustrates a cross-sectional view of a deposition chamber that may be adapted to perform an embodiment described herein. DETAILED DESCRIPTION
[0030] A method and apparatus for depositing a ruthenium containing layer on a substrate is generally disclosed. The method and apparatus described herein may be especially useful for fabricating electronic devices that are formed on a surface of the substrate or wafer. Generally, the method includes exposing a substrate surface to a ruthenium tetroxide vapor to form a catalytic layer on the substrate surface and then filling the device structures by an electroless, electroplating, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma-enhanced CVD (PE-CVD)1 atomic layer deposition (ALD), or plasma-enhanced ALD (PE-ALD) processes. In one aspect, the catalytic layer is a ruthenium containing layer adapted to act as a layer that can promote the adhesion between prior and subsequently deposited layers, act as a barrier layer or act as a catalytic layer to promote subsequent PVD, CVD, PE-CVD, ALD, PE-ALD, electroleβs, and/or electrolytic deposition processes. Due to electromigration, device isolation and other device processing concerns a method and apparatus is described herein that is able to deposit a ruthenium containing layer that is able to strongly bond to the exposed surface(s) of the substrate.
[0031] "Atomic layer deposition" (ALD) or "cyclical deposition" as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. The two, three or more reactive compounds may alternatively be introduced into a reaction zone of a processing chamber. Usually, each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first precursor or compound A is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. During each time delay a purge gas, such as nitrogen, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or byproducts from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is a cycle. A cycle may start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.
[0032] A "substrate surface" as used herein refers to any substrate or material surface formed on a substrate upon which film processing is performed. For example, a substrate surface on which processing may be performed include materials such as monocrystalline, polycrystalline or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), and/or carbon doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Embodiments of the processes described herein deposit metal-containing layers on many substrates and surfaces, especially, barrier layers. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100>, Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, or patterned or non- patterned wafers. Substrates made of glass or plastic, which, for example, are commonly used to fabricate flat panel displays and other similar devices, are also included in embodiments described herein.
[0033] A "pulse" as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. The duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself. A "half-reaction" as used herein refers to a pulse of a precursor followed by a purge step.
[0034] In general, the method and apparatus described herein is adapted to selectively or non-selectively deposit a ruthenium containing layer on device features formed on the substrate surface by use of a ruthenium tetroxide containing gas. It is believed that the selective or non-selective deposition of a ruthenium containing layer on the surface of the substrate is strongly dependent on the temperature and type of surfaces that are exposed to the ruthenium tetroxide containing gas. It is also believed that by controlling the temperature of a substrate at a desired temperature below, for example about 1800C1 a ruthenium layer will selectively deposit on certain types of surfaces. At higher temperatures, for example greater than 1800C, the ruthenium deposition process from a ruthenium tetroxide containing gas becomes much less selective and thus will allow a blanket film to deposit on all types of surfaces.
[0035] In one aspect, the deposition of a ruthenium containing layer is used to promote the adhesion and filling of subsequent layers on the surface of the substrate. In another aspect, the properties of the ruthenium containing layer deposited on the surface of the substrate is specially tailored to fit the needs of the devices formed on the surface of the substrate. Typical desirable properties include the formation of crystalline or amorphous metallic ruthenium layers on the surface of the substrate so that the formed layer(s) can act as a barrier layer, a catalytic layer for subsequent electroless or electroplating processes, or even fill a desired device feature. Another desirable property of ruthenium containing layer is the formation of ruthenium dioxide layer (RuO2) on the surface of the substrate to, for example, promote selective bottom up growth of an electroless and/or electroplated layer, or form an electrode that is compatible with ferroelectric oxides {e.g., BST), or piezoelectric materials {e.g., PZT) used to form various Micro-Electro-Mechanical Systems (MEMS) devices. A. Barrier Layer Deposition Process
[0036] In one aspect, a ruthenium containing layer is deposited on a barrier layer on a substrate surface by exposing the barrier layer to a ruthenium containing gas, so that a conductive layer can be deposited on the ruthenium containing layer. Preferably, the barrier layer {e.g., tantalum nitride) is deposited by an ALD process, but may also be deposited by a PVD, CVD, or other conventional deposition processes.
[0037] Figure 1A depicts process 100 according to one embodiment described herein for fabricating an integrated circuit. Process 100 includes steps 102-106, wherein during step 102, a metal-containing barrier layer is deposited on a substrate surface. In step 104, the barrier layer is exposed to a ruthenium containing gas while the substrate is maintained at a desired processing temperature to deposit a ruthenium containing layer. Thereafter, a conductive layer is deposited on the catalytic layer during step 106.
[0038] Process 100 corresponds to Figures 2A-2D by illustrating schematic cross- sectional views of an electronic device at different stages of an interconnect fabrication sequence incorporating one embodiment of the invention. Figure 2A illustrates a cross- sectional view of substrate 200 having a via or an aperture 202 formed into a dielectric layer 201 on the surface of the substrate 200. Substrate 200 may comprise a semiconductor material such as, for example, silicon, germanium, silicon germanium, for example. The dielectric layer 201 may be an insulating material such as, silicon dioxide, silicon nitride, FSG, and/or carbon-doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California. Aperture 202 may be formed in substrate 200 using conventional lithography and etching techniques to expose contact layer 203. Contact layer 203 may include doped silicon, copper, tungsten, tungsten suicide, aluminum or alloys thereof.
Barrier Layer Formation
[0039] Barrier layer 204 may be formed on the dielectric layer 201 and in aperture 202, as depicted in Figure 2B. Barrier layer 204 may include one or more barrier materials such as, for example, tantalum, tantalum nitride, tantalum silicon nitride, titanium, titanium nitride, titanium silicon nitride, tungsten nitride, silicon nitride, silicon carbide, derivatives thereof, alloys thereof and combinations thereof. Barrier layer 204 may be formed using a suitable deposition process including ALD, chemical vapor deposition (CVD), physical vapor deposition (PVD), or combinations thereof. For example, a tantalum nitride barrier layer may be deposited using a CVD process or an ALD process wherein a tantalum-containing compound or a tantalum precursor {e.g., PDMAT) and a nitrogen-containing compound or a nitrogen precursor {e.g., ammonia) are reacted. In another example, tantalum and/or tantalum nitride is deposited as barrier layer 204 by an ALD process as described in commonly assigned U.S. Serial No. 10/281 ,079, entitled "Gas Delivery Apparatus for Atomic Layer Deposition," filed October 25, 2002, and published as US 2003-0121608, and is herein incorporated by reference. In one example, a Ta/TaN bilayer may be deposited as barrier layer 204, wherein the tantalum layer and the tantalum nitride layer are independently deposited by ALD, CVD, and/or PVD processes. Further disclosure of processes for depositing a material or multiple materials as a barrier layer or another layer is described in commonly assigned U.S. Serial No. 10/052,681 , entitled "Reliability Barrier Integration for Cu Application," filed January 17, 2002, and published as US 2002-0060363, in commonly assigned U.S. Patent No. 6,951 ,804, in commonly assigned U.S. Serial No. 10/199,415, entitled "Enhanced Copper Growth with Ultrathin Barrier Layer for High Performance Interconnects," filed July 18, 2002, and published as US 2003-0082301 , and in commonly assigned U.S. Serial No. 10/865,042, entitled "Integration of ALD Tantalum Nitride for Copper Metallization," filed June 10, 2004, and published as US 2005-0106865, which are all herein incorporated by reference in their entirety.
[0040] Generally, barrier layer 204 is deposited with a film thickness in a range from about 5 A to about 150 A, preferably from about 5 A to about 50 A, such as about 20 A. In one example, barrier layer 204 is deposited on aperture 202 with a sidewall coverage of about 50 A or less, preferably about 20 A or less. A barrier layer 204 containing tantalum nitride may be deposited to a thickness of about 20 A or less is believed to be a sufficient thickness in the application as a barrier to prevent diffusion of subsequently deposited metals, such as copper.
[0041] Examples of tantalum-containing compounds that are useful during a vapor deposition process to form a barrier layer, include, but are not limited to precursors such as pentakis(dimethylamino) tantalum (PDMAT or Ta[NMe2Is), pentakis(ethylmethylamino) tantalum (PEMAT or Ta[N(Et)Me]5), pentakis(diethylamino) tantalum (PDEAT or Ta(NEt2)S,), tertiarybutylimino-tris(dimethylamino) tantalum (TBTDMT or (1BuN)Ta(NMe2)S), tertiarybutylimino-tris(diethylamino) tantalum (TBTDET or (1BuN)Ta(NEt2)S), tertiarybutylimino-tris(ethylmethylamino) tantalum (TBTEAT or (1BuN)Ta[N(Et)Me]3), tertiaryamylimido-tris(dimethylamido) tantalum (TAIMATA or (tAmylN)Ta(NMe2)3, wherein 1AiDyI is the tertiaryamyl group (C5Hi1- or CH3CH2C(CH3)2-), tertiaryamylimido-tris(diethylamido) tantalum (TAIEATA or (1ArTIyIN)Ta(NEt2)S, tertiaryamylimido-tris(ethylmethylamido) tantalum (TAIMATA or (1AmVlN)Ta(IN(Et)Me]3), tantalum halides, such as TaF5 Or TaCI5, derivatives thereof, or combinations thereof. Examples of nitrogen containing-compounds that are useful during the vapor deposition process to form a barrier layer, include, but are not limited to precursors such as ammonia (NH3), hydrazine (N2H4), methylhydrazine (Me(H)NNH2), dimethyl hydrazine (Me2NNH2 or Me(H)NN(H)Me), tertiarybutylhydrazine (1Bu(H)NNH2), phenylhydrazine (C6H5(H)NNH2), a nitrogen plasma source (e.g., N, N2, N2/H2, NH3, or a N2H4 plasma), 2,2'-azotertbutane (1BuNN1Bu), an azide source, such as ethyl azide (EtN3), trimethylsilyl azide (Me3SiN3), plasmas thereof, derivatives thereof, or combinations thereof.
[0042] A barrier layer 204 containing tantalum nitride may be deposited by an ALD process that begins with the adsorption of a monolayer of a tantalum-containing compound on the substrate followed by a monolayer of a nitrogen-containing compound. Alternatively, the ALD process may start with the adsorption of a monolayer of a nitrogen-containing compound on the substrate followed by a monolayer of the tantalum-containing compound. Furthermore, the process chamber is usually evacuated between pulses of reactant gases. Catalytic Layer formation
[0043] In step 104, a catalytic layer 206 is deposited on barrier layer 204 as depicted in Figure 2D. Catalytic layer 206 is formed by exposing the barrier layer 204 to a ruthenium containing gas to form a ruthenium containing layer. The barrier layer 204 chemically reduces the ruthenium containing gas to form catalytic layer 206 on barrier layer 204 containing ruthenium. The process of forming the ruthenium containing gas and depositing the ruthenium containing layer is further described below in conjunction with Figures 4-7. In one aspect, the catalytic layer may be deposited to a thickness in a range from about an atomic layer to about 100 A, preferably, from about 2 A to about 20 A.
Conductive Layer Formation
[0044] Process 100 further includes step 106 to deposit a conductive layer on catalytic layer 206. In Figure 2F, bulk layer 220 is deposited on the catalytic layer 206. Bulk layer 220 may be comprised of a copper or copper alloy deposited using an electroless copper process alone, such as ALD, CVD, PVD, or in combination with copper electroplating. Bulk layer 220 may have a thickness in a range from about 100 A to about 10,000 A. In one example, bulk layer 220 comprises copper and is deposited by an electroless plating process.
[0045] An electroplating process may also be completed in a separate electroplating chamber. One method, apparatus and system that may be used to perform an electroplating deposition process is further described in the commonly assigned U.S. Serial No. 10/268,284, entitled "Electrochemical Processing Cell," filed October 9, 2002, and published as US 2004-0016636, and U.S. Patent No. 6,258,220, which are incorporated by reference herein in its entirety to the extent not inconsistent with the claimed aspects and description herein. B. Dielectric Deposition Process
[0046] In another aspect of the invention, a ruthenium containing layer is directly deposited on a dielectric layer to form a catalytic layer on a substrate surface, so that a conductive layer can be deposited on the catalytic layer.
[0047] Figure 1 B depicts process 300 according to one embodiment described herein for fabricating an integrated circuit. Process 300 includes steps 304-306, wherein a catalytic layer is directly deposited on a dielectric surface 251 A and contact surface 251 B, as illustrated in Figures 3A-E. Figures 3A-D illustrate schematic cross-sectional views of an electronic device at different stages of an interconnect fabrication sequence, which incorporates at least one embodiment of the invention.
[0048] Figure 3A illustrates a cross-sectional view of substrate 250 having a via or an aperture 252 formed in a dielectric layer 251 on the surface of the substrate 250. In one aspect, the process 300 begins by forming a ruthenium containing layer 256 on the dielectric layer 251 during step 304 by exposing the surface of the substrate 250 to a ruthenium containing gas while the substrate is maintained at a desired processing temperature (see Figure 3B). Subsequently in step 306, a ruthenium containing layer 256 is deposited on the dielectric layer 251 by allowing the ruthenium components in the ruthenium containing gas form a bond to the surface of the substrate 250. Thereafter, a conductive layer 260 is deposited on the ruthenium containing layer 256 during step 306 (see Figure 3D).
[0049] The surface of dielectric surface 251 A is generally an oxide and/or a nitride material comprising silicon. However, the dielectric surface 251 A may comprise an insulating material such as, silicon dioxide, FSG, and/or carbon-doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California. The contact surface 251 B is an exposed region of the underlying interconnect in the lower layer and typically may comprise materials, such as, copper, tungsten, ruthenium, CoWP, CoWPB, aluminum, aluminum alloys, doped silicon, titanium, molybdenum, tantalum, nitrides, or suicides of these metals.
Catalytic Layer formation
[0050] In step 304, a ruthenium containing layer 256 is deposited on the dielectric layer 251 by the application of a ruthenium containing gas. In one example, the ruthenium containing layer 256 is deposited with a thickness in a range from about an atomic layer to about 100 A, preferably, from about 5 A to about 50 A, for example, about 10 A. The process of forming the ruthenium containing gas and depositing the ruthenium containing layer is further described below in conjunction with Figures 4-7. In general, the ruthenium containing layer 256 is deposited such that the formed layer will adheres to the dielectric layer 251 as well as the subsequent conducting layer, such as a seed layer or a bulk layer.
Conductive Layer Formatiorr
[0051] Process 300 further includes step 306 to deposit a conductive layer 260 on the ruthenium containing layer 256. The conductive layer 260 may form a seed layer (e.g., a thin metal layer (see Figure 3D)) or a bulk layer (e.g., fill the aperture 252 (see Figure 3C)) that is deposited on the ruthenium containing layer 256. A seed layer may be a continuous layer deposited by using conventional deposition techniques, such as ALD, CVD, PVD, electroplating, or electroless processes. The invention as described herein may be advantageous, since the deposition of a ruthenium containing layer on the surface of the substrate can be a seed layer for direct depositing an electroplated layer. Seed layers may have a thickness in a range from about a single molecular layer from about 20 to about 100 A. Generally, a seed layer contains copper or a copper alloy.
Ruthenium Tetroxide Formation and Deposition Apparatus and Method
[0052] The process of depositing a ruthenium containing layer having desirable properties on a substrate surface, e.g., step 104 in Figure 1A and step 304 in Figure 1 B, may be performed by completing the process steps 702-706 in process 700, which is discussed below. In general, the process step 104 in Figure 1A and step 304 in Figure 1 B are adapted to form a ruthenium containing layer having desirable properties by generating a ruthenium tetroxide containing gas and exposing a temperature controlled substrate surface. As noted above in various aspects of the invention it may be desirable to selectively or non-selectively form a metallic ruthenium layer or a ruthenium dioxide layer on the surface of the substrate to form a ruthenium containing layer. An exemplary apparatus and method of forming a ruthenium tetroxide containing gas to form a ruthenium containing layer on a substrate surface is described herein.
[0053] Figure 4 illustrates one embodiment of a deposition chamber 600 that can be adapted to generate and deposit a ruthenium containing layer on a substrate surface. In one embodiment, the ruthenium containing layer is formed on a substrate surface by creating ruthenium tetroxide in an external vessel and then delivering the generated ruthenium tetroxide gas to a surface of a temperature controlled substrate positioned in a processing chamber.
[0054] In one embodiment, a ruthenium tetroxide containing gas is generated, or formed, by passing an ozone containing gas across a ruthenium source that is housed in an external vessel. In one aspect, the ruthenium source is maintained at a temperature near room temperature. In one aspect, the ruthenium source contains an amount of ruthenium metal (Ru) which reacts with the ozone. In one aspect, the metallic ruthenium source contained in the external vessel is in a powder, a porous block, or solid block form.
[0055] In another aspect, the ruthenium source housed in the external vessel contains an amount of a perruthenate material, such as sodium perruthenate (NaRuO4), potassium perruthenate (KRuO4), or a derivative thereof, which will react with the ozone, likely according to reaction (1 ) or (2), to form ruthenium tetroxide (RuO4) a compound that is volatile at the reaction conditions.
2NaRuO4 + O3 → RuO4 + Na2O + O2 (1 )
2KRuO4 + O3 → RuO4 + K2O + O2 (2) It should be noted that the list of materials shown here are not intended to be limiting, and thus any material that upon exposure to ozone or other oxidizing gases forms a ruthenium tetroxide containing gas may be used without varying from the basic scope of the invention. To form the various ruthenium source materials used in the external vessel, various conventional forming processes may be used. One example of a conventional process that may be used to form the a perruthenate is by mixing metallic ruthenium powder with sodium peroxide (Na2O2) and then sintering the mixture in a furnace or vacuum furnace at temperature of about 500°C. Some references have suggested use of a spray pyrolysis type processes may be used to form the perruthenate materials. For example, in a spray pyrolysis system, non-volatile materials, such as sodium peroxide and ruthenium, are placed in a flowable medium, such as water, that are atomized to form droplets and the droplets are heated in a furnace, conventional thermal spray device, or other device, to form a powder containing the reacted materials (e.g., NaRuO4).
[0056] The deposition chamber 600 generally contains a process gas delivery system 601 and a processing chamber 603. Figure 4 illustrates one embodiment of a process chamber 603 that may be adapted to deposit the ruthenium containing layers on the substrate surface. In one aspect, the processing chamber 603 is a processing chamber 603 that may be adapted to deposit a layer, such as a barrier layer (Figures 2A-D), on the surface of the substrate by use of a CVD, ALD, PE-CVD, or PE-ALD process prior to depositing a ruthenium containing layer on the surface of the substrate. In another aspect, the processing chamber 603 is adapted to primarily deposit the ruthenium containing layer and thus any prior or subsequent device fabrication steps are performed in other processing chambers. In one aspect, the prior or subsequent processing chambers and the processing chamber 603 are attached to a cluster tool (Figure 8) that is adapted to perform a desired device fabrication process sequence. For example, in process sequences where a barrier layer is deposited prior to the ruthenium containing layer, the barrier layer may be deposited in an ALD process chamber, such as the ENDURA® iCuB/S™ process chamber or the PRODUCER® type process chamber, prior to forming the ruthenium containing layer in the processing chamber 603. In yet another aspect, the processing chamber 603 is a vacuum processing chamber that is adapted to deposit the ruthenium containing layer at a sub atmospheric pressure, such as a pressure between about 0.1 mTorr and about 50 Torr. The use of a vacuum processing chamber during processing can be advantageous, since processing in a vacuum condition can reduce the amount of contamination that can be incorporated in the deposited film. Vacuum processing will also improve the diffusion transport process of the ruthenium tetroxide to the surface of the substrate and tend to reduce the limitations caused by convective type transport processes.
[0057] The processing chamber 603 generally contains a processing enclosure 404, a gas distribution showerhead 410, a temperature controlled substrate support 623, a remote plasma source 670 and the process gas delivery system 601 connected to the inlet line 426 of the processing chamber 603. The processing enclosure 404 generally contains a sidewall 405, a ceiling 406 and a base 407 enclose the processing chamber 603 and form a process area 421. A substrate support 623, which supports a substrate 422, mounts to the base 407 of the processing chamber 603. A backside gas supply (not shown) furnishes a gas, such as helium, to a gap between the backside of the substrate 422 and the substrate support surface 623A to improve thermal conduction between the substrate support 623 and the substrate 422. In one embodiment of the deposition chamber 600, the substrate support 623 is heated and/or cooled by use of a heat exchanging device 620 and a temperature controller 621 , to improve and control properties of the ruthenium layer deposited on the substrate 422 surface. In one aspect, the heat exchanging device 620 is a fluid heat exchanging device that contains embedded heat transfer lines 625 that are in communication with a temperature controlling device 621 which controls the heat exchanging fluid temperature. In another aspect, the heat exchanging device 620 is a resistive heater, in which case the embedded heat transfer lines 625 are resistive heating elements that are in communication with the temperature controlling device 621. In another aspect, the heat exchanging device 620 is a thermoelectric device that is adapted to heat and cool the substrate support 623. A vacuum pump 435, such as a turbo-pump, cryo-turbo pump, roots-type blower, and/or rough pump, controls the pressure within the processing chamber 603. The gas distribution showerhead 410 consists of a gas distribution plenum 420 connected to the inlet line 426 and the process gas delivery system 601. The inlet line 426 and process gas delivery system 601 are in communication with the process region 427 over the substrate 422 through plurality of gas nozzle openings 430.
[0058] In one aspect of the invention it may be desirable to generate a plasma during the deposition process to improve the deposited ruthenium containing layer's properties. In this configuration, the showerhead 410, is made from a conductive material {e.g., anodized aluminum), which acts as a plasma controlling device by use of the attached to a first impedance match element 475 and a first RF power source 490. A bias RF generator 462 applies RF bias power to the substrate support 623 and substrate 422 through an impedance match element 464. A controller 480 is adapted to control the impedance match elements (i.e., 475 and 464), the RF power sources (i.e., 490 and 462) and all other aspects of the plasma process. The frequency of the power delivered by the RF power source may range between about 0.4 MHz to greater than 10 GHz. In one embodiment dynamic impedance matching is provided to the substrate support 623 and the showerhead 410 by frequency tuning and/or by forward power serving. While Figure 4 illustrates a capacitively coupled plasma chamber, other embodiments of the invention may include inductively coupled plasma chambers or combination of inductively and capacitively coupled plasma chambers with out varying from the basic scope of the invention.
[0059] In one embodiment, the processing chamber 603 contains a remote plasma source (RPS) (element 670 in Figures 4, 6A-C and 11) that is adapted to deliver various plasma generated species or radicals to the processing region 427 through an inlet line 671. An RPS that may be adapted for use with the deposition chamber 600 is an ASTRON® Type AX7651 reactive gas generator from MKS ASTEX® Products of Wilmington, Massachusetts. The RPS is generally used to form, reactive components, such as hydrogen (H) radicals, which are introduced into the processing region 427. The RPS thus improves the reactivity of the excited gas species to enhance the reaction process. A typical RPS process may include using 1 ,000 seem of H2 and 1 ,000 seem of argon and an RF power of 350 Watts and a frequency of about 13.56 MHz. In one aspect a forming gas, such as a gas containing 4% H2 and the balance nitrogen may be used. In another aspect a gas containing hydrazine (N2H4) may be used. In general, the use of plasma excitation to generate reducing species capable of converting RuO2 to Ru will allow this reaction to proceed at lower temperatures. This process may be most useful when it is desired to deposit the RuO2 selectively, generally below approximately 1800C and then subsequently perform the reduction to metallic ruthenium at the same temperature and/or in the same chamber.
[0060] In one embodiment of the deposition chamber 600, a process gas delivery system 601 is adapted to deliver a ruthenium containing gas, or vapor, to the processing region 427 so that a ruthenium containing layer can be formed on the substrate surface. The process gas delivery system 601 generally contains one or more gas sources 611A-E, an ozone generating device 612, a processing vessel 630, a source vessel assembly 640 and an outlet line 660 attached to the inlet line 426 of the processing chamber 603. The one or more gas sources 611A-E are generally sources of various carrier and/or purge gases that may be used during processing in the processing chamber 603. The one or more gases delivered from the gas sources 611A-E may include, for example, nitrogen, argon, helium, hydrogen, or other similar gases.
[0061] Typically, the ozone generator 612 is a device which converts an oxygen containing gas from an gas source (not shown) attached to the ozone generator 612 into a gas containing between about 4 wt.% and about 100 wt.% of ozone (O3), with the remainder typically being oxygen. Preferably, the concentration of ozone is between about 6 wt.% and about 100 wt.%. It should be noted that forming ozone in concentrations greater than about 15% will generally require a purification process that may require a process of adsorbing ozone on a cold surface in a processing vessel and then purging the vessel using an inert gas to remove the contaminants. However, the ozone concentration may be increased or decreased based upon the amount of ozone desired and the type of ozone generating equipment used. A typical ozone generator that may be adapted for use with the deposition chamber 600 are the SEMOZON® and LIQUOZON® Ozone generators that can be purchased from MKS ASTEX® Products of Wilmington, Massachusetts. The gas source 611 A may be adapted to purge or as a carrier gas to deliver the ozone generated in the ozone generator 612 to the input port 635 of the processing vessel 630.
[0062] In one embodiment of the process gas delivery system 601 , the processing vessel 630 contains a vessel 631 , a temperature controlling device 634A, an input port 635 and an output port 636. The vessel 631 is generally an enclosed region made of or coated with glass, ceramic or other inert material that will not react with the processing gas formed in the vessel 631. In one aspect, the vessel 631 contains a volume of a ruthenium source {e.g., ruthenium metal, sodium perruthenate; see element "A"), preferably in a porous-solid, powder, or pellet form, to promote the formation of ruthenium tetroxide when the ozone gas is delivered to the vessel 631. The temperature controlling device 634A generally contains a temperature controller 634B and a heat exchanging device 634C, which are adapted to control the temperature of the vessel 631 at a desired processing temperature during the ruthenium tetroxide generation process. In one aspect, the heat exchanging device 634C is a temperature controlled fluid heat exchanging device, a resistive heating device and/or a thermoelectric device that is adapted to heat and/or cool the vessel 631 during different phases of the process.
[0063] In one embodiment, a remote plasma source 673 is connected to the processing vessel 630 via the RPS inlet line 673A so that in different phases of the ruthenium tetroxide formation process the ruthenium source can be regenerated by injecting hydrogen (H) radicals into the vessel 631 to reduce any formed oxides on the surface of the ruthenium source. Regeneration may be necessary when an undesirable layer of ruthenium dioxide (RuOg) is formed on a significant portion of the exposed ruthenium source contained in the vessel 631. In one embodiment, the regeneration process is performed when by introducing a hydrogen containing gas to the ruthenium source that has been heated to an elevated temperature in an effort to reduce the formed oxides.
[0064] Referring to Figure 4, the source vessel assembly 640 generally contains a source vessel 641 , a temperature controller 642, an inlet port 645 and an outlet port 646. The source vessel 641 is adapted to collect and retain the ruthenium tetroxide generated in the processing vessel 630. The source vessel 641 is generally lined, coated or made from a glass, ceramic, plastic (e.g., TEFLON®, PTFE, or polyethylene), or other material that will not react with the ruthenium tetroxide and has desirable thermal shock and mechanical properties. When in use the temperature controller 642 cools the source vessel 641 to a temperature less than 200C to condense the ruthenium tetroxide gas on to the walls of the source vessel. The temperature controller 642 generally contains a temperature controller device 643 and a heat exchanging device 644, which are adapted to control the temperature of the source vessel 641 at a desired processing temperature. In one aspect, the heat exchanging device 644 is a temperature controlled fluid heat exchanging device, a resistive heating device and/or a thermoelectric device that is adapted to heat and cool the source vessel 641.
[0065] Figure 5 depicts process 700 according to one embodiment described herein for forming a ruthenium containing layer on a substrate surface. Process 700 includes steps 702-708, wherein a ruthenium containing layer is directly deposited on a substrate surface. The first process step 702 of process 700 includes step of forming a ruthenium tetroxide gas and collecting the generated gas in the source vessel 641. In process step 702, ozone generated in the ozone generator 612 is delivered to the ruthenium source contained in the processing vessel 631 to form a flow of a ruthenium tetroxide containing gas, which is collected in the vessel 641. Therefore, during process step 702 an ozone containing gas flows across the ruthenium source which causes ruthenium tetroxide to be formed and swept away by the flowing gas. During this process the gas flow path is from the ozone generator 612, in the inlet port 635, across the ruthenium source (item "A"), through the outlet port 636 in the vessel 631 through the process line 648 and into the closed source vessel 641. In one embodiment, it may be desirable to evacuate the source vessel 641 using a conventional vacuum pump 652 (e.g., conventional rough pump, vacuum ejector), prior to introducing the ruthenium tetroxide containing gas. In one aspect, the gas source 611A is used to form an ozone containing gas that contains pure oxygen and ozone or an inert gas diluted oxygen containing gas and ozone. In one aspect of process step 702, the ruthenium source (item "A") contained in the vessel 631 is maintained at a temperature between about 0°C and about 1000C, and more preferably between about 200C and about 600C to enhance the ruthenium tetroxide formation process in the vessel 631. While a lower ruthenium tetroxide generation temperature is generally desirable, it is believed that the required temperature to form a ruthenium tetroxide gas is somewhat dependent on the amount of moisture contained in the vessel 631 during processing. During process step 702, the source vessel 641 is maintained at a temperature below about 25°C at pressures that allow the generated ruthenium tetroxide to condensed, or crystallized (or solidified), on the walls of the source vessel 641. For example, the source vessel 641 is maintained at a pressure of about 5 Torr and a temperature between about -200C and about 25°C. By cooling the ruthenium tetroxide and causing it to condense or solidify on the walls of the source vessel 641 the unwanted oxygen (O2) and ozone (O3) containing components in the ruthenium tetroxide containing gas can be separated and removed in the second process step 704. In one aspect, it may be desirable to inject an amount of water, or a water containing gas, into the vessel 631 to promote the ruthenium tetroxide generation process. The injection of water may be important to improve the dissociation of the ruthenium tetroxide from the ruthenium source, for example, when ruthenium source contains sodium perruthenate, potassium perruthenate, or a derivative thereof. In one aspect, it may be desirable to remove the excess water by a conventional physical separation {e.g., molecular sieve) process after the dissociation process has been performed. [0066] The second process step 704, or purging step, is designed to remove the unwanted oxygen (O2) and unreacted ozone (O3) components from the ruthenium tetroxide containing gas. Referring to Figure 4, in one embodiment the second process step 704 is completed while the walls of the source vessel 641 are maintained at a temperature of 250C or below, by closing the ozone isolation valve 612A and flowing one or more purge gasses from the one or more of the gas sources 611 B-C through the processing vessel 630, into the process line 648, through the source vessel 641 and then through the exhaust line 651 to the exhaust system 650. The amount of un- solidified or un-condensed ruthenium tetroxide that is wasted during the completion of process step 704, can be minimized by adding a wait step of a desired length between the process step 702 and process step 704 to allow the ruthenium tetroxide time to condense or solidify. The amount of un-solidified or un-condensed ruthenium tetroxide that is wasted can be further reduced also by lowering the source vessel wall temperature to increase the rate of solidification, and/or increasing the surface area of the source vessel to increase the interaction of the walls and the ruthenium tetroxide containing gas. The purge gases delivered from the one or more gas sources 61 1 B-C can be, for example, nitrogen, argon, helium, or other dry and clean process gas. Since the unwanted oxygen (O2) and unreacted ozone (O3) components can cause unwanted oxidation of exposed surfaces on the substrate the process of removing these components can be critical to the success of the ruthenium deposition process. Removal of these unwanted oxygen (O2) and unreacted ozone (O3) components is especially important where copper interconnects are exposed on the surface of the substrate, since copper has a high affinity for oxygen and is corroded easily in the presence of an oxidizing species. In one embodiment, the process step 704 is completed until the concentration of oxygen (O2) and/or unreacted ozone (O3) is below about 100 parts per million (ppm). In one aspect, it may be desirable to heat the vessel 631 to a temperature between about 20°C and 250C during the process step 704 to assure that all of the formed ruthenium tetroxide has been removed from the process vessel 630. [0067] In one aspect, the purging process (step 704) is completed by evacuating the source vessel 641 using a vacuum pump 652 to remove the contaminants. To prevent an appreciable amount of ruthenium tetroxide being removed from the source vessel assembly 640 during this step the temperature and pressure of the vessel may be controlled to minimize the loss due to vaporization. For example, it may be desirable to pump the source vessel assembly 640 to a pressure of about 5 Torr while it is maintained at a temperature below about 00C.
[0068] In one embodiment, the third process step 706, or deliver the ruthenium tetroxide to the processing chamber 603 step, is completed after the source vessel 641 has been purged and valve 637A is closed to isolate the source vessel 641 from the processing vessel 630. The process step 706 starts when the source vessel 641 is heated to a temperature to cause the condensed or solidified ruthenium tetroxide to form a ruthenium tetroxide gas, at which time the one or more of the gas sources 611 (e.g., items 611 D and/or 611 E), the gas sources associated isolation valve (e.g., items 638 and/or 639) and process chamber isolation valve 661 are opened which causes a ruthenium tetroxide containing gas to flow into the inlet line 426, through the showerhead 410, into an process region 427 and across the temperature controlled substrate 422 so that a ruthenium containing layer can be formed on the substrate surface. In one embodiment, the source vessel 641 is heated to a temperature between about 0°C and about 50°C to cause the condensed or solidified ruthenium tetroxide to form a ruthenium tetroxide gas. It should be noted that even at the low temperatures, for example about 5°C, an equilibrium partial pressure of ruthenium tetroxide gas will exist in the source vessel 641. Therefore, in one aspect, by knowing the mass of ruthenium tetroxide contained in the vessel, by knowing the volume and temperature of the source vessel 641 , a repeatable mass can be delivered to the processing chamber 603. In another aspect, a continuous flow of a ruthenium tetroxide containing gas can be formed and delivered to the processing chamber 603, by knowing the sublimation or vaporization rate of the ruthenium tetroxide at a given temperature for a given sized source vessel 641 and flowing a carrier gas at a desired rate through the source vessel 641 to form a gas having a desired concentration of ruthenium tetroxide.
[0069] In order to deposit a ruthenium containing layer non-selectively on a surface of the substrate, it is believed that at temperatures greater then 1800C ruthenium tetroxide (RuO4) is will undergo a spontaneous decomposition to thermodynamically stable ruthenium dioxide (RuO2), and at slightly higher temperatures in the presence of hydrogen (H2) the deposition proceeds directly to a desired outcome of forming a metallic ruthenium layer. The balanced equation for the reaction is shown in equation (3).
RuO4 + 4H2 → Ru(metal) + 4H2O (3)
Therefore, in one aspect of the invention, during the process step 706 the substrate surface is maintained, by use of the temperature controlled substrate support 623, at a temperature above about 1800C, and more preferably at a temperature between of about 1800C and about 45O0C, and more preferably a temperature between about 2000C and about 4000C. To form a metallic ruthenium layer the temperature may be between about 3000C and about 4000C. Typically the processing chamber pressure is maintained at a pressure below about 10 Torr, and preferably between about 500 milliTorr (mTorr) and about 5 Torr. By controlling the temperature of the surface of the substrate the selectivity of the deposited ruthenium containing layer and crystal structure of the deposited ruthenium containing layer can be adjusted and controlled as desired. It is believed that a crystalline ruthenium containing layer will be formed at temperatures above 35O0C.
[0070] In one aspect of the process step 706, a the ruthenium tetroxide containing gas is formed when a nitrogen containing gas is delivered from the gas source 611 D and a hydrogen (H2) containing gas {e.g., hydrogen (H2), hydrazine (N2H4)) is delivered from the gas source 611 E through the source vessel assembly 640 containing an amount of ruthenium tetroxide and then through the process chamber 603. For example, 100 seem of nitrogen and 100 seem of H2 gas is delivered to the process chamber 603 which is maintained at a pressure between about 0.1 and about 10 Torr, and more preferably about 2 Torr. The desired flow rate of the gasses delivered from the gas sources 611 (e.g., items 611 D-E) is dependent upon the desired concentration of the ruthenium tetroxide in the ruthenium tetroxide containing gas and the vaporization rate of the ruthenium tetroxide from the walls of the source vessel 641.
[0071] In one embodiment, the remote plasma source 670 is utilized during the process step 706 to enhance the process of forming a metallic ruthenium layer. In this case H radicals generated in the remote plasma source are injected into the processing region 427 to reduce any formed oxides on the surface of the ruthenium source. In one aspect the RPS is used to generate H radicals as the ruthenium tetroxide containing gas is delivered to the processing region 427. In another aspect, the RPS is only used after each successive monolayer of ruthenium has been formed and thus forms a two step process consisting of a deposition step and then a reduction of the ruthenium layer step.
[0072] In one embodiment of process step 706, the amount of ruthenium tetroxide gas generated and dispensed in the process chamber 603 is monitored and controlled to assure that the process is repeatable, complete saturation of the process chamber components is achieved and a desired thickness of the ruthenium containing film has been deposited. In one aspect, the mass of the ruthenium tetroxide delivered to the process chamber is monitored by measuring the change in weight of the source vessel 641 as a function of time, by use of a conventional electronic scale, load cell, or other weight measurement device.
[0073] In one embodiment, the gas delivery system 601 is adapted to deliver a single dose, or mass of ruthenium tetroxide, to the process chamber 603 and the substrate to form a ruthenium containing layer on the surface of the substrate. In another embodiment, multiple sequential doses of ruthenium tetroxide are delivered to the process chamber 603 to form a multilayer ruthenium containing film. To perform the multiple sequential doses at least one of the process steps 702 through 706, described in conjunction with Figures 5 or 7, are repeated multiple times to form the multilayer ruthenium containing film. In another embodiment, the surface area of the source vessel 641 and the length of the process step 702 are both sized to allow a continuous flow of a desired concentration of a ruthenium tetroxide containing gas across the surface of the substrate during the ruthenium containing layer deposition process. The gas flow distribution across the surface of the substrates can be important to the formation of uniform layers upon substrates processed in the processing chamber, especially for processes that are dominated by mass transport limited reactions (CVD type reactions) and for ALD type processes where rapid surface saturation is required for reaction rate limited deposition. Therefore, the use of a uniform gas flow across the substrate surface by use of a showerhead 410 may be important to assure uniform process results across the surface of the substrate.
[0074] In one aspect of the invention, the process of delivering a mass of ruthenium tetroxide into the process chamber 603 has advantages over conventional ALD or CVD type processes, because the organic material found in the ALD or CVD precursor(s) are not present in the ruthenium containing gas and thus will not be incorporated into the growing ruthenium containing layer. The incorporation of the organic materials in the growing ruthenium film can have large affect on the electrical resistance, adhesion and the stress migration and electromigration properties of the formed device(s). Also, since the size of the ruthenium tetraoxide molecule is much smaller than the traditional ruthenium containing precursors the ruthenium containing layer deposition rate per ALD cycle using ruthenium tetroxide will be increased over conventional precursors, due to the improved ruthenium coverage per ALD cycle.
[0075] Figure 6A illustrates another embodiment of a gas delivery system 602 found in the deposition chamber 600. The gas delivery system 602 is similar to the gas delivery system 601 , described in relation to Figure 4, except that the gas delivery system 602 contains two or more source vessel assemblies 640 {e.g., items 640A-B). Each of the source vessel assemblies 640A and 640B each contain their own source vessels (elements 641A-641B), a temperature controller (elements 642A-B), a temperature controller device (elements 643A-B), a heat exchanging device (elements 644A-B), an inlet port (elements 645A-B) and an outlet port (elements 646A-B). In this configuration, as shown in Figure 6A, the two source vessels 640A-B are used to alternately collect and dispense the generated ruthenium tetroxide so that the chamber process will not be interrupted by the time that is required to collect the ruthenium tetroxide in a single source vessel. For example, when the first source vessel 640A is completing process step 706 on a substrate positioned in the process chamber 603, using the gas sources 611 D-E, first source vessel 641 A and process chamber isolation valve 661 A, the second source vessel 640B can be completing process step 702, using the ozone generator 612, the processing vessel 631 , source vessel 640B, inlet port 635, outlet port 636, isolation valve 637B and the process line 648B.
[0076] Figure 6B illustrates one aspect of the gas delivery system 602, where each of the two or more source vessel assemblies 640 {e.g., element 640A or 640B) are separately supported by their own, or a separate, processing vessel 630. This configuration may be advantageous when one of the vessels 631 (e.g., 631 A or 631 B) need to be replaced when the ruthenium source material has been depleted or a maintenance activity needs to be performed on one of the vessels. In one embodiment, as shown in Figure 6B, the gas sources 611A-C and the ozone generator 612 are shared by the first processing vessel 630A and the second processing vessel 630B.
[0077] In one aspect of the gas delivery system 602, the controller 480 is adapted to monitor the process(es) being performed in the process chamber 603, in an effort to assure that at least one of the source vessels 640A or 640B contains a desired amount of the solidified or crystallized ruthenium tetroxide at any given time. Typical aspects of the process that the controller 480 that may need to monitored are the mass of ruthenium tetroxide in the source vessels 640A-B, the state of the process that is ongoing in the process chamber 603 and/or whether one or more substrates are waiting to be processed in the deposition chamber 600. In this way the gas delivery system 602 is adapted to look ahead and adjust the rate of generation of the ruthenium tetroxide as needed, to assure that at least one of the vessels 640A-B contains a desired mass of precursor at a desired time. This configuration is important since the ruthenium tetroxide generation process, can be kinetically limited by the reaction rate of ozone with the ruthenium or mass transport limited due to the flow of the ozone containing gas across the surface of the ruthenium source contained in the processing vessel 631. Therefore, based on multiple process variables the ruthenium tetroxide generation process will have a maximum generation rate at which the ruthenium tetroxide can be formed and thus the throughput of the deposition chamber may be limited by this process. The generation process variables may be affected by the ozone gas/ruthenium solid interface surface area, the temperature of the ruthenium source, the concentration of ozone in the processing vessel 631 , and the flow rate of the carrier gas delivered into the processing vessel, to name just a few. Therefore, in one aspect of the invention the controller 480 is adapted to adjust the time when to begin the ruthenium tetroxide formation process and the flow rate of the ozone containing gas into the processing vessel 631 to control the rate of ruthenium tetroxide formation and thus prevent a case where the gas delivery system cannot fill the source vessel 641 in time due to need to generate ruthenium tetroxide at a rate that exceeds the maximum ruthenium tetroxide formation rate.
[0078] Figure 6C illustrates one embodiment of the gas delivery system 601 similar to what is shown in Figure 6B, except that contains a dosing vessel assembly 669 mounted in the outlet line 660 which is adapted to deliver a repeatable mass of ruthenium tetroxide gas, or volume of ruthenium tetroxide gas at a desired temperature and pressure, to the process chamber 603. The dosing vessel assembly 669 generally contains an inlet isolation valve 664, a dosing vessel 662, and an outlet isolation valve 663. In one embodiment, the dosing vessel assembly 669 also contains a temperature sensor 665, pressure sensor 667, a heat exchanging device 668 (e.g., fluid heat exchanging device, a resistive heating device and/or a thermoelectric device) and a temperature controller 672, which are adapted to communicate with the controller 480. Generally, in this configuration the controller 480 is adapted to control and monitor the state of the ruthenium tetroxide gas retained in the dosing vessel 662. [0079] In another embodiment, the dosing vessel assembly 669 also contains an optical sensor 681 which is adapted to sense the presence to ruthenium tetroxide and communicate with the controller 480. In one aspect, the optical sensor 681 is adapted to sense the presence of the ruthenium tetroxide containing gas in the dosing vessel 662 by measuring the change in absorption of certain wavelengths of light in the ruthenium tetroxide containing gas. In this configuration the optical sensor may be an optical prism or other conventional device that is calibrated to sense the presence of a desired concentration of ruthenium tetroxide gas in the dosing vessel 662.
[0080] Figure 7 illustrates process 700A which is a modified version of the process 700 depicted in Figure 5, which includes a new fill dosing vessel step 705. In this modified version of the process 700 the dosing vessel 662 is filled after performing the purge source vessel step 704 has been completed, but prior to process step 706. In one embodiment, prior to starting the process step 705 the dosing vessel is evacuated to a desired vacuum pressure by opening the outlet valve 663, while leaving the inlet valve 664 closed, thus allowing the vacuum pump 435 in the process chamber 603 to evacuate the dosing vessel 662.
[0081] Process step 705 starts when one of the source vessels 641 A, or 641 B, that contains an amount of condensed or solidified ruthenium tetroxide is heated to a temperature that causes the condensed or solidified ruthenium tetroxide in the source vessel 640A, or 640B, to form a ruthenium tetroxide containing gas. Once the a desired temperature has been achieve in the source vessel 640A, or 640B, the process chamber isolation valve 661 A, or 661 B, and the inlet isolation valve 664 are opened, while the outlet isolation valve 663 is closed, thus causing the ruthenium tetroxide gas to flow into the dosing vessel 662. Once a desired pressure and temperature of the ruthenium tetroxide gas has been achieved in the dosing vessel 662, the inlet valve 664 is closed. Thus a fixed mass, or volume at a desired temperature and pressure, is retained in the dosing vessel 662. Generally, the mass of ruthenium tetroxide retained in the dosing vessel 662 is then maintained at a desired temperature and pressure by use of the temperature sensor 665, the pressure sensor 667, the heat exchanging device 668 and the temperature controller 672 until the process step 706 is ready to be completed. In one aspect, the process step 706 is not started until a desired temperature and/or pressure is achieved in the dosing vessel 662 so that a repeatable deposition process, i.e., process step 706, can be performed on the substrate.
[0082] In process 700A, the process step 706 is modified from the process described above in conjunction with Figure 5, due to the incorporation of dosing vessel 662 in the system. In this configuration, process 706 is completed when the gas source isolation valve 673 and the outlet valve 663 are opened, while the inlet valve 664 remains closed, thus causing the carrier gas from the inert gas source 674 to flow through the dosing vessel 662 and carry the ruthenium tetroxide containing gas into the inlet line 426, through the showerhead 410, into the evacuated process region 427 and across the temperature controlled substrate 422 so that a ruthenium containing layer can be formed on the substrate surface. In one aspect, no carrier gas is used to deliver the ruthenium tetroxide to the process region 427.
[0083] In one aspect, the inert gas source 674 and/or the dosing vessel 662 are used to "dose," or "pulse," the ruthenium tetroxide containing gas into the process region 427 so that the gas can saturate the surface of the substrate (e.g., an ALD type process). The "dose," or "dosing process," may be performed by opening and closing the various isolation valves for a desired period of time so that a desired amount of the ruthenium containing gas can be injected into the process chamber 603. In one aspect, no inert gas is delivered to the dosing vessel 662, from the gas source 674, during the dosing process.
[0084] Referring to Figure 4, in one aspect of the invention, an ozone generator 612B is connected to the process chamber 603 and is utilized to remove the deposited ruthenium on the various chamber components during the previous deposition steps. In one aspect, a single ozone generator 612 is used to form the ruthenium tetroxide containing gas and clean the processing chamber 603. Alternate Ruthenium Tetroxide Generation Process
[0085] Figure 9 illustrates one embodiment of a ruthenium tetroxide containing solvent formation process 1001 that may be used to form ruthenium tetroxide using a perruthenate containing source material. The first step of the ruthenium tetroxide containing solvent formation process 1001 (element 1002) starts by first dissolving a perruthenate material, such as sodium perruthenate in an aqueous solution in a first vessel (e.g., element 1021 in Figure 10C). In one embodiment, the process solution may be formed by dissolving sodium perruthenate in a solution of excess sodium hypochlorite (NaOCI) followed by titration with sulfuric acid to a pH value of about 7 to liberate ruthenium tetroxide. One will note that hypochlorite materials, such as potassium hypochlorite or calcium hypochlorite, may also be used in place of the sodium hypochlorite. The ruthenium tetroxide is likely formed according to reaction (4).
2NaRuO4 + H2SO4 + NaOCI → 2RuO4 + NaCI + H2O + Na2SO4 (4)
In one example, a process solution was formed by mixing 50 ml_ of a sodium hypochlorite (e.g., 10% NaOCI solution) with 1 gram of finely powdered sodium perruthenate and stirring until dissolution is essentially complete. A sufficient amount of 10% solution of H2SO4 in water was then added to achieve a pH of about 7. In general, any acid that is non-oxidizable and non-volatile may be used in place of the sulfuric acid, such as phosphoric acid (H3PO4).
[0086] In one embodiment of the ruthenium tetroxide containing solvent formation process 1001 , an optional purification step 1004 may next be performed on the process solution. The step 1005 generally includes the steps: 1) warming the process solution mixture to temperature of about 50°C in a first vessel, and 2) bubbling an inert gas or ozone (O3) through the process solution to deliver the vapor generated in the first vessel to a cooled second vessel (e.g., ≤ 20°C) where the generated vapor condenses giving a mixture of ruthenium tetroxide and water. The ruthenium tetroxide vapor generated in the first vessel will thus be collected in the pure water contained in the second vessel. It should be noted that after completion of step 1004 the second vessel will contain the aqueous solution components that the rest of the ruthenium tetroxide containing solvent formation process 1001 steps will use, while the left over components in the first vessel can be discarded or reclaimed. Step 1004 may be useful to help purify the process solution which will be used as the ruthenium tetroxide source material.
[0087] In step 1006 an amount of a solvent is added to the aqueous solution to solublize all of the ruthenium tetroxide contained in the aqueous solution. Suitable solvents generally include the materials such as perfluorocarbons (CxFy), hydrofluorocarbons (HxCyF2), or chlorofluorocarbons (Freons or CFCs.). In general any solvent material that is non-polar, non-oxidizable and has a boiling point near and more preferably below about 500C may be useful to perform this process. Preferably, the boiling point of the solvent is within a range from about 25°C to 400C. In general, while both chlorofluorocarbons and perfluorocarbons are effective, perfluorocarbons, which have been shown not to behave as ozone depleting substances (ODS), are preferred. For example, a suitable solvents may be perfluoropentane (C5F12), perfluorohexane (C6Fu), a Freon containing material, such as Freon 11 (fluorotrichloromethane (CFCI3)), or Freon 113 (1 ,1 ,2-trichloro-1 ,2,2-trifluoroethane (CCI2FCCIF2)), a derivative thereof, or a combination thereof. In general, various common refrigerants may be employed as solvents, particularly if the entire process can be performed within a sealed system capable of preventing their release into the environment. Perfluoropentane may have many advantages for use in the semiconductor industry since it can easily be purchased in a pure form, it is not an ODS, and is extremely inert and thus will generally not react with the materials it is exposed to during processing.
[0088] In one embodiment of the ruthenium tetroxide containing solvent formation process 1001 , an optional step 1008 may next be completed on the solvent mixture formed in step 1006. This step adds the action of bubbling ozone (O3) through the solvent mixture contained in the first vessel (e.g., element 1021 Figure 10C), which is maintained at a temperature preferably near room temperature to assure complete formation of ruthenium tetroxides. An example of a ruthenium generation step includes flowing 4% ozone containing gas at a rate of 500 mL/min through the mixture containing 1 g of sodium perruthenate, 50 m!_ of water and 25 g of Freon 113 until a desired amount of ruthenium tetroxide is formed by the process.
[0089] The final step 1010 of the ruthenium tetroxide containing solvent formation process 1001 generally requires the step of separating the water from the solvent mixture formed after completing steps 1006 and/or 1008 to form an "anhydrous" solvent mixture. In one aspect, by choosing a solvent that is not miscible with water allows the water to be easily removed from the solvent mixture by use of some conventional physical separation process. Failure to separate most, if not all, of the water from the rest of the solvent mixture may cause problems in the subsequent process steps and can decrease the selectivity of the ruthenium containing layer deposition. If the selected solvent is not miscible with water and has a different density than water, such as perfluoropentane, Freon 11 or Freon 113, most of the water can be easily separated from the static mixture by use of simple mechanical techniques (e.g., a separator/ funnel, siphon or pump). A complete removal of the residual water may be accomplished by contacting the liquid with a molecular sieve (e.g., 3A molecular sieves) followed by conventional filtration. In one aspect, the "anhydrous" solvent mixture can then be transferred into a vessel that may be used as an ALD or CVD precursor source for use on a processing tool in which the ruthenium containing layer is to be deposited. It is important to note that pure solid ruthenium tetroxide is generally unstable which makes it difficult to handle and hard to transport from one place to another. Therefore, one benefit of the invention described herein is it creates a way to effectively transport and/or generate pure ruthenium tetroxide that can be used to form a ruthenium containing layer. In one aspect, it may be desirable to ship and place the ruthenium tetroxide in an environment that has no exposure to light to prevent decomposition of the ruthenium tetroxide to ruthenium dioxide and oxygen.
[0090] In one embodiment, it may be important to assure that all of the contaminants are removed from the "anhydrous" solvent mixture to prevent or minimize contamination of the substrate surface during a subsequent ruthenium containing layer deposition process steps. In one aspect, to assure that all or most of the contaminants are removed, various purification processes may be completed on the "anhydrous" solvent mixture before the mixture or its components are ready to be exposed to a substrate surface. In one aspect, the purification process may include completing the process step 1004 on the process solution formed in step 1002 at least once. In another aspect, the process step 1010 in the ruthenium tetroxide containing solvent formation process 1001 is completed on the process solution at least once.
Ruthenium-containing Layer Deposition Process using a Ruthenium Tetroxide Containing Solvent
[0091] After performing the ruthenium tetroxide containing solvent formation process 1001 the "anhydrous" solvent mixture is then used to form a ruthenium containing layer on a surface of the substrate by use of another embodiment of the process 700 (hereafter process 700B) illustrated in Figure 10A. In this embodiment, the process 700B contains a new process step 701 , a refined version of process step 702 (i.e., step 702A in Figure 10C) and the process steps 704-706 described above. In other embodiments, the steps found in process 700B may be rearranged, altered, one or more steps may be removed, or two or more steps may be combined into a single step without varying from the basic scope of the invention. For example, in one embodiment, the process step 705 is removed from the process 700B.
[0092] The first step of process 700B, or step 701 , requires the separation of the ruthenium tetroxide from the rest of the "anhydrous" solvent mixture. In one embodiment, step 701 is a series of process steps (see process sequence 701 A in Figure 10B) that may utilize a separation hardware system 1020 (see Figure 10C) to separate the ruthenium tetroxide from the rest of the "anhydrous" solvent mixture. Figure 10B illustrates one embodiment of a process sequence 701 A that may be used to perform process step 701. The process sequence 701 A starts by delivering and connecting a first vessel 1021 that contains the "anhydrous" solvent mixture (element "A") formed using the ruthenium tetroxide containing solvent formation process 1001 to a processing vessel assembly 1023. The hardware shown in Figure 1 OC is intended to be a direct replacement for the processing vessels 630, 630A and 630B shown in Figures 4 and 6A-C, which can deliver a ruthenium tetroxide containing gas to the source vessel assembly (see element 640 in Figures 4 and elements 640A or 640B in Figures 6A-C) and eventually the processing chamber 603 (see Figures 4 and 6A-C). Similar or like element numbers found in Figures 4 and 6A-C are used in Figure 10C for clarity. The processing vessel assembly 1023 generally contains a processing vessel 1023B and temperature controlling device 1023A (e.g., fluid heat exchanging device, a resistive heating device and/or a thermoelectric device).
[0093] The first step (step 701 B) of the process sequence 701 A starts by injecting a desired amount of the "anhydrous" solvent mixture, into a processing vessel 1023B by use of a metering pump 1022 or other conventional fluid delivery process. The processing vessel 1023B is then evacuated to a desired temperature and pressure (step 701 C) by use of a heat exchanging device 1023A, a vacuum pump 1025 and/or one or more gas sources 611 B-C so that the solvent, which has a higher vapor pressure than the ruthenium tetroxide, will vaporize and thus become separated from the ruthenium tetroxide material that is retained in the processing vessel 1023B (element "B" Figure 10C). For example, if Freon 113 is used as the solvent material, temperatures of less than about 00C and pressures of about 360 Torr can be used to separate the solidified ruthenium tetroxide from the solvent mixture. Low pressures, such as about 3 Torr, may be used to perform the separation process, but a larger amount ruthenium tetroxide will be carried away with the solvent, and thus lost, as the pressure used to complete this step is lowered.
[0094] The last step of the process sequence 701 A, step 701 D, generally requires that the processing vessel 1023B be evacuated until the pressure in the processing vessel reaches a desired level or until the pressure in the vessel stabilizes. In general, step 701 D is performed until only small amounts of solvent, left over water and/or other solubilized foreign materials are left in the processing vessel 1023B. Failure to adequately separate the other materials from the ruthenium tetroxide material may cause contamination of the ruthenium containing layer formed during subsequent deposition process(es) (e.g., step 706 of Figures 5 and 7). In one aspect, it may be advantageous to control the temperature in the processing vessel 1023B to cause the solvent and other materials to be removed.
[0095] In one aspect of the process sequence 701 A, a cold trap assembly 1024 is used to collect and reclaim the vaporized solvent material created as the processing vessel 1023B is evacuated by the vacuum pump 1025. The cold trap assembly 1024 is adapted to cool a portion of the vacuum line 1025A to a temperature that will cause the vaporized solvent material to condense so that in a subsequent step the condensed solvent can be reclaimed in a collection tank/system 1024D. The cold trap assembly 1024 generally contains a collection region 1024B of chilled vacuum line 1025A, an isolation valve 1026, a temperature controlling device 1024A {e.g., fluid heat exchanging device, a resistive heating device and/or a thermoelectric device) and a collection line 1024C connected to a solvent collection tank/system 1024D. In one aspect, any collected ruthenium tetroxide found in the condensed solvent is reclaimed.
[0096] After performing step 701 the separated ruthenium tetroxide, which is contained in processing vessel 1023B, can then be used to form a ruthenium containing layer on a surface of the substrate .by use of a refined version of process step 702 (step 702A in Figure 10A) and the process steps 704-706 described above. The refined process step 702A requires controlling the temperature of the ruthenium tetroxide material contained in the processing vessel 1023B and the pressure inside the processing vessel 1023B to cause the leftover solid ruthenium tetroxide to vaporize, so that it can be collected in a source vessel assembly {e.g., elements 640, 640A or 640B in Figures 4 and 6A-C), similar to the aspects discussed in process step 702 above. The term vaporize as used herein is intended to describe the process of causing a material to be converted from a solid or liquid to a vapor. In one example, the ruthenium tetroxide material is maintained at a temperature of about 25°C and 2 Torr to cause the vaporization process to occur so that vaporized material can be delivered and collected in the source vessel(s). Referring to Figure 10C, in one aspect, the vaporized ruthenium tetroxide is carried by a flowing process gas delivered from the one or more gas sources 611 B-C through the processing vessel 1023B, a process line {e.g., 648, 648A or 648B) and valve 637A to the source vessel(s) (not shown). The concentration and flow rate of the ruthenium tetroxide containing gas is related to the process gas flow rate and the vaporization rate of the ruthenium tetraoxide in the processing vessel 1023B. The vaporization rate is related to the equilibrium partial pressure of ruthenium tetroxide at the pressure and temperature maintained in the processing vessel 1023B. After performing step 702A a ruthenium containing layer can be deposited on a substrate surface by following the process steps 704 -706 as described above. In one embodiment, multiple sequential doses of ruthenium tetroxide are delivered to the process chamber 603 to form a multilayer ruthenium containing film. To perform the multiple sequential doses at least one of the process steps 701 through 706, described in conjunction with Figure 10A, are repeated multiple times to form the multilayer ruthenium containing film. In another embodiment, a continuous flow of a desired concentration of a ruthenium tetroxide containing gas is delivered across the surface of the substrate during the ruthenium containing layer deposition process.
Ruthenium-containing Layer Deposition Process using an Anhydrous Solvent Mixture
[0097] In one embodiment of a process of forming a ruthenium containing layer on a substrate surface, the "anhydrous" solvent mixture formed in the ruthenium tetroxide containing solvent formation process 1001 is directly delivered to a substrate surface positioned in the processing chamber 603 (see Figure 11). In one aspect, an inert solvent, such as perfluoropentane (CsF12), which will generally not interact with the materials on the substrate surface at temperatures below its decomposition temperature, is used to prevent contamination of the substrate surface during the ruthenium containing layer deposition process.
[0098] Referring to Figure 11 , in this embodiment, a ruthenium containing layer is formed on a surface of a heated substrate by delivering the "anhydrous" solvent mixture to the substrate positioned in the process region 427 of the processing chamber 603. The heated substrate may be at a temperature below about 3500C, and more preferably at a temperature below about 3000C. Selection of the process temperature can be important to prevent the decomposition of the solvent material. Typically, the processing chamber pressure is maintained at a process pressure below about 10 Torr to complete the ruthenium containing layer deposition process.
[0099] Referring to Figure 11 , in one embodiment, a desired amount, or mass, of the purified solvent mixture (element "A") is delivered to the process region 427 by use of a carrier gas delivered from the gas source 611 D and a hydrogen (H2) containing gas (e.g., hydrogen (H2)) to form a ruthenium layer on the surface of the substrate. In one aspect, in place of hydrogen, the reducing co-reactant may be hydrazine (N2H4) which is entrained in an inert carrier gas such as N2. In one aspect, the carrier gas is delivered from the gas source 611 E through a first vessel 1021 , which contains the "anhydrous" solvent mixture and then directly through outlet line 660 and to a substrate 422 positioned in the process region 427 of the process chamber 603. In another embodiment, multiple sequential doses of the "anhydrous" solvent mixture are delivered to the process chamber 603 to form a multilayer ruthenium containing film. To perform the multiple sequential doses, a desired amount of the "anhydrous" solvent mixture is sequentially delivered to the substrate multiple times to form the multilayer ruthenium containing film. The desired mass of ruthenium tetroxide that needs to be delivered to the process region 427 to form a ruthenium containing layer is generally dependent on the amount of ruthenium tetroxide that is required to completely saturate the substrate surface and other chamber components. Therefore, the amount of the "anhydrous" solvent mixture that needs to be delivered to the process chamber 603 is dependent on the desired mass of ruthenium tetroxide and the concentration of the ruthenium tetroxide in the "anhydrous" solvent mixture.
[ooioo]ln another embodiment, a continuous flow of the "anhydrous" solvent mixture is adapted to flow across the surface of the substrate 422 during the ruthenium containing layer deposition process. In one aspect, the "anhydrous" solvent mixture flows past the surface of the substrate and is collected by the vacuum pump 435. In one aspect, a cold trap assembly 1024 (Figure 10C) and collection tank/system 1024D (Figure 10C) are in fluid communication with the process region 427 and the vacuum pump 435 to collect any leftover "anhydrous" solvent mixture components, such as the solvent and any unreacted ruthenium tetroxide. Cluster Tool Confiquration(s)
[00101] Figure 8 is a plan view of a cluster tool 1100 that is useful for electronic device processing wherein the present invention may be used to advantage. Two such platforms are the CENTURA® RTM and the ENDURA® RTM both available from Applied Materials, Inc., of Santa Clara, Calif. Figure 8 illustrates a plan view of a CENTURA® RTM cluster tool. The details of one such staged-vacuum substrate processing system are disclosed in U.S. Patent No. 5,186,718, which is incorporated herein by reference. The exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a fabrication process.
[00102] In accordance with aspects of the present invention, the cluster tool 1100 generally comprises a plurality of chambers and robots and is preferably equipped with a system controller 1102 programmed to control and carry out the various processing methods and sequences performed in the cluster tool 1100. Figure 8 illustrates one embodiment, in which a processing chamber 603 is mounted in position 1114A on the transfer chamber 1110 and three substrate processing chambers 1202A-C are mounted in positions 1114B-D on the transfer chamber 1110. The processing chamber 603 may placed in one or more of the other positions, for example positions 1114B-D, to improve hardware integration aspects of the design of the system or to improve substrate throughput. In some embodiments, some of the positions 1114A-D remain unoccupied to reduce cost or complexity of the system during processes.
[00103] Referring to Figure 8, an optional front-end environment 1104 (also referred to herein as a Factory Interface or Fl) is shown positioned in selective communication with a pair of load lock chambers 1106. Factory interface robots 1108A-B disposed in the front-end environment 1104 are capable of linear, rotational, and vertical movement to shuttle substrates between the load locks 1106 and a plurality of substrate containing pods (elements 1105A-D) which are mounted on the front-end environment 1104.
[00104] The load locks 1106A-1106B provide a first vacuum interface between the front- end environment 1104 and a transfer chamber 1110. In one embodiment, two load locks 1106 are provided to increase throughput by alternatively communicating with the transfer chamber 1110 and the front-end environment 1104. Thus, while one load lock communicates with the transfer chamber 1110, a second load lock can communicate with the front-end environment 1104. In one embodiment, the load locks (elements 1 106A-1106B) are a batch type load lock that can receive two or more substrates from the factory interface, retain the substrates while the chamber is sealed and then evacuated to a low enough vacuum level to transfer of the substrates to the transfer chamber 1110.
[00105] A robot 1113 is centrally disposed in the transfer chamber 1110 to transfer substrates from the load locks to one of the various processing chambers mounted in positions 1114A-D and service chambers 1116A-B. The robot 1113 is adapted to transfer the substrate "W" to the various processing chambers by use of commands sent from the system controller 1102. A robot assembly used in a cluster tool that may be adapted to benefit from the invention are described in commonly assigned U.S. Patent Nos. 5,447,409, 5,469,035, and 6,379,095, which are hereby incorporated by reference in their entireties.
[00106] The processing chambers 1202A-C mounted in one of the positions 1114A-D may perform any number of processes such as preclean {e.g., selective or nonselective dry etch of the substrate surface), PVD, CVD, ALD, Decoupled Plasma Nitridation (DPN), rapid thermal processing (RTP), metrology techniques (e.g., particle measurement) and etching while the service chambers 1116A-B are adapted for degassing, orientation, cool down and the like. In one embodiment, as discussed above in conjunction with Figure 1A the processing sequence is adapted to deposit a barrier layer on the surface of the substrate using an ALD type process and then deposit a ruthenium containing layer in a separate chamber. In this embodiment, the cluster tool 1110 may be configured such that processing chamber 1202A is a ENDURA® iCuB/S™ chamber, which is available from Applied Materials, Inc., and the processing chamber 603 is mounted in position 1114A. In one embodiment a preclean chamber is added to the process sequence prior to the barrier deposition process (element 102 of Figure 1A) and is mounted in position 1202B of the cluster tool 1110.
[00107] In one aspect of the invention, one or more of the processing chambers 1202A-C may be an RTP chamber which can be used to anneal the substrate before or after performing the batch deposition step. An RTP process may be conducted using an RTP chamber and related process hardware commercially available from Applied Materials, Inc. located in Santa Clara, California. In another aspect of the invention, one or more of the single substrate processing chambers 1202A-C may be a CVD chamber. Examples of such CVD process chambers include DXZ® chambers, ULTIMA HDP-CVD® and PRECISION 5000® chambers, commercially available from Applied Materials, Inc., located in Santa Clara, California. In another aspect of the invention, one or more of the single substrate processing chambers 1202A-C may be a PVD chamber. Examples of such PVD process chambers include ENDURA® PVD processing chambers, commercially available from Applied Materials, Inc., located in Santa Clara, California. In another aspect of the invention, one or more of the single substrate processing chambers 1202A-C may be a DPN chamber. Examples of such DPN process chambers include DPN CENTURA®, commercially available from Applied Materials, Inc., located in Santa Clara, California. In another aspect of the invention, one or more of the single substrate processing chambers 1202A-C may be a process/substrate metrology chamber. The processes completed in a process/substrate metrology chamber can include, but are not limited to particle measurement techniques, residual gas analysis techniques, XRF techniques, and techniques used to measure film thickness and/or film composition, such as, ellipsometry techniques. Ruthenium Dioxide Bottom Up Fill Process
[00108] In one aspect of the invention, the ruthenium containing layer deposited in process step 104 in Figure 1A and step 304 in Figure 1 B is deposited on a substrate surface maintained at a temperature so that a ruthenium oxide layer is formed of one or all surface of the substrate. Thereafter, the ruthenium oxide layer can be reduced to form a metallic ruthenium layer by heating the substrate and exposing the surface to a reducing gas (e.g., hydrogen containing gas), exposing the surface of the substrate to an electroless or electroplating solution which will reduce the exposed surfaces, or by liberating the oxygen from the layer by increasing the temperature of the substrate. In one aspect, by exposing a ruthenium tetroxide containing gas to a substrate that is at a temperature below about 250°C a ruthenium layer will selectively formed in which metallic ruthenium is formed on exposed metal surfaces and a ruthenium oxide layer on all other non-metallic materials such as dielectric materials silicon dioxide. This aspect may be especially important when using subsequent selective deposition processes, such as an electroless deposition process. This may be useful for selectively forming an electroless layer of an exposed tungsten plug (e.g., metal 2 layer) after patterning but before performing other deposition processes.
[00109] While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. An apparatus for depositing a catalytic layer on a surface of a substrate, comprising: a ruthenium tetroxide generation system comprising: a vessel having one or more walls that form a first processing region adapted for retaining an amount of a ruthenium containing material; an oxidizing source adapted to deliver an oxidizing gas to the ruthenium containing material in the first processing region for forming a ruthenium tetroxide containing gas; and a source vessel assembly in fluid communication with the vessel and adapted to collect the ruthenium tetroxide containing gas, wherein the source vessel assembly comprises: a source vessel having a collection region; and a heat exchanging device in thermal communication with a collection surface in contact with the collection region; and a processing chamber in fluid communication with the source vessel, wherein the processing chamber comprises: one or more walls that form a second processing region; a substrate support positioned in the second processing region; and a heat exchanging device in thermal communication with the substrate support.
2. The apparatus of claim 1 , wherein the oxidizing gas is an ozone gas formed by an ozone generator.
3. The apparatus of claim 1 , wherein the heat exchanging device is adapted to cool the collection surface at a temperature within a range from about -200C to about 20°C and heat the collection surface at a temperature within a range from about 00C to about 50°C.
4. The apparatus of claim 1 , wherein the processing chamber further comprises a vacuum pump adapted to maintain a pressure within the second processing region during processing at a pressure below atmospheric pressure.
5. The apparatus of claim 1 , wherein the ruthenium tetroxide generation system further comprises: a collection vessel in fluid communication with the source vessel and the processing chamber, wherein the collection vessel is sized to deliver a desired mass of the ruthenium containing gas to the processing chamber; a second heat exchanging device in thermal communication with the collection vessel; and a controller adapted to deliver the ruthenium containing gas from the collection vessel to the processing chamber at a desired time and to control the temperature of the ruthenium containing gas within the collection vessel.
6. The apparatus of claim 1 , wherein the processing chamber further comprises a showerhead assembly in fluid communication with the source vessel and adapted to deliver the ruthenium tetroxide containing gas to a substrate positioned within the second processing region.
7. The apparatus of claim 1 , wherein the processing chamber further comprises a remote plasma source in communication with the first processing region of the vessel.
8. An apparatus for depositing a catalytic layer on a surface of a substrate, comprising: a ruthenium tetroxide generation system comprising: a vessel having one or more walls that form a first processing region adapted for retaining an amount of a ruthenium tetroxide containing material; a vacuum pump in fluid communication with the vessel; and a source vessel assembly in fluid communication with the vessel and adapted to collect a ruthenium tetroxide containing gas delivered from the vessel, wherein the source vessel assembly comprises: a source vessel having a collection region; and a heat exchanging device in thermal communication with a collection surface in contact with the collection region; and a processing chamber in fluid communication with the source vessel, wherein the processing chamber comprises: one or more walls that form a second processing region; a substrate support positioned within the second processing region; and a heat exchanging device in thermal communication with the substrate support.
9. The apparatus of claim 8, wherein the processing chamber further comprises a vacuum pump adapted to pressurize the second processing region during processing at a pressure below atmospheric pressure.
10. The apparatus of claim 8, wherein the ruthenium tetroxide generation system further comprises: a collection vessel in fluid communication with the source vessel and the processing chamber, wherein the collection vessel is sized to deliver a desired mass of the ruthenium containing gas to the processing chamber; a second heat exchanging device in thermal communication with the collection vessel; and a controller adapted to deliver the ruthenium containing gas from the collection vessel to the processing chamber at a desired time and to control the temperature of the ruthenium containing gas in the collection vessel.
11. The apparatus of claim 8, wherein the processing chamber further comprises a showerhead assembly in fluid communication with the source vessel and adapted to deliver the ruthenium tetroxide containing gas to a substrate positioned in the second processing region.
12. An apparatus for depositing a catalytic layer on a surface of a substrate, comprising: a ruthenium tetroxide generation system comprising: a first vessel having one or more walls that form a first processing region adapted for retaining an amount of a ruthenium tetroxide containing material; and a first source vessel assembly in fluid communication with the vessel and adapted to collect an amount of a ruthenium tetroxide containing gas transferred from the first vessel, wherein the first source vessel assembly comprises: a source vessel having a collection region; and a heat exchanging device in thermal communication with a collection surface in contact with the collection region; a second vessel having one or more walls that form a second processing region adapted for retaining an amount of a ruthenium tetroxide containing material; and a second source vessel assembly in fluid communication with the vessel and adapted to collect an amount of a ruthenium tetroxide containing gas transferred from the second vessel, wherein the second source vessel assembly comprises: a source vessel having a collection region; and a heat exchanging device in thermal communication with a collection surface in contact with the collection region; and a processing chamber in fluid communication with the source vessel and comprises: one or more walls that form a chamber processing region; a substrate support positioned in the chamber processing region; and a heat exchanging device in thermal communication with the substrate support.
13. The apparatus of claim 12, wherein the processing chamber further comprises a vacuum pump adapted to pressurize the chamber processing region at a pressure below atmospheric pressure.
14. The apparatus of claim 12, wherein the ruthenium tetroxide generation system further comprises: a collection vessel in fluid communication with the first source vessel, the second source vessel and the processing chamber, wherein the collection vessel is sized to deliver a desired mass of the ruthenium containing gas to the processing chamber; a second heat exchanging device in thermal communication with the collection vessel; and a controller adapted to deliver the ruthenium containing gas from the collection vessel to the processing chamber at a desired time and to control the temperature of the ruthenium containing gas in the collection vessel.
15. An apparatus for depositing a catalytic layer on a surface of a substrate, comprising: a mainframe having a substrate transferring region; a ruthenium tetroxide generation system comprising: a vessel having one or more walls that form a first processing region adapted for retaining an amount of a ruthenium containing material; and an oxidizing source adapted to deliver an oxidizing gas to the ruthenium containing material in the vessel to form a ruthenium tetroxide containing gas in the vessel; a processing chamber attached to the mainframe and in fluid communication with the source vessel, wherein the processing chamber comprises: one or more walls that form a chamber processing region; a fluid delivery line in fluid communication with the vessel and the chamber processing region; a substrate support positioned in the chamber processing region; and a heat exchanging device the is in thermal communication with the substrate support; and a robot adapted to transfer a substrate from the transferring region of the mainframe to the chamber processing region of the processing chamber.
16. The apparatus of claim 15, further comprising a second processing chamber attached to the mainframe and adapted to deposit a barrier layer.
17. An apparatus for depositing a catalytic layer on a surface of a substrate, comprising: a mainframe having a substrate transferring region; a ruthenium tetroxide generation system comprising: a vessel having one or more walls that form a first processing region adapted for retaining an amount of a ruthenium tetroxide containing material; and a vacuum pump in fluid communication with the first processing region of the vessel; a processing chamber attached to the mainframe and in fluid communication with the source vessel, wherein the processing chamber comprises: one or more walls that form a chamber processing region; a fluid delivery line in fluid communication with the vessel and the chamber processing region; a substrate support positioned in the chamber processing region; and a heat exchanging device in thermal communication with the substrate support; and a robot adapted to transfer a substrate from the transferring region of the mainframe to the chamber processing region of the processing chamber.
18. The apparatus of claim 17, further comprising a second processing chamber attached to the mainframe and adapted to deposit a barrier layer.
19. An apparatus for depositing a ruthenium containing layer on a surface of a substrate used to form a semiconductor device or flat panel display, comprising: a processing chamber adapted to deposit a ruthenium containing layer of the substrate, wherein the processing chamber comprises: one or more walls that form a chamber processing region; a substrate support positioned in the chamber processing region; and a heat exchanging device in thermal communication with the substrate support; and a ruthenium tetroxide generation system comprising: a first vessel having one or more Wulls that form a first processing region adapted to contain a solvent mixture containing ruthenium tetroxide; a second vessel having one or more walls that form a collection region in fluid communication with the processing chamber; a fluid pump in fluid communication with the first vessel and the second vessel, wherein the fluid pump is adapted to deliver an amount of the solvent mixture from the first vessel to the collection region of the second vessel; and a heat exchanging device in thermal communication with the collection region.
20. The apparatus of claim 19, wherein the ruthenium tetroxide generation system further comprises a vacuum pump in fluid communication with the second vessel, and adapted to reduce pressure within the collection region to a pressure below atmospheric pressure.
21. The apparatus of claim 19, wherein the process chamber further comprises a showerhead positioned in the chamber processing region, and the showerhead is adapted to uniformly deliver a the ruthenium tetroxide containing gas to a substrate positioned on the substrate support.
22. The apparatus of claim 19, wherein the process chamber further comprises a vacuum pump in fluid communication with the chamber processing region.
23. An apparatus for depositing a catalytic layer on a surface of a substrate, comprising: a ruthenium tetroxide generation system comprising: a vessel having one or more walls that form a containment region, wherein the containment region contains a fluid that comprises ruthenium tetroxide and a solvent; and one or more gas sources in fluid communication with the containment region; a processing chamber that comprises: one or more walls that form a chamber processing region; a substrate support positioned in the chamber processing region; and a heat exchanging device in thermal communication with the substrate support; and a fluid delivery line in fluid communication with the containment region of the vessel and the chamber processing region of the processing chamber.
24. A method for forming a ruthenium containing layer on a substrate surface, comprising: forming a ruthenium tetroxide in a first vessel; delivering an amount of the ruthenium tetroxide contained in the first vessel to a second vessel; purging the second vessel after the amount of the ruthenium tetroxide has been delivered to the second vessel from the first vessel; and delivering an amount of ruthenium tetroxide contained in the second vessel to a substrate positioned on a substrate support in a vacuum processing chamber.
25. The method of claim 24, wherein the amount of ruthenium tetroxide is delivered to a second vessel having a surface heated at a temperature below about 25°C.
26. The method of claim 25, wherein the substrate support is heated at a temperature within a range from about 200C to about 45O0C.
27. The method of claim 24, wherein forming a ruthenium tetroxide in the first vessel comprises: forming an ozone containing gas; and delivering the ozone containing gas to a surface of a ruthenium containing material positioned in the first vessel.
28. The method of claim 27, wherein the ruthenium containing material is a material selected from the group consisting of metallic ruthenium, sodium perruthenate, potassium perruthenate, derivatives thereof, and combinations thereof.
29. The method of claim 24, wherein the first vessel is purged until an oxygen or ozone concentration is less than about 100 ppm.
30. A method for forming a ruthenium containing layer on a substrate surface, comprising: forming a ruthenium tetroxide containing gas in a first vessel; delivering an amount of the ruthenium tetroxide containing gas from the first vessel to a second vessel; collecting a desired amount of ruthenium tetroxide from the ruthenium tetroxide containing gas on a surface of the second vessel maintained at a first temperature; purging the second vessel to remove unwanted contaminants contained in the second vessel; vaporizing an amount of the ruthenium tetroxide on the surface of the second vessel; and delivering an amount of the vaporized ruthenium tetroxide from the second vessel to a substrate positioned on a substrate support in a processing chamber to form a ruthenium containing layer on a substrate surface.
31. The method of claim 30, wherein the first temperature of the surface is within a range from about -20°C to about 25°C.
32. The method of claim 30, wherein the vaporizing step comprises: heating the surface of the second vessel to a second temperature within a range from about 00C to about 25°C.
33. The method of claim 30, wherein the processing chamber is a vacuum processing chamber adapted to process the substrate at a processing pressure within a range from about 0.1 mTorr to about 50 Torr.
34. A method for forming a ruthenium containing layer on a substrate surface, comprising: providing a solvent mixture that comprises a solvent and ruthenium tetroxide; and delivering the solvent mixture to a substrate positioned on a substrate support within a processing chamber.
35. The method of claim 34, wherein the substrate support is maintained at a temperature below about 350°C.
36. The method of claim 34, wherein the process chamber is pressurized at a pressure of less than about 10 Torr.
37. The method of claim 34, wherein the solvent is selected from the group consisting of perfluorocarbons, hydrofluorocarbons, chlorofluorocarbons, derivatives thereof, and combinations thereof.
38. The method of claim 34, wherein the solvent is selected from the group consisting of perfluoropentane, perfluorohexane, fluorotrichloromethane, 1 ,1 ,2-trichloro- 1 ,2,2-trifluoroethane, derivatives thereof, and combinations thereof.
39. A method for forming a ruthenium containing layer on a substrate surface, comprising: providing an aqueous solution that comprises a perruthenate material, water, and a hypochlorite containing material; adding an acid to the aqueous solution to form ruthenium tetroxide; add a solvent to the aqueous solution to form a solvent containing mixture; separating the water from the solvent containing mixture; separating the ruthenium tetroxide from the solvent containing mixture; and delivering the ruthenium tetroxide to a substrate positioned on a substrate support within a processing chamber.
40. The method of claim 39, wherein the substrate support is maintained at a temperature within a range from about 200C to about 450° C.
41. The method of claim 39, wherein the perruthenate material is selected from the group consisting of sodium perruthenate, potassium perruthenate, derivatives thereof, and combinations thereof.
42. The method of claim 39, wherein the process chamber is pressurized at a pressure of less than about 10 Torr.
43. The method of claim 39, wherein the solvent is selected from the group consisting of perfluorocarbons, hydrofluorocarbons, chlorofluorocarbons, derivatives thereof, and combinations thereof.
44. The method of claim 39, wherein the solvent is selected from the group consisting of perfluoropentane, perfluorohexane, fluorotrichloromethane, 1 ,1 ,2-trichloro- 1 ,2,2-trifluoroethane, derivatives thereof, and combinations thereof.
45. A method for forming a ruthenium containing layer on a substrate surface, comprising: providing an aqueous solution that comprises a perruthenate material, water, and a hypochlorite containing material; adding an acid to the aqueous solution; adding a solvent to the aqueous solution; delivering an amount of the solvent mixture to a first vessel; separating the ruthenium tetroxide from the other solvent mixture components; delivering the separated ruthenium tetroxide to a second vessel; purging the second vessel to remove unwanted contaminants contained within the second vessel; and delivering an amount of the ruthenium tetroxide in the second vessel to a substrate positioned on a substrate support within a processing chamber.
46. A process for forming ruthenium tetroxide, comprising: providing an aqueous solution that comprises a perruthenate material, water, and a hypochlorite containing material; adding an acid to the aqueous solution; adding a solvent to the aqueous solution to form a solvent mixture, wherein the solvent is selected from the group consisting of perfluorocarbons, hydrofluorocarbons, chlorofluorocarbons, derivatives thereof, and combinations thereof; delivering an amount of the solvent mixture to a vessel; and separating ruthenium tetroxide from other components of the solvent mixture.
PCT/US2006/002461 2005-01-27 2006-01-25 Ruthenium layer deposition apparatus and method WO2006081234A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007553170A JP5043684B2 (en) 2005-01-27 2006-01-25 Ruthenium layer deposition apparatus and method
EP06733844A EP1853745A2 (en) 2005-01-27 2006-01-25 Ruthenium layer deposition apparatus and method

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US64800405P 2005-01-27 2005-01-27
US60/648,004 2005-01-27
US71502405P 2005-09-08 2005-09-08
US60/715,024 2005-09-08
US11/228,649 US7438949B2 (en) 2005-01-27 2005-09-15 Ruthenium containing layer deposition method
US11/228,425 2005-09-15
US11/228,649 2005-09-15
US11/228,425 US20060162658A1 (en) 2005-01-27 2005-09-15 Ruthenium layer deposition apparatus and method

Publications (2)

Publication Number Publication Date
WO2006081234A2 true WO2006081234A2 (en) 2006-08-03
WO2006081234A3 WO2006081234A3 (en) 2009-05-07

Family

ID=36740999

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/002461 WO2006081234A2 (en) 2005-01-27 2006-01-25 Ruthenium layer deposition apparatus and method

Country Status (5)

Country Link
EP (1) EP1853745A2 (en)
JP (1) JP5043684B2 (en)
KR (1) KR101014240B1 (en)
TW (1) TWI349717B (en)
WO (1) WO2006081234A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007081434A1 (en) * 2006-01-07 2007-07-19 Aviza Technology, Inc. Apparatus and method for the deposition of ruthenium containing films
WO2019118841A1 (en) * 2017-12-16 2019-06-20 Applied Materials, Inc. Selective atomic layer deposition of ruthenium

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8906501B2 (en) * 2007-10-05 2014-12-09 The United States Of America As Represented By The Secretary Of The Navy RuO2 coatings
JP5520425B2 (en) * 2009-01-10 2014-06-11 宛伶 兪 Method for forming a metal bump and seal of a semiconductor member
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9328419B2 (en) * 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
US9040385B2 (en) 2013-07-24 2015-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
KR20200070318A (en) * 2017-10-12 2020-06-17 젤리스트 테크놀로지스, 인코퍼레이티드 Method and system for integrated synthesis, delivery and treatment of source chemicals for thin film manufacturing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5989345A (en) * 1997-05-02 1999-11-23 Tokyo Electron Limited Process-gas supply apparatus
US20020064420A1 (en) * 2000-11-29 2002-05-30 Wen-Pin Lin Tubular connector
US20050045099A1 (en) * 2003-08-27 2005-03-03 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US20050081787A1 (en) * 2003-10-15 2005-04-21 Ki-Vin Im Apparatus and method for supplying a source, and method of depositing an atomic layer using the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11111644A (en) * 1997-09-30 1999-04-23 Japan Pionics Co Ltd Vaporization supplying equipment
JP2000034563A (en) * 1998-07-14 2000-02-02 Japan Energy Corp Production of highly pure ruthenium sputtering target and highly pure ruthenium sputtering target
US6458183B1 (en) * 1999-09-07 2002-10-01 Colonial Metals, Inc. Method for purifying ruthenium and related processes
KR100406534B1 (en) * 2001-05-03 2003-11-20 주식회사 하이닉스반도체 Method for fabricating ruthenium thin film
JP3990881B2 (en) * 2001-07-23 2007-10-17 株式会社日立製作所 Semiconductor manufacturing apparatus and cleaning method thereof
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5989345A (en) * 1997-05-02 1999-11-23 Tokyo Electron Limited Process-gas supply apparatus
US20020064420A1 (en) * 2000-11-29 2002-05-30 Wen-Pin Lin Tubular connector
US20050045099A1 (en) * 2003-08-27 2005-03-03 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US20050081787A1 (en) * 2003-10-15 2005-04-21 Ki-Vin Im Apparatus and method for supplying a source, and method of depositing an atomic layer using the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007081434A1 (en) * 2006-01-07 2007-07-19 Aviza Technology, Inc. Apparatus and method for the deposition of ruthenium containing films
WO2019118841A1 (en) * 2017-12-16 2019-06-20 Applied Materials, Inc. Selective atomic layer deposition of ruthenium
CN111492467A (en) * 2017-12-16 2020-08-04 应用材料公司 Selective atomic layer deposition of ruthenium
US11066743B2 (en) 2017-12-16 2021-07-20 Applied Materials, Inc. Selective atomic layer deposition of ruthenium
CN111492467B (en) * 2017-12-16 2023-08-11 应用材料公司 Selective atomic layer deposition of ruthenium

Also Published As

Publication number Publication date
TW200702474A (en) 2007-01-16
WO2006081234A3 (en) 2009-05-07
JP5043684B2 (en) 2012-10-10
JP2008538796A (en) 2008-11-06
TWI349717B (en) 2011-10-01
KR101014240B1 (en) 2011-02-16
EP1853745A2 (en) 2007-11-14
KR20070101357A (en) 2007-10-16

Similar Documents

Publication Publication Date Title
US7438949B2 (en) Ruthenium containing layer deposition method
US20060162658A1 (en) Ruthenium layer deposition apparatus and method
JP5043684B2 (en) Ruthenium layer deposition apparatus and method
US7107998B2 (en) Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
JP7196291B2 (en) Method for depositing tungsten or molybdenum films
JP5342110B2 (en) Source canister containing precursor and method for filling features using the same
US7588736B2 (en) Apparatus and method for generating a chemical precursor
US10731250B2 (en) Depositing ruthenium layers in interconnect metallization
KR101244972B1 (en) Precursor for film formation and method for forming ruthenium-containing film
US7524374B2 (en) Method and apparatus for generating a precursor for a semiconductor processing system
KR101188503B1 (en) Method for forming metal film using carbonyl material, method for forming multilayered wiring structure, method for manufacturing semiconductor device, and film forming apparatus
US20070271751A1 (en) Method of forming a reliable electrochemical capacitor
KR20150122659A (en) Metal amide deposition precursors and their stabilization with an inert ampoule liner
TWI431146B (en) Method for forming tantalum nitride film and film forming device
JP2000299296A (en) Copper metal wiring forming method of semiconductor device
KR100358045B1 (en) Method of forming a copper wiring in a semiconductor device
JP5583078B2 (en) Method and apparatus for generating precursors for semiconductor processing systems
WO2000065126A9 (en) Cvd tantalum nitride plug formation from tantalum halide precursors
TW200905725A (en) Methods for forming a ruthenium-based film on a substrate
Gatineau et al. A New Liquid Precursor for Pure Ruthenium Depositions
KR20040003386A (en) Method for atomic layer deposition of copper layer

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007553170

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020077019546

Country of ref document: KR

Ref document number: 2006733844

Country of ref document: EP