KR101014240B1 - Ruthenium layer deposition apparatus and method - Google Patents

Ruthenium layer deposition apparatus and method Download PDF

Info

Publication number
KR101014240B1
KR101014240B1 KR1020077019546A KR20077019546A KR101014240B1 KR 101014240 B1 KR101014240 B1 KR 101014240B1 KR 1020077019546 A KR1020077019546 A KR 1020077019546A KR 20077019546 A KR20077019546 A KR 20077019546A KR 101014240 B1 KR101014240 B1 KR 101014240B1
Authority
KR
South Korea
Prior art keywords
ruthenium
delete delete
oxide
vessel
source
Prior art date
Application number
KR1020077019546A
Other languages
Korean (ko)
Other versions
KR20070101357A (en
Inventor
티모시 더블유. 웨이드만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/228,649 external-priority patent/US7438949B2/en
Priority claimed from US11/228,425 external-priority patent/US20060162658A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070101357A publication Critical patent/KR20070101357A/en
Application granted granted Critical
Publication of KR101014240B1 publication Critical patent/KR101014240B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Abstract

본 발명의 실시예는 루테늄 4 산화물 함유 가스로부터 기판 표면 상에 루테늄 함유 층을 형성하기 위한 장치 및 방법을 제공한다. 일반적으로, 상기 방법은 기판 표면 상에 촉매 층을 형성하도록 루테늄 4 산화물 증기에 기판 표면을 노출시키는 단계, 및 후속적으로 하나 이상의 증착 공정에 의해 기판 표면에 장치 구조물을 충전하는 단계를 포함한다. 일 실시예에서, 루테늄 함유 층은 외부 용기에 루테늄 4 산화물을 형성하고 이어서 처리 챔버 내에 위치설정되는 온도제어된 기판 표면으로 발생된 루테늄 4 산화물 가스를 전달함으로써 기판 표면 상에 형성된다. 또 다른 실시예에서, 루테늄 함유 층은 루테늄 4 산화물 함유 용매를 이용하여, 기판 표면 상에 형성된다. 또 다른 실시예에서, 용매는 루테늄 4 산화물 함유 용매 혼합물로부터 분리되고 나머지 루테늄 4 산화물이 기판 표면 상에 루테늄 함유 층을 형성하기 위해 이용된다.Embodiments of the present invention provide an apparatus and method for forming a ruthenium containing layer on a substrate surface from a ruthenium 4 oxide containing gas. In general, the method includes exposing the substrate surface to ruthenium 4 oxide vapor to form a catalyst layer on the substrate surface, and subsequently filling the device structure on the substrate surface by one or more deposition processes. In one embodiment, the ruthenium containing layer is formed on the substrate surface by forming ruthenium 4 oxide in an outer container and then delivering the generated ruthenium 4 oxide gas to a temperature controlled substrate surface positioned within the processing chamber. In another embodiment, the ruthenium containing layer is formed on the substrate surface using a ruthenium 4 oxide containing solvent. In another embodiment, the solvent is separated from the ruthenium 4 oxide containing solvent mixture and the remaining ruthenium 4 oxide is used to form a ruthenium containing layer on the substrate surface.

Description

루테늄 층 증착 장치 및 방법 {RUTHENIUM LAYER DEPOSITION APPARATUS AND METHOD}Ruthenium layer deposition apparatus and method {RUTHENIUM LAYER DEPOSITION APPARATUS AND METHOD}

본 발명의 실시예는 일반적으로 배리어 층 위에 전도성 층을 증착하기 전에, 배리어 층 상에 촉매 층을 증착하기 위한 방법에 관한 것이다.Embodiments of the present invention generally relate to a method for depositing a catalyst layer on a barrier layer prior to depositing a conductive layer on the barrier layer.

다중 레벨, 45 nm 노드 금속화는 차세대의 초대규모 집적회로(VLSI)를 위한 주요 기술 중 하나이다. 이러한 기술의 중심에 있는 다중 레벨 연접(interconnect)은 콘택(contact), 비아(via), 라인 및 다른 통공을 포함하는, 고 종횡비 피쳐(high aspect ratio feature)를 포함한다. 이러한 피쳐의 확실한 형성은 VLSI의 성공 및 개별 기판상의 품질 및 회로 밀도를 증가시키기 위한 계속된 노력을 위해 매우 중요하다. 따라서, 10 : 1(높이 : 폭) 또는 그 이상의 고 종횡비를 가지는 공간이 없는 피쳐의 형성으로 진행되는 많은 노력이 있다.Multilevel, 45 nm node metallization is one of the key technologies for the next generation of ultra-large scale integrated circuits (VLSI). Multilevel interconnects at the heart of this technology include high aspect ratio features, including contacts, vias, lines and other apertures. Reliable formation of these features is critical for the success of VLSI and continued efforts to increase quality and circuit density on individual substrates. Thus, there is a lot of effort going on to form a spaceless feature with a high aspect ratio of 10: 1 (height: width) or more.

구리는 피쳐를 상호 연결하는 서브-미크론(sub-micron) 고 종횡비와 같은 VLSI 피쳐를 충전하기 위한 선택 금속이다. 콘택은 두 개의 이격된 전도성 층 사이에 배치되는 절연 재료의 표면 상으로 개구(예를 들면, 비아) 내로 구리와 같은, 전도성 연접 재료를 증착함으로써 형성된다. 이와 같은 개구의 고 종횡비는 만족스런 단차 피복성(step coverage) 및 갭-충전(gap-fill)을 나타내는 전도성 연접 재료의 증착을 방지할 수도 있다. 비록 구리가 통상적인 연접 재료이지만, 구리는 유전체 층과 같은 이웃하는 층으로 확산된다. 구리의 결과적인 및 원하지 않는 존재는 유전체 층이 전도성이 되도록 하여 전자 장치가 고장 나게 한다. 따라서, 배리어 재료는 구리 확산을 방지하기 위하여 이용된다.Copper is an optional metal for filling VLSI features such as sub-micron high aspect ratios that interconnect features. The contact is formed by depositing a conductive junction material, such as copper, into an opening (eg, a via) onto a surface of insulating material disposed between two spaced apart conductive layers. Such high aspect ratios of openings may prevent deposition of conductive junction materials that exhibit satisfactory step coverage and gap-fill. Although copper is a common junction material, copper diffuses into neighboring layers such as dielectric layers. The resulting and unwanted presence of copper makes the dielectric layer conductive, causing the electronic device to fail. Thus, the barrier material is used to prevent copper diffusion.

연접부를 형성하기 위한 통상적인 순서는 하나 또는 그 이상의 비 전도성 층을 증착하는 단계, 그 안에 하나 또는 그 이상의 피쳐를 형성하기 위하여 하나 이상의 층을 에칭하는 단계, 피쳐 내에 배리어 층을 증착하는 단계, 및 피쳐를 충전하기 위해, 구리와 같은 하나 또는 그 이상의 전도성 층을 증착하는 단계를 포함한다. 배리어 층은 통상적으로 티타늄(titanium) 또는 탄탈(tantalum)과 같은, 난융성 금속 질화물(nitride) 및/또는 규화물(silicide)을 포함한다. 이러한 그룹 중, 탄탈 질화물은 배리어 층으로서 이용하기 위한 가장 바람직한 재료들 중 하나이다. 탄탈 질화물은, 상대적으로 얇은 층(예를 들면, 20Å 이하)이 형성될 때 조차, 구리 확산에 대한 유용한 배리어를 제공한다. 탄탈 질화물 층은 통상적으로 물리적 증착(PVD), 원자층 증착(ALD), 및 화학적 증착(CVD)와 같은, 종래의 증착 기술에 의해 증착된다.A typical sequence for forming a junction includes depositing one or more non-conductive layers, etching one or more layers to form one or more features therein, depositing a barrier layer within the features, and Depositing one or more conductive layers, such as copper, to fill the feature. The barrier layer typically includes refractory metal nitrides and / or silicides, such as titanium or tantalum. Of this group, tantalum nitride is one of the most preferred materials for use as a barrier layer. Tantalum nitrides provide a useful barrier to copper diffusion, even when relatively thin layers (eg, 20 μs or less) are formed. Tantalum nitride layers are typically deposited by conventional deposition techniques, such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).

탄탈 질화물은 탄탈 질화물 상에 증착되는 구리 층에 대한 저급한 부착을 포함하는 일부 부정적인 특성을 가진다. 후속하는 증착 구리 층의 저급한 부착은 형성된 장치 내에서 급격한 전자 이동 및 가능하게는 화학적 기계적 폴리싱(CMP)과 같은 기판 처리 단계에서의 공정 오염 문제를 초래한다. 산소 및/또는 물의 공급원에 대한 탄탈 질화물 층의 노출은 산화를 초래할 수 있어 후속적으로 증착되는 구리 층의 강한 본드(bond)의 형성을 방지한다. 탄탈 질화물 배리어 층 및 구리 층 사이의 경계면은 표준 테이프 테스트 동안 분리된다.Tantalum nitride has some negative properties, including poor adhesion to copper layers deposited on tantalum nitride. Subsequent deposition of subsequent deposited copper layers results in process contamination problems in substrate processing steps such as rapid electron transfer and possibly chemical mechanical polishing (CMP) within the formed device. Exposure of the tantalum nitride layer to a source of oxygen and / or water can lead to oxidation to prevent the formation of a strong bond of the subsequently deposited copper layer. The interface between the tantalum nitride barrier layer and the copper layer is separated during standard tape testing.

통상적인 증착 프로세스는 증착된 배리어 층으로 결합되는 탄소를 포함하는 전구체를 이용한다. 탄소 결합은 종종 습식 화학 공정의 완료에 대해 불리하며, 이는 증착된 필름이 유체가 적셔서 목표 성능을 가지는 층으로 증착하는 것을 감소 또는 방지하는 소수성인 경향이 있기 때문이다. 이러한 문제점을 해결하기 위하여, 산화 공정은 종종 배리어 층 위에서 이용되어 결합된 탄소를 제거하지만, 이러한 공정은 탄소 연접부와 같은, 노출되고 매우 산화가능한 다른 층에 불리한 작용을 할 수 있다. 따라서, 공정 및 장치가 탄탈 질화물(TaN) 및 구리와 같은, 다양한 층들 사이의 본딩 접착을 강화할 수 있는 배리어 층 또는 접착 층을 증착할 것이 요구된다. 또한, 일부의 경우, 공정 및 장치는 유전체, 비금속 또는 다른 바람직한 재료 상에 직접 증착될 수 있는 접착 층을 형성하는 것이 요구된다.Conventional deposition processes utilize precursors comprising carbon that are bonded to the deposited barrier layer. Carbon bonding is often disadvantageous for the completion of a wet chemical process because the deposited film tends to be hydrophobic, reducing or preventing deposition of the fluid into the layer having the desired performance. To address this problem, oxidation processes are often used on barrier layers to remove bound carbon, but such processes can adversely affect other exposed and highly oxidizable layers, such as carbon junctions. Thus, a process and apparatus are required to deposit a barrier layer or adhesive layer that can enhance bonding adhesion between various layers, such as tantalum nitride (TaN) and copper. In addition, in some cases, processes and apparatus are required to form an adhesive layer that can be deposited directly on dielectrics, nonmetals, or other desirable materials.

따라서, 고 종횡비 연접 피쳐 내에 유용한 단차 피복성, 강한 접착 및 낮은 전기 저항을 가진 배리어 층 상에 구리 함유 층을 증착하기 위한 방법에 대한 요구가 있었다.Accordingly, there is a need for a method for depositing a copper containing layer on a barrier layer with step coverage, strong adhesion and low electrical resistance useful in high aspect ratio junction features.

일 실시예에서, 기판의 표면에 촉매 층을 증착하기 위한 장치가 제공되며, 이 장치는 일정한 양의 루테늄 함유 재료를 유지하도록 하는 제 1 처리 영역을 형성하는 하나 또는 그 이상의 벽을 가지는 용기, 루테늄 4 산화물 함유 가스를 형성하기 위해 제 1 처리 영역 내에 있는 루테늄 함유 재료에 산화 가스를 전달하도록 하는 산화 소스, 및 용기와 유체 소통되고 루테늄 4 산화물 함유 가스를 수집하도록 하는 소스 용기 조립체를 포함하는 루테늄 4 산화물 발생 시스템을 포함한다. 소스 용기 조립체는 수집 영역을 가지는 소스 용기, 및 수집 영역과 접촉하는 수집 표면과 열 소통되는 열 교환 장치를 포함하며, 소스 용기와 유체 소통되는 처리 챔버를 포함한다. 처리 챔버는 제 2 처리 영역을 형성하는 하나 또는 그 이상의 벽, 제 2 처리 영역 내에 위치설정되는 기판 지지부, 및 기판 지지부와 열 소통되는 열 교환 장치를 포함한다.In one embodiment, an apparatus is provided for depositing a catalyst layer on the surface of a substrate, the apparatus comprising one or more walls, a ruthenium, forming a first treatment region to maintain a constant amount of ruthenium containing material 4 Ruthenium 4 including an oxidizing source for delivering an oxidizing gas to a ruthenium containing material in a first processing region to form an oxide containing gas, and a source vessel assembly in fluid communication with the vessel and collecting the ruthenium 4 oxide containing gas. Oxide generation systems. The source vessel assembly includes a source vessel having a collection region, and a heat exchange device in thermal communication with the collection surface in contact with the collection region, and includes a processing chamber in fluid communication with the source vessel. The processing chamber includes one or more walls forming a second processing region, a substrate support positioned within the second processing region, and a heat exchange device in thermal communication with the substrate support.

또 다른 실시예에서, 기판의 표면에 촉매 층을 증착하기 위한 장치가 제공되며, 이 장치는 일정한 양의 루테늄 함유 재료를 유지하도록 하는 제 1 처리 영역을 형성하는 하나 또는 그 이상의 벽을 가지는 용기, 용기와 유체 소통되는 진공 펌프, 및 용기와 유체 소통되고 용기로부터 전달되는 루테늄 4 산화물 함유 가스를 수집하도록 하는 소스 용기 조립체를 포함하는 루테늄 4 산화물 발생 시스템을 포함한다. 소스 용기 조립체는 수집 영역을 가지는 소스 용기, 및 수집 영역과 접촉하는 수집 표면과 열 소통되는 열 교환 장치를 포함하며, 소스 용기와 유체 소통되는 처리 챔버를 포함한다. 처리 챔버는 제 2 처리 영역을 형성하는 하나 또는 그 이상의 벽, 제 2 처리 영역 내에 위치설정되는 기판 지지부, 및 기판 지지부와 열 소통되는 열 교환 장치를 포함한다.In another embodiment, an apparatus is provided for depositing a catalyst layer on a surface of a substrate, the apparatus comprising one or more walls forming a first processing region to maintain a constant amount of ruthenium containing material, And a ruthenium 4 oxide generation system comprising a vacuum pump in fluid communication with the vessel, and a source vessel assembly for collecting the ruthenium 4 oxide containing gas in fluid communication with the vessel. The source vessel assembly includes a source vessel having a collection region, and a heat exchange device in thermal communication with the collection surface in contact with the collection region, and includes a processing chamber in fluid communication with the source vessel. The processing chamber includes one or more walls forming a second processing region, a substrate support positioned within the second processing region, and a heat exchange device in thermal communication with the substrate support.

또 다른 실시예에서, 기판의 표면에 촉매 층을 증착하기 위한 장치가 제공되며, 이 장치는 일정한 양의 루테늄 함유 재료를 유지하도록 하는 제 1 처리 영역을 형성하는 하나 또는 그 이상의 벽을 가지는 제 1 용기, 용기와 유체 소통되고 제 1 용기로부터 전달되는 일정한 양의 루테늄 4 산화물 함유 가스를 수집하도록 하는 제 1 소스 용기 조립체를 포함하는 루테늄 4 산화물 발생 시스템을 포함한다. 제 1 소스 용기 조립체는 수집 영역을 가지는 소스 용기, 및 수집 영역과 접촉하는 수집 표면과 열 소통되는 열 교환 장치를 포함한다. 제 2 용기는 일정한 양의 루테늄 함유 재료를 유지하도록 하는 제 2 처리 영역을 형성하는 하나 또는 그 이상의 벽을 가질 수 있으며, 제 2 소스 용기 조립체는 용기와 유체 소통되고 제 2 용기로부터 전달되는 일정한 양의 루테늄 4 산화물 함유 가스를 수집하도록 한다. 제 2 소스 용기 조립체는 수집 영역을 가지는 소스 용기, 및 수집 영역과 접촉하는 수집 표면과 열 소통되는 열 교환 장치를 포함하며, 처리 챔버를 포함한다. 처리 챔버는 소스 용기와 유체 소통되며, 챔버 처리 영역을 형성하는 하나 또는 그 이상의 벽, 챔버 처리 영역 내에 위치설정되는 기판 지지부, 및 기판 지지부와 열 소통되는 열 교환 장치를 포함한다.In yet another embodiment, an apparatus is provided for depositing a catalyst layer on a surface of a substrate, the apparatus having a first having one or more walls forming a first processing region to maintain a constant amount of ruthenium containing material. And a ruthenium 4 oxide generation system comprising a first source vessel assembly to collect a quantity of ruthenium 4 oxide containing gas in fluid communication with the vessel and delivered from the first vessel. The first source container assembly includes a source container having a collection area and a heat exchange device in thermal communication with the collection surface in contact with the collection area. The second vessel may have one or more walls forming a second treatment region to hold a constant amount of ruthenium containing material, and the second source vessel assembly is in constant quantity in fluid communication with the vessel and delivered from the second vessel. Collect ruthenium 4 oxide containing gas. The second source container assembly includes a source container having a collection area and a heat exchange device in thermal communication with the collection surface in contact with the collection area and includes a processing chamber. The processing chamber includes one or more walls in fluid communication with the source vessel, a substrate support positioned within the chamber processing region, and a heat exchange device in thermal communication with the substrate support.

또 다른 실시예에서, 기판의 표면에 촉매 층을 증착하기 위한 장치가 제공되며, 상기 장치는 기판 이송 영역을 가지는 메인 프레임과, 일정한 양의 루테늄 함유 재료를 유지하도록 하는 제 1 처리 영역을 형성하는 하나 또는 그 이상의 용기, 및 용기 내에 루테늄 4 산화물 함유 가스를 형성하도록 산화 가스를 상기 용기 내에 있는 루테늄 함유 재료로 전달하도록 하는, 산화 소스를 포함하는 루테늄 4 산화물 발생 시스템과, 메인 프레임에 부착되고 소스 용기와 유체 소통되는 처리 챔버를 포함한다. 처리 챔버는 챔버 처리 영역을 형성하는 하나 또는 그 이상의 벽, 용기 및 챔버 처리 영역과 유체 소통되는 유체 전달 라인, 챔버 처리 영역 내에 위치설정되는 기판 지지부, 및 기판 지지부와 열 소통되는 열 교환 장치를 포함하며, 기판을 메인 프레임의 이송 영역으로부터 처리 챔버의 챔버 처리 영역으로 이송하도록 하는 로봇을 포함한다.In another embodiment, an apparatus is provided for depositing a catalyst layer on a surface of a substrate, the apparatus forming a main frame having a substrate transfer region and a first processing region for maintaining a constant amount of ruthenium containing material. A ruthenium 4 oxide generating system comprising an one or more vessels and an oxidizing source, the oxidizing source being adapted to deliver an oxidizing gas to a ruthenium containing material in the vessel to form a ruthenium 4 oxide containing gas in the vessel; A processing chamber in fluid communication with the vessel. The processing chamber includes one or more walls forming a chamber processing region, a fluid delivery line in fluid communication with the vessel and the chamber processing region, a substrate support positioned within the chamber processing region, and a heat exchange device in thermal communication with the substrate support. And a robot for transferring the substrate from the transfer region of the main frame to the chamber treatment region of the processing chamber.

또 다른 실시예에서, 기판의 표면에 촉매 층을 증착하기 위한 장치가 제공되며, 상기 장치는 기판 이송 영역을 가지는 메인 프레임과, 일정한 양의 루테늄 4 산화물 함유 재료를 유지하도록 하는 제 1 처리 영역을 형성하는 하나 또는 그 이상의 용기, 및 용기의 제 1 처리 영역과 유체 소통되는 진공 펌프를 포함하는, 루테늄 4 산화물 발생 시스템과 메인 프레임에 부착되고 소스 용기와 유체 소통되는 처리 챔버를 포함한다. 처리 챔버는 챔버 처리 영역을 형성하는 하나 또는 그 이상의 벽, 용기 및 챔버 처리 영역과 유체 소통되는 유체 전달 라인, 챔버 처리 영역 내에 위치 설정되는 기판 지지부, 및 기판 지지부와 열 소통되는 열 교환 장치를 포함하며, 기판을 메인 프레임의 이송 영역으로부터 처리 챔버의 챔버 처리 영역으로 이송하도록 하는 로봇을 포함한다.In another embodiment, an apparatus is provided for depositing a catalyst layer on a surface of a substrate, the apparatus comprising a main frame having a substrate transfer region and a first treatment region to maintain a constant amount of ruthenium 4 oxide containing material. And a ruthenium 4 oxide generating system comprising a one or more vessels forming and a vacuum pump in fluid communication with the first processing region of the vessel and a processing chamber attached to the main frame and in fluid communication with the source vessel. The processing chamber includes one or more walls forming a chamber processing region, a fluid delivery line in fluid communication with the vessel and the chamber processing region, a substrate support positioned within the chamber processing region, and a heat exchange device in thermal communication with the substrate support. And a robot for transferring the substrate from the transfer region of the main frame to the chamber treatment region of the processing chamber.

또 다른 실시예에서, 반도체 장치 또는 평판 디스플레이를 형성하기 위해 이용되는 기판의 표면에 루테늄 함유 층을 증착하기 위한 장치가 제공되며, 장치는 기판의 루테늄 함유 층을 증착하도록 하는 처리 챔버로서, 챔버 처리 영역을 형성하는 하나 또는 그 이상의 벽, 챔버 처리 영역 내에 위치설정되는 기판 지지부, 및 기판 지지부와 열 소통되는 열 교환 장치를 포함하는, 처리 챔버와, 그리고 루테늄 4 산화물 발생 시스템을 포함한다. 루테늄 4 산화물 발생 시스템은 루테늄 4 산화물을 함유하는 용매 혼합물을 포함하도록 하는 제 1 처리 영역을 형성하는 하나 또는 그 이상의 벽을 가지는 제 1 용기, 처리 챔버와 유체 소통되는 수집 영역을 형성하고 하나 또는 그 이상의 벽을 가지는 제 2 용기, 제 1 용기 및 상기 제 2 용기와 유체 소통되는 유체 펌프를 포함한다. 유체 펌프는 일정한 양의 용매 혼합물을 제 1 용기로부터 상기 제 2 용기의 수집 영역으로 전달하도록 하며, 수집 영역과 열 소통되는 열 교환 장치를 포함한다.In another embodiment, an apparatus for depositing a ruthenium containing layer on a surface of a substrate used to form a semiconductor device or a flat panel display is provided, wherein the apparatus is a processing chamber for depositing a ruthenium containing layer of a substrate, the chamber processing A processing chamber, and a ruthenium 4 oxide generation system, including one or more walls forming a region, a substrate support positioned within the chamber processing region, and a heat exchange device in thermal communication with the substrate support. The ruthenium 4 oxide generation system forms a first vessel having one or more walls, a collection region in fluid communication with the treatment chamber, and one or more walls forming a first treatment region to include a solvent mixture containing ruthenium 4 oxide. And a second container having the above walls, a first container, and a fluid pump in fluid communication with the second container. The fluid pump allows a constant amount of solvent mixture to be delivered from the first vessel to the collection region of the second vessel and includes a heat exchange device in thermal communication with the collection region.

또 다른 실시예에서, 기판의 표면에 촉매 층을 증착하기 위한 장치가 제공되며, 상기 장치는 용매 및 루테늄 4 산화물을 포함하는 유체가 들어 있는, 제한 영역을 형성하는 하나 또는 그 이상의 벽을 가지는 용기, 및 하나 또는 그 이상의 가스 소스를 포함하는 루테늄 4 산화물 발생 시스템을 포함한다. 하나 또는 그 이상의 가스 소스는 제한 영역과 유체 소통된다. 상기 장치는 챔버 처리 영역을 형성하는 하나 또는 그 이상의 벽, 챔버 처리 영역 내에 위치 설정되는 기판 지지부, 및 기판 지지부와 열 소통되는 열 교환 장치를 포함하는, 처리 챔버를 더 포함한다. 상기 장치는 용기의 제한 영역 및 처리 챔버의 챔버 처리 영역과 유체 소통되는 유체 전달 라인을 더 포함한다.In another embodiment, an apparatus is provided for depositing a catalyst layer on a surface of a substrate, the apparatus having one or more walls forming a confined region containing a fluid comprising a solvent and ruthenium 4 oxide. And a ruthenium 4 oxide generation system comprising one or more gas sources. One or more gas sources are in fluid communication with the confined region. The apparatus further includes a processing chamber comprising one or more walls forming a chamber processing region, a substrate support positioned within the chamber processing region, and a heat exchange device in thermal communication with the substrate support. The apparatus further includes a fluid delivery line in fluid communication with the confinement region of the vessel and the chamber treatment region of the treatment chamber.

본 발명의 상술된 특징이 상세하게 이해될 수 있도록, 위에서 간단히 설명된 본 발명의 더욱 특별한 설명이 실시예들을 참조할 수 있으며, 이 실시예들 중 일부는 첨부된 도면에 도시되어 있다. 그러나, 첨부된 도면은 단지 본 발명의 통상적인 실시예들을 도시하며 따라서 본 발명의 범위를 제한하는 것으로 고려되지 않으며, 다른 균등한 효과의 실시예들이 인정될 수 있다.BRIEF DESCRIPTION OF THE DRAWINGS In order that the above-described features of the present invention may be understood in detail, reference may be made to the more specific description of the invention briefly described above, some of which are illustrated in the accompanying drawings. However, the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, as other equally effective embodiments may be appreciated.

도 1a는 본 발명의 일 실시예에 따른 공정 순서를 도시하며,1A shows a process sequence according to an embodiment of the present invention,

도 1b는 본 발명의 일 실시예에 따른 또 다른 공정 순서를 도시하며,1B illustrates another process sequence according to an embodiment of the present invention,

도 2a 내지 도 2d는 본 발명의 공정에 의해 형성된 집적 회로 제조 순서의 개략적인 단면도이며,2A-2D are schematic cross-sectional views of an integrated circuit fabrication sequence formed by the process of the present invention,

도 3a 내지 도 3d는 본 발명의 또 다른 공정에 의해 형성된 집적 회로 제조 순서의 개략적인 단면도이며,3A-3D are schematic cross-sectional views of an integrated circuit fabrication sequence formed by another process of the present invention,

도 4는 본 발명의 일 실시예를 수행하기 위해 적용될 수 있는 증착 챔버의 단면도이며,4 is a cross-sectional view of a deposition chamber that may be applied to perform one embodiment of the present invention,

도 5는 본 발명의 일 실시예에 따른 또 다른 공정 순서를 도시하며,5 shows yet another process sequence according to an embodiment of the present invention,

도 6a 내지 도 6c는 본 명세서의 일 실시예를 수행할 수 있는 처리 챔버의 단면도이며,6A through 6C are cross-sectional views of processing chambers that may perform one embodiment of the present specification;

도 7은 본 발명의 일 실시예에 따른 또 다른 공정 순서를 도시하며,7 shows another process sequence according to an embodiment of the present invention,

도 8은 본 발명에서 유용하게 이용할 수 있으며 반도체 처리를 위해 이용되는 클러스터 툴의 평면도이며,8 is a plan view of a cluster tool usefully used in the present invention and used for semiconductor processing,

도 9는 본 발명의 일 실시예에 따른 또 다른 공정 순서를 도시하며,9 shows yet another process sequence according to an embodiment of the present invention,

도 10a는 본 발명의 일 실시예에 따른 또 다른 공정 순서를 도시하며,10A illustrates another process sequence according to an embodiment of the present invention,

도 10b는 본 발명의 일 실시예에 따른 또 다른 공정 순서를 도시하며,10B illustrates another process sequence according to an embodiment of the present invention,

도 10c는 본 발명의 일 실시예를 수행할 수 있는 공정 용기의 단면도이며,10C is a cross-sectional view of a process vessel in which one embodiment of the present invention may be performed,

도 11은 본 발명의 일 실시예를 수행할 수 있는 증착 챔버의 단면도이다.11 is a cross sectional view of a deposition chamber in which an embodiment of the present invention may be performed.

기판에 루테늄 함유 층을 증착하기 위한 방법 및 장치가 일반적으로 공개된다. 본 명세서에서 공개된 방법 및 장치는 기판 또는 웨이퍼의 표면상에 형성되는 전자 장치를 제조하기에 특히 유용하다. 일반적으로, 상기 방법은 기판 표면 상에 촉매 층을 형성하도록 루테늄 4 산화물(ruthenium tetroxide)에 기판 표면을 노출시키는 단계 및 그리고나서 무전해, 전기 도금, 물리적 증착(PVD), 화학적 증착(CVD), 플라즈마 강화 CVD(PE-CVD), 원자층 증착(ALD), 또는 플라즈마 강화 ALD(PE-ALD) 공정에 의해 장치 구조를 충전한다. 하나의 양상에서, 촉매 층은 전 및 후속 증착 층 사이의 접착을 증진할 수 있는 층으로서 작용하고, 배리어 층으로 작용하고, 또는 후속하는 PVD, CVD, PE-CVD, ALD, PE-ALD, 무전해, 및/또는 전해 증착 공정을 증진하기 위한 층으로 작용하도록 하는 루테늄 함유 층이다. 전기 이동 때문에, 장치 차단(isolation) 및 다른 장치 프로세싱은 방법에 관련되고 장치는 기판의 노출면에 강하게 본딩딜 수 있는 루테늄 함유 층을 증착할 수 있는 것으로 설명되어 있다.Methods and apparatus for depositing ruthenium containing layers on substrates are generally disclosed. The methods and devices disclosed herein are particularly useful for making electronic devices formed on the surface of a substrate or wafer. Generally, the method comprises exposing the substrate surface to ruthenium tetroxide to form a catalyst layer on the substrate surface and then electroless, electroplating, physical vapor deposition (PVD), chemical vapor deposition (CVD), The device structure is filled by a plasma enhanced CVD (PE-CVD), atomic layer deposition (ALD), or plasma enhanced ALD (PE-ALD) process. In one aspect, the catalyst layer acts as a layer capable of promoting adhesion between the previous and subsequent deposition layers, acts as a barrier layer, or subsequent PVD, CVD, PE-CVD, ALD, PE-ALD, electroless Ruthenium-containing layers that serve to act as layers to enhance dissolution, and / or electrolytic deposition processes. Because of the electrophoresis, device isolation and other device processing are related to the method and it has been described that the device can deposit a ruthenium containing layer that can be strongly bonded to the exposed surface of the substrate.

본 명세서에서 이용되는 "원자 층 증착(ALD)" 또는 "주기적 증착(cyclical deposition)"은 기판 표면상에 재료의 층을 증착하기 위한 두 개 또는 그 이상의 반응 화합물의 순서적 도입을 지칭한다. 두 개, 세 개 또는 그 이상의 반응 화합물은 선택적으로 처리 챔버의 반응 영역으로 도입될 수 있다. 통상적으로, 각각의 반응 화합물은 시간 지연에 의해 분리되어 각각의 화합물이 기판 펴면 상에 부착 및/또는 반응하는 것을 허용한다. 하나의 양상에서, 제 1 전구체 또는 화합물(A)은 제 1 시간 지연에 의해 후속되는 반응 영역으로 펄스(pulse)를 보낸다. 다음으 로, 제 2 전구체 또는 화합물(B)은 제 2 지연에 의해 후속되는 반응 영역으로 펄스를 보낸다. 각각의 시간 지연 동안, 질소와 같은 퍼지 가스가 처리 챔버 내로 도입되어 반응 영역을 퍼지하도록 하거나 그렇지 않은 경우 반응 영역으로부터 소정의 잔여 반응성 화합물 또는 부산물을 제거한다. 이와 달리, 퍼지 가스는 증착 공정을 통하여 연속적로 유동할 수 있어 반응성 화합물의 펄스들 사이이의 시간 지연 동안 퍼지 가스가 단지 유동하도록 한다. 이와 달리 목표 필름 또는 필름 두께가 기판 표면 상에 형성될 때까지 반응성 화합물은 선택적으로 펄스를 보낸다. 다른 면에서, 퍼지 가스, 펄스형 화합물(pulsed compound)의 ALD 공정은 하나의 사이클이다. 사이클은 화합물(A) 또는 화합물(B) 중 어느 하나로 시작될 수 있어 목표 두께로 필름을 달성할 때까지 사이클의 각각의 순서가 계속된다.As used herein, “atomic layer deposition (ALD)” or “cyclical deposition” refers to the orderly introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. Two, three or more reactive compounds may optionally be introduced into the reaction zone of the treatment chamber. Typically, each reaction compound is separated by a time delay to allow each compound to adhere and / or react on the substrate spreading surface. In one aspect, the first precursor or compound (A) pulses into the reaction region followed by a first time delay. Next, the second precursor or compound (B) pulses into the reaction zone followed by the second delay. During each time delay, a purge gas, such as nitrogen, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or byproduct from the reaction zone. Alternatively, the purge gas can flow continuously through the deposition process, allowing only the purge gas to flow during the time delay between the pulses of the reactive compound. In contrast, the reactive compound selectively pulses until a target film or film thickness is formed on the substrate surface. In another aspect, the ALD process of purge gas, pulsed compound, is one cycle. The cycle may begin with either Compound (A) or Compound (B) so that each sequence of cycles continues until a film is achieved at the target thickness.

본 명세서에서 사용된 "기판 표면"은 필름 처리가 수행되는 기판 상에 형성된 소정의 기판 또는 재료 표면을 지칭한다. 예를 들면, 프로세싱이 수행될 수 있는 기판 표면은 단결정, 다결정 또는 비정질 실리콘, 스트레인드 실리콘(strained silicon), 실리콘 온 인슐레이터(SOI), 도핑 실리콘, 실리콘 게르마늄, 게르마늄, 갈륨 비소화물, 유리, 사파이어, 실리콘 산화물, 실리콘 질화물, 실리콘 옥시니트라이드, 플루오르-도핑 실리콘 유리(FSG), 및/또는 SiOxCy와 같은 탄소 도핑 실리콘 산화물, 예를 들면, 미국 캘리포니아 산타 클라라에 소재한 어플라이드 머티리얼스, 아이엔씨.로부터 입수가능한 블랙 다이아몬드(BLACK DIAMOND)(등록상표) 낮은 k 유전체와 같은 재료를 포함한다. 기판은 200 mm 또는 300 mm 직경 웨이퍼와 같은 다양한 크기 뿐만 아니라 직사각형 또는 정사각형 페인(pane)을 가질 수 있다. 본 명세서에서 설명되는 공정들의 실시예는 다수의 기판 및 표면, 특히 배리어 층에 금속 함유 층을 증착한다. 유용할 수 있는 본 발명의 실시예의 기판은 결정 실리콘(예를 들면, Si<100>, Si<111>), 실리콘 산화물, 스트레인드 실리콘, 실리콘 게르마늄, 도핑(doped) 또는 언도핑(undoped) 폴리실리콘, 도핑 또는 언도핑 실리콘 웨이퍼, 또는 패턴형 또는 비패턴형 웨이퍼와 같은 반도체 웨이퍼를 포함하지만, 이에 제한되는 것은 아니다. 예를 들면, 평판 디스플레이 및 다른 유사한 장치를 제조하기 위해 통상적으로 이용되는 유리 또는 플라스틱으로 제조된 기판은 또한 본 명세서에서 설명된 실시예에 포함된다.As used herein, “substrate surface” refers to any substrate or material surface formed on a substrate on which film processing is performed. For example, the substrate surface on which processing can be performed may be monocrystalline, polycrystalline or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, silicon germanium, germanium, gallium arsenide, glass, sapphire , Silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicon glass (FSG), and / or carbon doped silicon oxide, such as SiO x C y , for example, Applied Materials, Santa C., California BLACK DIAMOND® available from NC. Includes materials such as low k dielectrics. The substrate can have rectangular or square panes as well as various sizes, such as 200 mm or 300 mm diameter wafers. Embodiments of the processes described herein deposit metal containing layers on multiple substrates and surfaces, particularly barrier layers. Substrates of embodiments of the invention that may be useful are crystalline silicon (eg, Si <100>, Si <111>), silicon oxide, strained silicon, silicon germanium, doped or undoped poly. Semiconductor wafers such as, but not limited to, silicon, doped or undoped silicon wafers, or patterned or unpatterned wafers. For example, substrates made of glass or plastic commonly used to make flat panel displays and other similar devices are also included in the embodiments described herein.

본 명세서에서 이용되는 "펄스(pulse)"는 처리 챔버의 반응 영역으로 간헐적으로 또는 비 연속적으로 도입되는 특별한 화합물의 양을 지칭하는 것으로 의도된다. 각각의 펄스 내의 특별한 화합물의 양은 펄스의 지속에 따라 시간에 걸쳐 변화한다. 각각의 펄스의 지속은 예를 들면 적용되는 공정 챔버, 이에 결합되는 진공 시스템의 용적 용량, 및 특별한 화합물 자체의 휘발성/반응성과 같은 다수의 팩터에 따라 가변된다. 본 명세서에서 이용되는 "반쪽-반응(half-reaction)"은 퍼지 단계가 후속하는 전구체의 펄스를 지칭한다.As used herein, "pulse" is intended to refer to the amount of a particular compound that is introduced intermittently or discontinuously into the reaction zone of the processing chamber. The amount of a particular compound in each pulse varies over time with the duration of the pulse. The duration of each pulse varies depending on a number of factors such as, for example, the process chamber applied, the volumetric capacity of the vacuum system coupled thereto, and the volatility / reactivity of the particular compound itself. As used herein, “half-reaction” refers to a pulse of precursor followed by a purge step.

일반적으로, 본 명세서에서 설명되는 방법 및 장치는 루테늄 4 산화물 함유 가스의 이용에 의해 기판 표면상에 형성된 장치 피쳐(feature) 상에 루테늄 함유 층을 선택적으로 또는 비 선택적으로 증착하도록 한다. 기판의 표면상의 루테늄 함유 층의 선택적 또는 비-선택적 증착은 루테늄 4 산화물 함유 가스에 노출되는 표면의 타입 및 온도에 상당히 종속된다. 목표 온도 아래, 예를 들면 약 180℃로 기판의 온도를 제어함으로써, 루테늄 층은 소정의 타입의 표면 상에 선택적으로 증착된다. 더 높은 온도에서, 예를 들면, 180℃ 보다 큰 온도에서, 루테늄 4 산화물 함유 가스로부터의 루테늄 증착 공정은 매우 적게 선택되어 블랭킷 필름(blanket film)이 모든 타입의 표면상에 증착하도록 한다.In general, the methods and apparatus described herein allow for the selective or non-selective deposition of a ruthenium containing layer on device features formed on a substrate surface by the use of a ruthenium 4 oxide containing gas. The selective or non-selective deposition of a ruthenium containing layer on the surface of the substrate is highly dependent on the type and temperature of the surface exposed to the ruthenium 4 oxide containing gas. By controlling the temperature of the substrate below the target temperature, for example about 180 ° C., the ruthenium layer is selectively deposited on the surface of the desired type. At higher temperatures, for example, above 180 ° C., the ruthenium deposition process from the ruthenium 4 oxide containing gas is very small, allowing blanket films to be deposited on all types of surfaces.

하나의 양태에서, 루테늄 함유 층의 증착은 기판의 표면 상의 후속하는 층의 충전 및 부착을 증진하기 위해 이용된다. 또 다른 양태에서, 기판의 표면 상에 증착된 루테늄 코팅 층의 특성은 기판의 표면 상에 형성된 장치의 요구에 맞추기 위해 특별히 형성된다. 통상적으로 바람직한 특성은 기판의 표면 상에 결정 또는 비정질 금속 루테늄 층의 형성을 포함하여 형성된 층이 배리어 층, 후속하는 무전해 또는 전기 도금 공정을 위한 촉매 층으로서 작용할 수 있거나, 목표 장치 피쳐를 충전할 수 있다. 루테늄 함유 층의 또 다른 바람직한 특성은 예를 들면 무전해 및/또는 전기 도금 층의 선택적인 바닥 상부 성장을 증진하고 또는 다양한 마이크로-전자-기계 시스템(MEMS) 장치를 형성하기 위해 이용되는 압전 재료(예를 들면, PZT) 또는 강유전체 산화물(예를 들면, BST)과 양립할 수 있는 전극을 형성하기 위하여 기판의 표면상에 루테늄 이산화물 층(RuO2)의 형성이다.In one embodiment, the deposition of the ruthenium containing layer is used to promote the filling and adhesion of subsequent layers on the surface of the substrate. In another aspect, the properties of the ruthenium coating layer deposited on the surface of the substrate are specifically formed to meet the needs of devices formed on the surface of the substrate. Typically desirable properties include the formation of a crystalline or amorphous metal ruthenium layer on the surface of the substrate so that the formed layer can act as a barrier layer, a catalyst layer for subsequent electroless or electroplating processes, or fill a target device feature. Can be. Still other desirable properties of the ruthenium containing layer include piezoelectric materials used to promote selective bottom top growth of the electroless and / or electroplating layers or to form various micro-electro-mechanical system (MEMS) devices, for example. For example, the formation of a ruthenium dioxide layer (RuO 2 ) on the surface of a substrate to form an electrode compatible with PZT) or ferroelectric oxide (eg, BST).

A. A. 배리어Barrier 층 증착 공정 Layer deposition process

하나의 양태에서, 루테늄 함유 층은 배리어 층을 루테늄 함유 가스에 노출시킴으로써 기판 표면 상의 배리어 층 상에 증착되어, 전도성 층이 루테늄 함유 층 상에 증착될 수 있다. 바람직하게는, 배리어 층(예를 들면, 탄탈 질화물)은 ALD 공정에 의해 증착되지만, 또한 PVD, CVD, 또는 다른 종래의 증착 공정에 의해 증착될 수도 있다.In one embodiment, the ruthenium containing layer may be deposited on the barrier layer on the substrate surface by exposing the barrier layer to a ruthenium containing gas such that a conductive layer may be deposited on the ruthenium containing layer. Preferably, the barrier layer (eg tantalum nitride) is deposited by an ALD process, but may also be deposited by PVD, CVD, or other conventional deposition process.

도 1a는 집적 회로를 제조하기 위해 본 명세서에서 설명되는 일 실시예에 따른 공정을 보여준다. 공정(100)은 단계(102 내지 106)를 포함하며, 단계(102) 동안, 금속 함유 배리어 층은 기판 표면 상에 증착된다. 단계(104)에서, 배리어 층은 루테늄 함유 가스에 노출되며 기판은 루테늄 함유 층을 증착하기 위하여 목표 처리 온도로 유지된다. 그 후, 전도성 층은 단계(106) 동안 촉매 층에 증착된다.1A shows a process according to one embodiment described herein to fabricate an integrated circuit. Process 100 includes steps 102-106, during which step a metal containing barrier layer is deposited on the substrate surface. In step 104, the barrier layer is exposed to a ruthenium containing gas and the substrate is maintained at a target processing temperature to deposit the ruthenium containing layer. Thereafter, a conductive layer is deposited on the catalyst layer during step 106.

공정(100)은 도 2a 내지 도 2d에 대응하며, 이는 본 발명의 일 실시예에 따라 구체화된 상이한 단계의 연접 제조 순서에서 전자 장치를 개략적인 단면도로 도시한다. 도 2a는 기판(200)의 표면 상에 유전체 층(201)으로 형성되는 비아 또는 통공(202)을 가지는 기판(200)의 단면도이다. 기판(200)은 예를 들면, 실리콘, 게르마늄, 실리콘 게르마늄과 같은 반도체 재료를 포함할 수 있다. 유전체 층(201)은 실리콘 이산화물, 실리콘 질화물, FSG, 및/또는 SiOxCy 예를 들면, 미국 캘리포니아 산타 클라라에 소재한 어플라이드 머티리얼스, 아이엔씨.로부터 입수가능한 블랙 다이아몬드(BLACK DIAMOND)(등록상표) 낮은 k 유전체와 같은 탄소 도핑 실리콘 산화물과 같은 절연 재료일 수 있다. 통공(202)은 콘택 층(203)을 노출시키기 위해 종래의 석판 인쇄 및 에칭 기술을 이용하여 기판(200) 내에 형성될 수 있다. 콘택 층(203)은 도핑 실리콘, 구리, 텅스텐, 텅스텐 실리사이드, 알루미늄 또는 이들의 합금을 포함할 수 있다.Process 100 corresponds to FIGS. 2A-2D, which illustrate, in schematic cross-sectional view, an electronic device in a different stage of contiguous fabrication sequence embodied in accordance with one embodiment of the present invention. 2A is a cross-sectional view of a substrate 200 having vias or through holes 202 formed on the surface of the substrate 200 as a dielectric layer 201. The substrate 200 may include a semiconductor material such as, for example, silicon, germanium, or silicon germanium. Dielectric layer 201 is silicon dioxide, silicon nitride, FSG, and / or SiO x C y , for example, BLACK DIAMOND® available from Applied Materials, Inc., Santa Clara, CA. ) May be an insulating material such as carbon doped silicon oxide, such as a low k dielectric. The through hole 202 may be formed in the substrate 200 using conventional lithography and etching techniques to expose the contact layer 203. The contact layer 203 may comprise doped silicon, copper, tungsten, tungsten silicide, aluminum or alloys thereof.

배리어Barrier 층 형성 Layer formation

배리어 층(204)은 도 2b에 도시된 바와 같이, 유전체 층(201) 상 및 통공(202) 내에 형성될 수 있다. 배리어 층(204)은 예를 들면, 탄탈, 탄탈 질화물, 탄탈 실리콘 질화물, 티타늄, 티타늄 질화물, 티타늄 실리콘 질화물, 텅스텐 질화물, 실리콘 질화물, 실리콘 카바이드, 이들의 유도체, 이들의 합금, 및 이들의 조합체와 같은 하나 또는 그 이상의 배리어 재료를 포함할 수 있다. 배리어 층(204)은 ALD, 화학적 증착(CVD), 물리적 증착(PVD), 또는 이들의 조합을 포함하는 적절한 증착 공정을 이용하여 형성될 수 있다. 예를 들면, 탄탈 질화물 배리어 층은 CVD 공정 또는 ALD 공정을 이용하여 증착될 수 있으며, 탄탈 함유 화합물 또는 질소 전구체(예를 들면, PDMAT) 및 질소 함유 화합물 또는 탄탈 전구체(예를 들면, 암모니아)가 반응한다. 또 다른 예에서, 탄탈 및/또는 탄탈 질화물은 2002년 10월 25일에 출원되고 발명의 명칭이 "원자층 증착을 위한 가스 전달 장치(Gas Delivery Apparatus for Atomic Layer Deposition)"이고 일반 양도되고 US2003-0121608로서 발행된 US 제 10/281,079호에서 설명된 바와 같은 ALD 공정에 의해 배리어 층(204)으로서 증착된다. 일 실시예에서, Ta/TaN 이중층은 배리어 층(204)으로서 증착될 수 있으며, 탄탈 층 및 탄탈 질화물 층은 ALD, CVD, 및/또는 PVD 공정에 의해 독립적으로 증착된다. 배리어 층 또는 또 다른 층으로서 하나의 재료 또는 다중 재료를 증착하기 위한 공정의 추가 공개는 2002년 1월 17일에 출원되고 발명의 명칭이 " Cu 적용을 위한 확실한 배리어 통합(Reliability Barrier Integration for Cu Application) "이고 일반 양도되고 US 2002-0060363호로서 발행된 US 제 10/052,681호, 2002년 1월 18일에 출원되고 발명의 명칭이 " 고 성능 연접을 위한 초미세 배리어 층을 구비한 강화된 구리 성장(Enhanced Copper Growth with Ultrathin Barrier Layer for High Performance Interconnects)"이고 일반 양도되고 US 2003-0082301호로서 발행된 US 제 10/199,415호, 및 2004년 6월 10일에 출원되고 발명의 명칭이 " 구리 금속화를 위한 ALD 탄탈 질화물의 통합(Integration of ALD Tantalum Nitride for Copper Metallization) "이고 일반 양도되고 US 2005-0106865호로서 발행된 US 제 10/865,042호에 기재되어 있으며, 이들은 본 명세서에서 전체적으로 참조된다.The barrier layer 204 may be formed on the dielectric layer 201 and in the through hole 202, as shown in FIG. 2B. The barrier layer 204 may be formed of, for example, tantalum, tantalum nitride, tantalum silicon nitride, titanium, titanium nitride, titanium silicon nitride, tungsten nitride, silicon nitride, silicon carbide, derivatives thereof, alloys thereof, and combinations thereof. It may comprise the same one or more barrier materials. Barrier layer 204 may be formed using a suitable deposition process including ALD, chemical vapor deposition (CVD), physical vapor deposition (PVD), or a combination thereof. For example, a tantalum nitride barrier layer can be deposited using a CVD process or an ALD process, in which tantalum containing compounds or nitrogen precursors (eg PDMAT) and nitrogen containing compounds or tantalum precursors (eg ammonia) Respond. In another example, tantalum and / or tantalum nitride is filed Oct. 25, 2002 and is entitled "Gas Delivery Apparatus for Atomic Layer Deposition" and is commonly assigned and US2003- Deposited as barrier layer 204 by an ALD process as described in US Pat. No. 10 / 281,079 issued as 0121608. In one embodiment, the Ta / TaN bilayer can be deposited as barrier layer 204, wherein the tantalum layer and tantalum nitride layer are deposited independently by ALD, CVD, and / or PVD processes. A further publication of the process for depositing one material or multiple materials as a barrier layer or another is filed on January 17, 2002 and entitled “Reliability Barrier Integration for Cu Application. Reinforced copper with an ultrafine barrier layer for high performance splicing, US 10 / 052,681, filed Jan. 18, 2002, issued commonly as US 2002-0060363, Enhanced Copper Growth with Ultrathin Barrier Layer for High Performance Interconnect, US 10 / 199,415, issued commonly as US 2003-0082301, and filed on June 10, 2004, entitled “Copper Integration of ALD Tantalum Nitride for Copper Metallization "and described in US Pat. No. 10 / 865,042, commonly assigned and issued as US 2005-0106865, which are described herein. Reference is entirely in the processor.

일반적으로, 배리어 층(204)은 약 5Å 내지 약 150Å, 바람직하게는, 약 20Å과 같은, 약 5Å 내지 약 50Å의 범위의 필름 두께로 장착된다. 일 예에서, 배리어 층(204)은 약 50Å 이하, 바람직하게는 약 20Å이하의 측벽 피복성(coverage)으로 통공(202) 상에 증착된다. 탄탈 질화물을 함유하는 배리어 층(204)은 약 20Å 이하의 두께로 증착될 수 있으며 이는 구리와 같은 후속적인 증착 금속의 확산을 방지하기 위한 배리어로서 적용시 충분한 두께가 된다.Generally, barrier layer 204 is mounted with a film thickness in the range of about 5 kPa to about 50 kPa, such as about 5 kPa to about 150 kPa. In one example, barrier layer 204 is deposited on aperture 202 with sidewall coverage of about 50 GPa or less, preferably about 20 GPa or less. Barrier layer 204 containing tantalum nitride may be deposited to a thickness of about 20 GPa or less, which is sufficient thickness when applied as a barrier to prevent the diffusion of subsequent deposited metals such as copper.

배리어 층을 형성하기 위한 증착 공정 동안 유용한 탄탈 함유 화합물의 예는 펜타키스(pentakis)(디메틸아미노) 탄탈 (PDMAT 또는 Ta[NMe2]5), 펜타키스(에틸메틸아미노)탄탈(PEMAT 또는 Ta[N(Et)Me]5), 펜타키스(디에틸아미노)탄탈(PDEAT 또는 Ta(NEt2)5), 터시어리부틸미노(tertiarybutylimino)-트리스(tris)(디메틸아미노) 탄 탈 (TBTDMT 또는 (tBuN)Ta(NMe2)3), 터시어리부틸미노-트리스(디에틸아미노) 탄탈 (TBTDET 또는 (tBuN)Ta(NEt2)3), 터시어리부틸미노-트리스(에틸메틸아미노) 탄탈 (TBTEAT 또는 (tBuN)Ta[N(Et)Me)3), 터시어리아밀리미도(tertiaryamylimido)-트리스(디메틸아미도) 탄탈 (TAIMATA 또는 (tAmylN)Ta(NMe2)3)와 같은 전구체를 포함하지만 이에 제한되는 것은 아니며, 여기에서 tAmyl은 터시어리아밀 그룹(C5H11- 또는 CH3CH2C(CH3)2-), 터시어리아밀리미도-트리스(디에틸아미도) 탄탈 (TAIEATA 또는 (tAmylN)Ta(NEt2)3, 터시어리아밀리미도-트리스(에틸메틸아미도) 탄탈 (TAIMATA 또는 (tAmylN)Ta([N(Et)Me]3), TaF5 또는 TaCl5와 같은 탄탈 할로겐화물, 이들의 유도체, 또는 이들의 조합물이다. 배리어 층을 형성하기 위한 증착 공정 동안 질소 함유 화합물의 예는 암모니아(NH3), 히드라진(N2H4), 메틸히드라진(Me(H)NNH2), 디메틸히드라진(Me2NNH2 또는 Me(H)NN(H)Me), 터시어리부틸히드라진(tBu(H)NNH2), 페닐히드라진(C6H5(H)NNH2), 질소 플라즈마 소스(예를 들면, N, N2, N2/H2, NH3, 또는 N2H4 플라즈마), 2,2'-아조터트부탄(azoterbutane)(tBuNNtBu), 에틸 아지드(EtN3), 트리메 틸실리 아지드(Me3SiN3)와 같은 아지드 소스(azide source), 이들의 플라즈마, 이들의 유도체 또는 이들의 조합물을 포함하지만, 이에 제한되는 것은 아니다.Examples of tantalum containing compounds useful during the deposition process to form the barrier layer include pentakis (dimethylamino) tantalum (PDMAT or Ta [NMe 2 ] 5 ), pentakis (ethylmethylamino) tantalum (PEMAT or Ta [ N (Et) Me] 5 ), pentakis (diethylamino) tantalum (PDEAT or Ta (NEt 2 ) 5 ), tertiarybutylimino-tris (dimethylamino) tantalum (TBTDMT or ( t BuN) Ta (NMe 2 ) 3 ), tertiarybutylmino-tris (diethylamino) tantalum (TBTDET or ( t BuN) Ta (NEt 2 ) 3 ), tertiarybutylmino-tris (ethylmethylamino) tantalum (TBTEAT or ( t BuN) Ta (N (Et) Me) 3 ), such as tertiaryamylimido-tris (dimethylamido) tantalum (TAIMATA or ( t AmylN) Ta (NMe 2 ) 3 ) Including but not limited to precursors, where t Amyl is the tersiaryamil group (C 5 H 11 -or CH 3 CH 2 C (CH 3 ) 2- ), tersiarymylimido-tris (diethyla Mido) tantalum (TAIEATA or ( t AmylN) Ta (NEt 2 ) 3 , tercyriamilido-tris (ethylmethylamido) tantalum (TAIMATA or ( t AmylN) Ta ([N (Et) Me] 3 ), Such as TaF 5 or TaCl 5 Tantalum halides, derivatives thereof, or combinations thereof. Examples of nitrogen-containing compounds during the deposition process to form the barrier layer include ammonia (NH 3 ), hydrazine (N 2 H 4 ), methylhydrazine (Me (H) NNH 2 ), dimethylhydrazine (Me 2 NNH 2 Or Me (H) NN (H) Me), tertiarybutylhydrazine ( t Bu (H) NNH 2 ), phenylhydrazine (C 6 H 5 (H) NNH 2 ), nitrogen plasma sources (eg, N, N 2 , N 2 / H 2 , NH 3 , or N 2 H 4 plasma), 2,2'-azotebutane ( t BuNN t Bu), ethyl azide (EtN 3 ), trimethylsilly Azide sources such as azide (Me 3 SiN 3 ), plasmas thereof, derivatives thereof, or combinations thereof.

탄탈 질화물을 포함하는 배리어 층(204)은 질소 함유 화합물의 단층이 후속하는 기판 상의 탄탈 함유 화합물의 단층의 흡수로 시작하는 ALD 공정에 의해 증착될 수 있다. 대안적으로, ALD 공정은 탄탈 함유 화합물의 단층이 후속하는 기판 상의 질소 함유 화합물의 단층의 흡수로 시작할 수 있다. 더욱이, 공정 챔버는 반응 가스의 펄스들 사이에서 통상적으로 비워질 수 있다.Barrier layer 204 comprising tantalum nitride may be deposited by an ALD process in which a monolayer of nitrogen containing compound begins with the absorption of a monolayer of tantalum containing compound on a subsequent substrate. Alternatively, the ALD process may begin with the absorption of a monolayer of nitrogen containing compound on a substrate followed by a monolayer of tantalum containing compound. Moreover, the process chamber can typically be emptied between pulses of reactant gas.

촉매 층 형성Catalyst layer formation

단계(104)에서, 촉매 층(206)은 도 2d에 도시된 바와 같이 배리어 층(204)에 증착된다. 촉매 층(206)은 루테늄 함유 층을 형성하도록 루테늄 함유 가스에 배리어 층(204)을 노출시킴으로써 형성된다. 배리어 층(204)은 루테늄을 형성하는 배리어 층(204) 상에 촉매 층(206)을 형성하도록 루테늄 함유 가스를 화학적으로 감소한다. 루테늄 함유 가스를 형성하고 루테늄 함유 층을 증착하는 공정은 도 4 내지 도 7을 참조하여 아래 추가로 설명된다. 하나의 양태에서, 촉매 층은 거의 원자 층 내지 약 100Å 범위, 바람직하게는, 약 2Å 내지 약 20Å의 범위의 두께로 증착될 수 있다.In step 104, a catalyst layer 206 is deposited on the barrier layer 204 as shown in FIG. 2D. The catalyst layer 206 is formed by exposing the barrier layer 204 to a ruthenium containing gas to form a ruthenium containing layer. The barrier layer 204 chemically reduces the ruthenium containing gas to form the catalyst layer 206 on the barrier layer 204 forming ruthenium. The process of forming the ruthenium containing gas and depositing the ruthenium containing layer is further described below with reference to FIGS. 4 to 7. In one embodiment, the catalyst layer can be deposited to a thickness in the range of approximately atomic ranges to about 100 ms, preferably in the range of about 2 ms to about 20 ms.

전도성 층 형성Conductive layer formation

공정(100)은 촉매 층(206) 상에 전도성 층을 증착하기 위해 단계(106)를 더 포함한다. 도 2F에서, 벌크 층(bulk layer; 220)은 촉매 층(206) 상에 증착된다. 벌크 층(220)은 ALD, CVD, PVD, 또는 구리 전기 도금을 하는 이들의 조합과 같은 무전해 구리 공정만을 이용하여 증착되는 구리 또는 구리 합금으로 이루어질 수 있다. 벌크 층(220)은 약 100Å 내지 약 10,000Å 범위의 두께를 가질 수 있다. 일 예에서, 벌크 층(220)은 구리를 포함할 수 있으며 무전해 도금 공정에 의해 증착된다.Process 100 further includes step 106 for depositing a conductive layer on catalyst layer 206. In FIG. 2F, a bulk layer 220 is deposited on the catalyst layer 206. Bulk layer 220 may be made of copper or copper alloy deposited using only electroless copper processes such as ALD, CVD, PVD, or combinations thereof with copper electroplating. Bulk layer 220 may have a thickness in a range from about 100 mm 3 to about 10,000 mm 3. In one example, bulk layer 220 may comprise copper and is deposited by an electroless plating process.

전기 도금 공정은 또한 개별 전기 도금 챔버에서 완료된다. 전기 도금 증착 공정을 수행하기 위해 이용될 수 있는 하나의 방법, 장치, 및 시스템은 일반 양도되고 발명의 명칭이 "전기화학적 처리 셀(Electrochemical Processing Cell)"이고 2002년 10월 9일에 출원되고 US 제2004-0016636호 및 US 특허 제 6,258,220호로서 발생된 US 제 10/268/284호에 추가로 설명되어 있으며, 이들은 본 명세서에서 전체적으로 참조되지만 본 명세서의 청구항 양태 및 설명과 불일치하지 않을 정도로 참조된다.The electroplating process is also completed in a separate electroplating chamber. One method, apparatus, and system that can be used to perform an electroplating deposition process is commonly assigned and is entitled "Electrochemical Processing Cell" and filed Oct. 9, 2002, US Pat. Further described in US Pat. No. 10/268/284, issued as 2004-0016636 and US Pat. No. 6,258,220, which are incorporated herein by reference in their entirety but not to the contrary with the claims and descriptions herein. .

B. 유전체 증착 공정B. Dielectric Deposition Process

본 발명의 또 다른 양태에서, 루테늄 함유 층은 기판 표면에 촉매 층을 형성하도록 유전체 층 상에 직접 증착되어, 전도성 층이 촉매 층 상에 증착될 수 있다.In another aspect of the invention, the ruthenium containing layer may be deposited directly on the dielectric layer to form a catalyst layer on the substrate surface, such that a conductive layer may be deposited on the catalyst layer.

도 1b는 직접 회로를 제조하기 위해 본 명세서에 설명된 일 실시예에 따른 공정(300)이 도시되어 있다. 공정(300)은 단계(304 내지 306)를 포함하며, 여기에서 촉매 층은 도 3a 내지 도 3E에 도시된 바와 같이, 유전체 표면(251A) 및 콘택 표면(251B) 상에 직접 증착된다. 도 3a 내지 도 3d는 본 발명의 하나 이상의 실시예와 관련되는, 연접 제조 순서의 상이한 단계에서 전자 장치의 개략적인 단면도를 보여준다.1B shows a process 300 according to one embodiment described herein to fabricate an integrated circuit. Process 300 includes steps 304-306, where the catalyst layer is deposited directly on dielectric surface 251A and contact surface 251B, as shown in FIGS. 3A-3E. 3A-3D show schematic cross-sectional views of an electronic device at different stages of a cascading manufacturing sequence, in connection with one or more embodiments of the present invention.

도 3a는 기판(250) 표면상의 유전체 층(251)에 형성되는 비아 또는 통공(252)을 가지는 기판(250)의 단면도이다. 하나의 양태에서, 공정(300)은 기판이 목표 공정 온도(도 3b 참조)를 유지하면서 루테늄 함유 가스에 기판(250)의 표면을 노출함으로써 단계(304) 동안 유전체 층(251) 상에 루테늄 함유 층(256)을 형성함으로써 시작한다. 후속적으로 단계(306)에서, 루테늄 함유 층(256)은 루테늄 함유 가스 내의 루테늄 성분이 기판(250)의 표면으로 본드를 형성하도록 함으로써 유전체 층(251)상에 층작된다. 그 후, 전도성 층(260)이 단계(306) 동안 루테늄 함유 층(256)상에 증착된다.3A is a cross-sectional view of a substrate 250 having vias or through holes 252 formed in the dielectric layer 251 on the surface of the substrate 250. In one embodiment, process 300 contains ruthenium on dielectric layer 251 during step 304 by exposing the surface of substrate 250 to a ruthenium containing gas while the substrate maintains a target process temperature (see FIG. 3B). Begin by forming layer 256. Subsequently at step 306, ruthenium containing layer 256 is layered on dielectric layer 251 by causing the ruthenium component in the ruthenium containing gas to bond to the surface of substrate 250. Thereafter, a conductive layer 260 is deposited on the ruthenium containing layer 256 during step 306.

유전체 층(251A)의 표면은 일반적으로 산화물 및/또는 질화물 재료를 포함하는 실리콘이다. 그러나, 유전체 층(251A)은 실리콘 이산화물, FSG, 및/또는 SiOxCy와 같은 탄소 도핑 실리콘 산화물, 예를 들면, 미국 캘리포니아 산타 클라라에 소재한 어플라이드 머티리얼스, 아이엔씨.로부터 입수가능한 블랙 다이아몬드(BLACK DIAMOND)(등록상표) 낮은-k 유전체와 같은 절연 재료를 포함할 수 있다. 콘택 표면(251B)은 하부 층의 아래 배치되는 연접부의 노출 영역이며 통상적으로, 구리, 텅스텐, 루테늄, CoWP, CoWPB, 알루미늄, 알루미늄 합금, 도핑 실리콘, 티타늄, 몰리브덴, 탄탈, 이러한 금속의 규화물, 또는 질화물과 같은 재료를 포함할 수 있다.The surface of dielectric layer 251A is typically silicon containing oxide and / or nitride materials. However, dielectric layer 251A may be silicon dioxide, FSG, and / or carbon doped silicon oxide, such as SiO x C y , such as black diamond (available from Applied Materials, Inc., Santa Clara, Calif.). BLACK DIAMOND® may comprise an insulating material, such as a low-k dielectric. Contact surface 251B is an exposed area of the junction disposed below the underlying layer and is typically copper, tungsten, ruthenium, CoWP, CoWPB, aluminum, aluminum alloy, doped silicon, titanium, molybdenum, tantalum, silicides of such metals, or And materials such as nitrides.

촉매 층 형성Catalyst layer formation

단계(304)에서, 루테늄 함유 층(256)은 루테늄 함유 가스의 인가에 의해 유 전체 층(251) 상에 증착된다. 일 예에서, 루테늄 함유 층(256)은 거의 원자 층 내지 약 100Å, 바람직하게는, 약 5Å 내지 약 50Å, 예를 들면, 약 10Å의 범위의 두께로 증착된다. 루테늄 함유 가스의 형성 및 루테늄 함유 층의 증착 공정은 도 4 내지 도 7을 참조하여 아래 추가로 설명된다. 일반적으로, 루테늄 함유 층(256)은 형성된 층이 유전체 층(251) 뿐만 아니라 후속하는, 시드 층(seed layer) 또는 벌크 층과 같은, 전도성 층에 부착되도록 증착된다.In step 304, ruthenium containing layer 256 is deposited on dielectric layer 251 by application of a ruthenium containing gas. In one example, ruthenium containing layer 256 is deposited to a thickness in the range of approximately atomic layers to about 100 microns, preferably about 5 microns to about 50 microns, for example about 10 microns. The formation of the ruthenium containing gas and the deposition process of the ruthenium containing layer are further described below with reference to FIGS. 4 to 7. In general, ruthenium containing layer 256 is deposited such that the formed layer is attached to a dielectric layer 251 as well as a subsequent conductive layer, such as a seed layer or a bulk layer.

전도성 층 형성Conductive layer formation

공정(300)은 루테늄 함유 층(256) 상에 전도성 층(260)을 증착하기 위한 단계(306)를 더 포함한다. 전도성 층(260)은 루테늄 함유 층(256)에 증착되는 시드 층(예를 들면, 얇은 금속 층(도 3d 참조)) 또는 벌크 층(예를 들면, 통공(252) 충전(도 3c 참조))을 형성할 수 있다. 시드 층은 ALD, CVD, PVD, 전기도금, 또는 무전해 공정과 같은, 종래의 증착 기술을 이용함으로써 증착된 연속 층일 수 있다. 본 명세서에서 설명되는 바와 같은 발명은 유용할 수 있으며, 이는 기판의 표면 상에 루테늄 함유 층의 증착이 전기도금된 층을 직접 증착하기 위한 시드 층일 수 있기 때문이다. 시드 층은 거의 단일 부자 층으로부터 약 20 내지 약 100 Å 범위의 두께를 가질 수 있다. 일반적으로, 시드 층은 구리 또는 구리 합금을 포함한다.Process 300 further includes a step 306 for depositing a conductive layer 260 on the ruthenium containing layer 256. Conductive layer 260 may be a seed layer (eg, a thin metal layer (see FIG. 3D)) or a bulk layer (eg, filling through hole 252 (see FIG. 3C)) deposited on ruthenium containing layer 256. Can be formed. The seed layer may be a continuous layer deposited by using conventional deposition techniques, such as ALD, CVD, PVD, electroplating, or electroless processes. The invention as described herein may be useful because the deposition of a ruthenium containing layer on the surface of the substrate may be a seed layer for directly depositing the electroplated layer. The seed layer may have a thickness in the range of about 20 to about 100 mm 3 from a nearly single rich layer. In general, the seed layer comprises copper or a copper alloy.

루테늄 4 산Ruthenium 4-acid 화물freight 형성 및 증착 장치 및 방법 Forming and Deposition Apparatus and Methods

기판 표면상에 목표 특성을 가지는 루테늄 함유 층을 증착하기 위한 공정, 예를 들면 도 1a의 단계(104) 및 도 1b 내의 단계(304)는 아래 설명되는 공정(700)에서 공정 단계(702 내지 706)를 완료함으로써 수행될 수 있다. 일반적으로, 도 1a의 공정 단계(104) 및 도 1b의 단계(304)는 루테늄 4 산화물 함유 가스를 발생시키고 온도 제어 기판 표면에 노출시킴으로써 목표 특성을 가지는 루테늄 함유 가스를 형성하도록 적용된다. 본 발명의 다양한 양태에서 설명된 바와 같이, 루테늄 함유 층을 형성하도록 기판의 표면 상에 루테늄 이산화물 또는 금속 루테늄 층을 선택적으로 또는 비선택적으로 형성하는 것이 바람직할 수 있다. 기판 표면 상에 루테늄 4 산화물을 함유하는 가스를 형성하기 위한 전형적인 장치 및 방법이 본 명세서에 설명된다.The process for depositing a ruthenium containing layer having target properties on the substrate surface, eg, step 104 of FIG. 1A and step 304 in FIG. 1B, is a process step 702-706 in the process 700 described below. Can be performed by In general, process step 104 of FIG. 1A and step 304 of FIG. 1B are applied to generate a ruthenium-containing gas having target properties by generating a ruthenium 4 oxide containing gas and exposing it to a temperature controlled substrate surface. As described in various aspects of the present invention, it may be desirable to selectively or non-selectively form a ruthenium dioxide or metal ruthenium layer on the surface of the substrate to form a ruthenium containing layer. Described herein are typical apparatus and methods for forming a gas containing ruthenium 4 oxide on a substrate surface.

도 4는 기판 표면상에 루테늄 함유 층을 발생 및 증착하기 위해 적용될 수 있는 증착 챔버(600)의 일 실시예를 도시한다. 일 실시예에서, 루테늄 함유 층은 외부 용기에 루테늄 4 산화물을 생성함하고 이어서 처리 챔버 내에 위치하는 온도 제어 기판의 표면으로 발생된 루테늄 4 산화물 가스를 전달으로써 기판 표면 상에 형성된다.4 illustrates one embodiment of a deposition chamber 600 that may be applied to generate and deposit a ruthenium containing layer on a substrate surface. In one embodiment, the ruthenium containing layer is formed on the substrate surface by producing ruthenium 4 oxide in an outer container and then delivering the generated ruthenium 4 oxide gas to the surface of the temperature control substrate located in the processing chamber.

일 실시예에서, 외부 용기에 수용되는 루테늄 소스를 가로질러 오존 함유 가스를 통과시킴으로써 루테늄 4 산화물 함유 가스가 발생 또는 형성된다. 하나의 양태에서, 루테늄 소스는 실온 근처의 온도로 유지된다. 하나의 양태에서, 루테늄 소스는 오존과 반응하는 루테늄 금속(Ru)의 양을 함유한다. 하나의 양태에서, 외부 용기에 포함되는 금속 루테늄 소스는 분말, 다공성 블록, 또는 고체 블록 형상이다.In one embodiment, a ruthenium 4 oxide containing gas is generated or formed by passing an ozone containing gas across a ruthenium source contained in an outer container. In one embodiment, the ruthenium source is maintained at a temperature near room temperature. In one embodiment, the ruthenium source contains an amount of ruthenium metal (Ru) that reacts with ozone. In one embodiment, the metal ruthenium source included in the outer container is in the form of a powder, porous block, or solid block.

또 다른 양태에서, 외부 용기에 수용되는 루테늄 소스는 나트륨 과루테늄산 염(NaRuO4), 칼륨 과루테늄산염(KRuO4), 또는 이들의 유도체와 같은 중탄산 재료의 일정한 양을 포함하며, 이들은 반응식 (1) 또는 (2)에 따라 오존과 반응하여 루테늄 4 산화물(RuO4)을 형성하며 이는 반응 상태에서 휘발하는 화합물이다.In another embodiment, the ruthenium source contained in the outer container comprises a constant amount of bicarbonate material, such as sodium perruthenate salt (NaRuO 4 ), potassium perruthenate salt (KRuO 4 ), or derivatives thereof, wherein According to 1) or (2), it reacts with ozone to form ruthenium 4 oxide (RuO 4 ), which is a compound which volatilizes in the reaction state.

2NaRuO4 + O3 → RuO4 + Na2O + O2 (1)2 NaRuO 4 + O 3 → RuO 4 + Na 2 O + O 2 (1)

2KRuO4 + O3 → RuO4 + K2O + O2 (2)2KRuO 4 + O 3 → RuO 4 + K 2 O + O 2 (2)

여기서 보여지는 재료 리스트에 제한되는 것으로 의도되지 않으며 따라서 오존 또는 다른 산화 가스에 노출시 루테늄 4 산화물 함유 가스를 형성하는 어떠한 재료도 본 발명의 기본적 범위로부터 변화되지 않고 이용될 수 있다. 외부 용기에 이용되는 다양한 루테늄 소스 재료를 형성하도록, 다양한 종래의 형성 공정이 이용될 수 있다. 과루테늄산염을 형성하기 위해 이용될 수 있는 종래 공정의 일 예는 나트륨 과산화물(Na2O2)과 금속 루테늄 분말을 혼합하고 이어서 약 500℃의 온도로 노 또는 진공 노에서 혼합물을 소결하는 것이다. 분무 열분해 타입 공정의 이용을 제안하는 일부 참고물이 과루테늄산염 재료(perruthenate material)를 형성하기 위해 이용될 수 있다. 예를 들면, 분무 열 분해 시스템에서, 나트륨 과산화물 및 루테늄과 같은 비 휘발성 재료가 작은 방울을 형성하도록 분무되는 물과 같은 유동 매체에 배치되며 상기 작은 방울은 노, 종래의 열 분무 장치 또는 다른 장치에서 가열되어 상기 반응 재료를 함유하는 분말(예를 들면, NaRuO4)을 형성하도록 한다.It is not intended to be limited to the list of materials shown herein and therefore any material which forms a ruthenium 4 oxide containing gas upon exposure to ozone or other oxidizing gases may be used without change from the basic scope of the present invention. Various conventional forming processes can be used to form various ruthenium source materials for use in the outer container. One example of a conventional process that can be used to form the perruthenate is to mix sodium peroxide (Na 2 O 2 ) with metal ruthenium powder and then sinter the mixture in a furnace or vacuum furnace at a temperature of about 500 ° C. Some references suggesting the use of a spray pyrolysis type process may be used to form the perruthenate material. For example, in a spray pyrolysis system, non-volatile materials such as sodium peroxide and ruthenium are placed in a fluidized medium, such as water, sprayed to form droplets, which droplets can be used in furnaces, conventional thermal spraying devices, or other devices. It is heated to form a powder containing the reaction material (eg, NaRuO 4 ).

증착 챔버(600)는 일반적으로 공정 가스 전달 시스템(601) 및 처리 챔버(603)를 포함한다. 도 4는 기판 표면 상에 루테늄 함유 층을 증착하도록 적용될 수 있는 공정 챔버(603)의 일 실시예를 도시한다. 하나의 양태에서, 처리 챔버(603)는 기판의 표면 상에 루테늄 함유 층을 증착하기 전에 CVD, ALD, PE-CVD, 또는 PE-ALD 공정의 이용에 의해 기판의 표면상에, 배리어 층(도 2a 내지 도 2d)과 같은, 층을 증착하기 위해 적용될 수 있는 처리 챔버(603)이다. 또 다른 양태에서, 처리 챔버(603)는 주로 루테늄 함유 층을 증착하도록 적용되고 따라서 소정의 종래 또는 후속하는 장치 제조 단계가 다른 처리 챔버에서 수행된다. 하나의 양태에서, 이전 또는 이후 처리 챔버 및 처리 챔버(603)는 목표 장치 제조 공정 순서를 수행하기 위해 적용되는 클러스터 툴(도 8)에 부착된다. 예를 들면, 루테늄 함유 층 전에 배리어 층이 증착되는 처리 순서에서, 배리어 층은 처리 챔버(603) 내에 루테늄 함유 층을 형성하기 전에, 엔듀라(ENDURA)(등록상표)iCuB/STM 공정 챔버 또는 프로듀서(PRODUCER)(등록상표) 타입 공정 챔버와 같은, ALD 공정 챔버에서 증착될 수 있다. 또 다른 양태에서, 처리 챔버(603)는 약 0.1 mTorr 내지 약 50 Torr의 압력과 같은, 대기압 아래 압력에서 루테늄 함유 층을 증착하기 위해 적용된다. 처리 동안 진공 처리 챔버의 이용은 유용할 수 있으며, 이는 진공 상태에서의 처리가 증착 필름 내에 결합될 수 있는 오염물의 양을 감소시킬 수 있기 때문이다. 진공 처리는 또한 기판의 표면으로 루테늄 4 산화물의 확산 운반 공정을 개선하여 대류형 운반 공정에 의해 발생되는 제한을 감소시키는 경향이 있다.Deposition chamber 600 generally includes a process gas delivery system 601 and a processing chamber 603. 4 illustrates one embodiment of a process chamber 603 that may be applied to deposit a ruthenium containing layer on a substrate surface. In one embodiment, the processing chamber 603 is provided with a barrier layer (Fig. 1) on the surface of the substrate by use of a CVD, ALD, PE-CVD, or PE-ALD process prior to depositing a ruthenium containing layer on the surface of the substrate. A processing chamber 603 that can be applied to deposit a layer, such as 2A-2D). In another aspect, the processing chamber 603 is applied primarily to deposit ruthenium containing layers so that certain conventional or subsequent device fabrication steps are performed in other processing chambers. In one aspect, the before or after process chamber and process chamber 603 are attached to a cluster tool (FIG. 8) that is applied to perform a target device manufacturing process sequence. For example, in a processing sequence in which the barrier layer is deposited before the ruthenium containing layer, the barrier layer may be formed in an ENDURA® iCuB / S process chamber or before forming the ruthenium containing layer in the processing chamber 603. It may be deposited in an ALD process chamber, such as a PRODUCER® type process chamber. In another embodiment, the processing chamber 603 is applied to deposit a ruthenium containing layer at a pressure below atmospheric pressure, such as a pressure between about 0.1 mTorr and about 50 Torr. The use of a vacuum processing chamber during processing can be useful because processing in a vacuum can reduce the amount of contaminants that can be incorporated into the deposited film. Vacuum treatment also tends to improve the diffusion transport process of ruthenium 4 oxide to the surface of the substrate to reduce the constraints imposed by the convective transport process.

처리 챔버(603)는 일반적으로 처리 엔클로저(404), 가스 분배 샤워헤 드(410), 온도 제어 기판 지지부(623), 원격 플라즈마 소스(670) 및 처리 챔버(603)의 유입 라인(426)에 연결되는 공정 가스 전달 시스템(601)을 포함한다. 처리 엔클로저(404)는 일반적으로 측벽(405), 천장(406) 및 베이스(407)를 포함하며 이들은 처리 챔버(603)를 둘러싸서 공정 영역(421)을 형성한다. 기판(422)을 지지하는 기판 지지부(623)는 처리 챔버(603)의 베이스(407)에 장착된다. 후방 가스 공급원(도시안됨)은 헬륨과 같은 가스를 기판(422)의 후방측부 및 기판 지지 표면(623A) 사이의 갭에 공급하여 기판 지지부(623)와 기판(422) 사이의 열 상태를 개선한다. 증착 챔버(600)의 일 실시예에서, 기판 지지부(623)는 열 교환 장치(620) 및 온도 제어기(621)의 이용에 의해 가열 및/또는 냉각되어 기판(422) 표면 상에 증착되는 루테늄 층의 특성을 개선 및 제어하도록 한다. 하나의 양태에서, 열 교환 장치(620)는 열 교환 유체 온도를 제어하는 열 제어 장치(621)와 소통되는 내장 열 전달 라인(625)를 포함하는 유체 열 교환 장치이다. 또 다른 양태에서, 열 교환 장치(620)는 저항성 히터이며, 이 경우 매립된 열 전달 라인(625)은 온도 제어 장치(621)와 소통되는 저항성 가열 요소이다. 또 다른 양상에서, 열 교환 장치(620)는 기판 지지부(623)를 가열 및 냉각하도록 적용되는 열전 장치이다. 터보-펌프, 냉각 터보 펌프, 루트 타입 송풍기 및/또는 러프 펌프와 같은 진공 펌프(435)는 처리 챔버(603) 내의 압력을 제어한다. 가스 분배 샤워헤드(410)는 유입 라인(426)과 공정 가스 전달 시스템(601)에 연결되는 가스 분배 플레넘(420)으로 이루어진다. 유입 라인(426) 및 공정 가스 분배 시스템(601)은 다수의 가스 노즐 개구(430)를 통하여 기판(422) 상의 공정 영역(427)과 소통된다.The processing chamber 603 generally includes a processing enclosure 404, a gas distribution showerhead 410, a temperature controlled substrate support 623, a remote plasma source 670 and an inlet line 426 of the processing chamber 603. A process gas delivery system 601 is connected. The processing enclosure 404 generally includes a sidewall 405, a ceiling 406, and a base 407, which surround the processing chamber 603 to form a process region 421. A substrate support 623 that supports the substrate 422 is mounted to the base 407 of the processing chamber 603. The back gas source (not shown) supplies a gas, such as helium, into the gap between the back side of the substrate 422 and the substrate support surface 623A to improve the thermal state between the substrate support 623 and the substrate 422. . In one embodiment of the deposition chamber 600, the substrate support 623 is a ruthenium layer that is heated and / or cooled by the use of a heat exchanger 620 and a temperature controller 621 to be deposited on the substrate 422 surface. To improve and control the properties. In one aspect, the heat exchange device 620 is a fluid heat exchange device that includes a built-in heat transfer line 625 in communication with a heat control device 621 that controls the heat exchange fluid temperature. In another aspect, the heat exchange device 620 is a resistive heater, in which case the embedded heat transfer line 625 is a resistive heating element in communication with the temperature control device 621. In another aspect, the heat exchange device 620 is a thermoelectric device that is applied to heat and cool the substrate support 623. Vacuum pumps 435, such as turbo-pumps, cooling turbopumps, route type blowers and / or rough pumps, control the pressure in the processing chamber 603. The gas distribution showerhead 410 consists of a gas distribution plenum 420 connected to the inlet line 426 and the process gas delivery system 601. Inlet line 426 and process gas distribution system 601 are in communication with process region 427 on substrate 422 through a plurality of gas nozzle openings 430.

본 발명의 하나의 양태에서, 증착된 루테늄 함유 층의 특성을 개선하기 위해 증착 공정 동안 플라즈마를 발생하는 것이 바람직할 수 있다. 이러한 구성에서, 제 1 임피던스 매치 요소(match element)(475) 및 제 1 RF 전원(490)으로의 부착을 이용하여 플라즈마 제어 장치로서 작용하는 샤워헤드(410)는 전도성 재료(예를 들면, 양극처리된 알루미늄)로 제조된다. 바이어스 RF 발생기(462)는 임피던스 매치 요소(464)를 통하여 기판 지지부(623) 및 기판(422)으로 RF 바이어스 전력을 인가한다. 제어기(480)는 임퍼던스 매치 요소(즉, 475 및 464), RF 전원(즉, 490 및 462) 및 플라즈마 공정의 모든 다른 양태를 제어하기 위해 적용된다. RF 전원에 의해 전달되는 전력의 주파수는 약 0.4 MHz 내지 10GHz 이상의 범위일 수 있다. 일 실시예에서 동적 임퍼던스 매치는 주파수 튜닝 및/또는 전방 전력 공급에 의해 샤워헤드(410) 및 기판 지지부(623)로 제공된다. 도 4는 전기용량적으로 결합되는 플라즈마 챔버를 도시하지만, 본 발명의 다른 실시예는 유도 결합된 플라즈마 챔버 또는 본 발명의 기본적 범위로부터 변화하지 않으면서 유도 및 전기용량적으로 결합된 플라즈마 챔버의 조합체를 포함할 수 있다.In one aspect of the invention, it may be desirable to generate a plasma during the deposition process to improve the properties of the deposited ruthenium containing layer. In this configuration, the showerhead 410 acting as a plasma control device using attachment to the first impedance match element 475 and the first RF power source 490 may be a conductive material (eg, anode). Treated aluminum). The bias RF generator 462 applies RF bias power to the substrate support 623 and the substrate 422 through the impedance match element 464. Controller 480 is applied to control the impedance match elements (ie, 475 and 464), RF power sources (ie, 490 and 462) and all other aspects of the plasma process. The frequency of power delivered by the RF power source may range from about 0.4 MHz to 10 GHz or more. In one embodiment, the dynamic impedance match is provided to the showerhead 410 and the substrate support 623 by frequency tuning and / or forward power supply. Although FIG. 4 illustrates a capacitively coupled plasma chamber, another embodiment of the present invention is a combination of an inductively and capacitively coupled plasma chamber without changing from the basic scope of the invention. It may include.

일 실시예에서, 처리 챔버(603)는 유입 라인(671)을 통하여 처리 영역(427)으로 다양한 플라즈마 발생 종(species) 또는 라디칼(radical)을 전달하도록 적용되는 원격 플라즈마 소스(RPS)(도 4, 6A 내지 6C 및 11)를 포함한다. 증착 챔버(600)와 이용하기 위해 적용될 수 있는 RPS는 미국 매사추세츠 윌밍톤의 엠케이에스 아스텍스(MKS ASTEX)(등록상표) 제품으로부터의 아스트론(ASTRON)(등록상표) 타입 AX7651 반응 가스 발생기이다. RPS는 일반적으로 처리 영역(427)으로 도입되 는 수소(H) 라디칼과 같은 반응 성분을 형성하기 위해 이용된다. 따라서 RPS는 반응 공정을 강화하기 위해 활성화된 가스 종의 반응성을 개선한다. 통상적인 RPS 공정은 1,000 sccm의 H2 및 1,000 sccm의 아루곤 및 350 Watts의 RF 전력 및 약 13.56 MHz의 주파수를 이용하는 것을 포함할 수 있다. 일 실시예에서, 4% H2 및 잔여 질소와 같은 형성 가스가 이용될 수 있다. 또 다른 양태에서, 가스 함유 히드라진(N2H4)이 이용될 수 있다. 일반적으로, RuO2를 Ru로 변환할 수 있는 종을 감소시키기 위한 플라즈마 활성화의 이용은 이러한 반응이 낮은 온도에서 처리되는 것을 허용한다. 이러한 공정은 일반적으로 약 180℃ 아래에서 RuO2를 증착하고 이어서 후속적으로 동일한 온도 및/또는 동일한 챔버에서 금속 루테늄에 대한 감소를 수행하는 것이 바람직할 때 가장 유용할 수 있다.In one embodiment, the processing chamber 603 is a remote plasma source (RPS) (FIG. 4) that is adapted to deliver various plasma generating species or radicals through the inlet line 671 to the processing region 427. , 6A-6C and 11). An RPS that can be applied for use with the deposition chamber 600 is an ASTRON® type AX7651 reactive gas generator from MKS ASTEX® product of Wilmington, Massachusetts. RPS is generally used to form reaction components, such as hydrogen (H) radicals, which are introduced into treatment region 427. Thus, RPS improves the reactivity of activated gas species to enhance the reaction process. A typical RPS process may include using 1,000 sccm of H 2 and 1,000 sccm of Argon and 350 Watts of RF power and a frequency of about 13.56 MHz. In one embodiment, forming gases such as 4% H 2 and residual nitrogen may be used. In another embodiment, gas containing hydrazine (N 2 H 4 ) can be used. In general, the use of plasma activation to reduce species capable of converting RuO 2 to Ru allows this reaction to be processed at low temperatures. This process may be most useful when it is generally desirable to deposit RuO 2 below about 180 ° C. and subsequently subsequently perform a reduction for metal ruthenium at the same temperature and / or in the same chamber.

증착 챔버(600)의 일 실시예에서, 공정 가스 전달 시스템(601)은 루테늄 함유 가스, 또는 증기를 전달하도록 적용되어, 루테늄 함유 층이 기판 표면상에 형성될 수 있다. 공정 가스 전달 시스템(601)은 일반적으로 하나 또는 그 이상의 가스 소스(611A 내지 611E), 오존 발생 장치(612), 처리 용기(630), 소스 용기 조립체(640) 및 처리 챔버(603)의 유입 라인(426)에 부착된 유출 라인(660)을 포함한다. 하나 또는 그 이상의 가스 소스(611A 내지 611E)는 일반적으로 처리 챔버(603) 내에서 처리 동안 이용될 수 있는 다양한 캐리어 및/또는 퍼지 가스의 소스이다. 가스 소스(611A 내지 611E)로부터 전달된 하나 또는 그 이상의 가스는 예를 들면, 질소, 아르곤, 헬륨, 수소, 또는 다른 유사 가스를 포함할 수 있다.In one embodiment of the deposition chamber 600, process gas delivery system 601 may be applied to deliver a ruthenium containing gas, or vapor, such that a ruthenium containing layer may be formed on the substrate surface. Process gas delivery system 601 generally includes one or more gas sources 611A through 611E, ozone generator 612, process vessel 630, source vessel assembly 640, and inlet lines of process chamber 603. Outflow line 660 attached to 426. One or more gas sources 611A through 611E are generally sources of various carriers and / or purge gases that may be used during processing within processing chamber 603. One or more gases delivered from gas sources 611A through 611E may include, for example, nitrogen, argon, helium, hydrogen, or other similar gases.

통상적으로, 오존 발생기(612)는 오존 발생기(612)에 부착되는 가스 소스(도시안됨)로부터 산소 함유 가스를 약 4wt.% 내지 약 100wt.% 사이의 오존(O3)을 함유하는 가스로 변환되며, 나머지는 통상적으로 산소가 된다. 바람직하게는, 오존의 농도는 약 6 wt.% 내지 약 100 wt.%이다. 약 15% 보다 큰 농도로 오존을 형성하는 것은 처리 용기 내의 냉각 표면 상에 오존을 흡수하고 오염물을 제거하기 위하여 불활성 가스를 이용하여 용기를 퍼징하는 공정을 요구하는 정화 공정을 일반적으로 요구한다는 것을 주목하여야 한다. 그러나, 오존 농도는 사용되는 오존 발생 장비의 타입 및 요구되는 오존의 양을 기초로하여 증가 및 감소될 수 있다. 증착 챔버(600)와 이용하도록 적용될 수 있는 통상적인 오존 발생기는 미국 메사추세츠 윌밍턴의 엠케이에스 아스텍스(등록 상표) 제품으로부터 구입할 있는 세모존(SEMOZON)(등록상표) 및 리쿠오존(LIQUOZON)(등록상표) 오존 발생기이다. 가스 소스(611A)는 처리 용기(630)의 입력 포트(635)로 오존 발생기(612) 내에 발생되는 오존을 전달하도록 퍼지되거나 캐리어 가스로서 적용될 수 있다.Typically, ozone generator 612 converts an oxygen containing gas from a gas source (not shown) attached to ozone generator 612 to a gas containing between about 4 wt.% And about 100 wt.% Ozone (O 3 ). The remainder is typically oxygen. Preferably, the concentration of ozone is about 6 wt.% To about 100 wt.%. Note that forming ozone at concentrations greater than about 15% generally requires a purification process that requires the process of purging the container with an inert gas to absorb ozone and remove contaminants on the cooling surface in the processing container. shall. However, the ozone concentration can be increased and decreased based on the type of ozone generating equipment used and the amount of ozone required. Conventional ozone generators that can be applied for use with the deposition chamber 600 are SEMOZON® and LIQUOZON® purchased from Wilkeston, Mass., USA. ) Ozone generator. Gas source 611A may be purged or applied as a carrier gas to deliver ozone generated in ozone generator 612 to input port 635 of processing vessel 630.

공정 가스 전달 시스템(601)의 일 실시예에서, 처리 용기(630)는 용기(631), 온도 제어 장치(634A), 입력 포트(635) 및 출력 포트(636)를 포함한다. 용기(631)는 일반적으로 유리, 세라믹 또는, 용기(631)에 형성된 처리 가스와 반응하지 않는 불활성 재료로 제조되거나 코팅된 둘러싸인 영역이다. 하나의 양태에서, 용기(631)는 오존 가스가 용기(631) 내로 전달될 때 루테늄 4 산화물의 형성을 증진하도록 바람직하게는 다공성 고체, 분말, 또는 펠릿 형태로 루테늄 소스(예를 들 면, 루테늄 금속, 나트륨 과루테늄산염: 요소 "A" 참조)의 용적 내에 포함된다. 온도 제어 장치(634A)는 일반적으로 온도 제어기(634B) 및 열 교환 장치(634C)를 포함하며 이는 루테늄 4 산화물 발생 공정 동안 목표 처리 온도로 용기(631)의 온도를 제어하도록 적용된다. 하나의 양태에서, 열 교환 장치(634C)는 온도 제어 유체 열 교한 장치, 저항성 가열 장치 및/또는 열전 장치이며 이는 상이한 단계의 공정 동안 용기(631)를 가열 및/또는 냉각하도록 적용된다.In one embodiment of the process gas delivery system 601, the processing vessel 630 includes a vessel 631, a temperature control device 634A, an input port 635, and an output port 636. The vessel 631 is generally an enclosed region made of or coated with glass, ceramic, or an inert material that does not react with the processing gas formed in the vessel 631. In one embodiment, the vessel 631 is preferably a ruthenium source (eg, ruthenium) in the form of a porous solid, powder, or pellets to promote the formation of ruthenium 4 oxide when ozone gas is delivered into the vessel 631. Metal, sodium perruthenate: see element "A"). Temperature control device 634A generally includes a temperature controller 634B and a heat exchanger 634C, which is adapted to control the temperature of vessel 631 to the target processing temperature during the ruthenium 4 oxide generation process. In one embodiment, the heat exchange device 634C is a temperature controlled fluid thermal device, resistive heating device and / or thermoelectric device, which is applied to heat and / or cool the vessel 631 during different stages of the process.

일 실시예에서, 원격 플라즈마 소스(673)는 RPS 유입 라인(673A)을 경유하여 처리 용기(630)에 연결되어 루테늄 4 산화물 형성 공정의 상이한 단계에서, 류테늄 소스는 용기(631) 내로 수소(H) 라티칼을 주입함으로써 재생될 수 있어 리테늄 소스의 표면 상의 소정의 형성된 산화물을 감소시킬 수 있다. 재생은 루테늄 산화물(Ru02)의 하부 층이 용기(631) 내에 포함되는 노출된 루테늄 소스의 상당한 양이 형성될 때 필요할 수 있다. 일 실시예에서, 재생 공정은 형성된 산화물을 감소시키기 위해 상승된 온도로 가열되는 루테늄 소스로 수소 함유 가스를 도입함으로써 수행된다.In one embodiment, the remote plasma source 673 is connected to the processing vessel 630 via an RPS inlet line 673A such that at different stages of the ruthenium 4 oxide formation process, the ruthenium source is transferred into the vessel 631. H) can be recycled by injecting the radicals to reduce any formed oxide on the surface of the lithium source. Regeneration may be required when a significant amount of exposed ruthenium source is formed in which the bottom layer of ruthenium oxide (Ru0 2 ) is included in the vessel 631. In one embodiment, the regeneration process is performed by introducing a hydrogen containing gas into a ruthenium source that is heated to an elevated temperature to reduce oxides formed.

도 4를 참조하면, 소스 용기 조립체(640)는 소스 용기(641), 온도 제어기(642), 유입 포트(645) 및 유출 포트(646)를 포함한다. 소스 용기(641)는 처리 용기(630)에서 발생되는 루테늄 4 산화물을 수집하여 유지하도록 적용된다. 소스 용기(641)는 일반적으로 유리, 세라믹, 플라스틱(예를 들면, 테프론(등록상표), PTFE, 또는 폴리에틸렌), 또는 루테늄 4 산화물과 반응하지 않고 목표 열적 쇼크 및 기계적 특성을 가지는 다른 재료를 내부에 붙이거나 코팅되거나 제조된다. 이용될 때 온도 제어기(642)는 소스 용기(641)를 20℃보다 작은 온도로 냉각하여 소스 용기의 벽에 루테늄 4 산화물 가스를 응축하도록 한다. 온도 제어기(642)는 일반적으로 온도 제어기 장치(643) 및 열 교환 장치(644)를 포함하여 목표 처리 온도로 소스 용기(641)의 온도를 제어하도록 적용된다. 하나의 양태에서, 열 교환 장치(644)는 소스 용기(641)를 가열 및 냉각하도록 적용되는 온도 제어 유체 열 교환 장치, 저항성 가열 장치 및/또는 열전 장치이다.Referring to FIG. 4, the source vessel assembly 640 includes a source vessel 641, a temperature controller 642, an inlet port 645, and an outlet port 646. Source vessel 641 is adapted to collect and maintain ruthenium 4 oxide generated in process vessel 630. Source vessel 641 generally contains glass, ceramic, plastic (eg, Teflon®, PTFE, or polyethylene), or other materials that do not react with ruthenium 4 oxide and have target thermal shock and mechanical properties. Attached, coated or manufactured. When used, the temperature controller 642 cools the source vessel 641 to a temperature less than 20 ° C. to condense the ruthenium 4 oxide gas on the wall of the source vessel. Temperature controller 642 is generally adapted to control the temperature of source vessel 641 to a target processing temperature, including temperature controller device 643 and heat exchange device 644. In one aspect, the heat exchange device 644 is a temperature controlled fluid heat exchange device, resistive heating device and / or thermoelectric device applied to heat and cool the source vessel 641.

도 5는 기판 표면 상에 루테늄 함유 층을 형성하기 위해 본 명세서에서 설명된 일 실시예에 따른 공정(700)을 설명한다. 공정(700)은 단계(702 내지 708)를 포함하며 여기에서 루테늄 함유 층이 기판 표면상에 직접 증착된다. 공정(700)의 제 1 공정 단계(702)는 루테늄 4 산화물 가스를 형성하고 소스 용기(641) 내에 발생된 가스를 수집하는 단계를 포함한다. 공정 단계(702)에서, 오존 발생기(612)에 발생된 오존은 처리 용기(631) 내에 포함되는 루테늄 소스로 전달되어 용기(641) 내에 수집되는 루테늄 4 산화물 함유 가스의 유동을 형성하도록 한다. 따라서, 공정 단계(702) 동안 오존 함유 가스는 루테늄 4 산화물이 형성되어 유동 가스에 의해 쓸려 나가도록 하는 루테늄 소스를 가로질러 유동한다. 이러한 공정 동안 가스 유동 경로는 유입 포트(635) 내의 오존 발생기(612)로부터 루테늄 소스(아이템 "A")를 가로질러, 용기(631) 내의 유출 포트(636)를 통하여 공정 라인(648)을 통하여 폐쇄된 소스 용기(641) 내로 형성된다. 일 실시예에서, 루테늄 4 산화물 함유 가스를 도입하기 전에, 종래의 진공 펌프(652)(예를 들면, 종래의 러프 펌프, 진공 이젝터)를 이용하여 소스 용기(641)를 비우는 것이 바람직할 수 있다. 하나의 양태에서, 가스 소스(611A)는 퍼지 산소 및 오존 또는 산소 함유 가스 및 오존을 희석하는 불활성 가스를 포함하는 오존 함유 가스를 형성하기 위해 이용된다. 처리 단계(702)의 하나의 양태에서, 용기(631) 내에 포함되는 루테늄 소스(아이템 "A")는 약 0℃ 내지 약 100℃ 사이, 더욱 바람직하게는 약 20℃ 내지 약 60℃ 사이의 온도로 유지하여 용기(631) 내의 루테늄 4 산화물 형성 공정을 강화하도록 한다. 낮은 루테늄 4 산화물 발생 온도가 일반적으로 바람직하지만, 루테늄 4 산화물 가스를 형성하기 위해 요구되는 온도는 공정 동안 용기(631) 내에 함유되는 습기의 양에 다소 종속되는 것으로 믿어진다. 공정 단계(702) 동안, 소스 용기(641)는 소스 용기(641)의 벽 상에 발생된 루테늄 4 산화물이 응축, 또는 결정화(또는 고체화)하는 것을 허용하는 압력에서 약 25℃ 아래 온도로 유지된다. 예를 들면, 소스 용기(641)는 약 5 Torr의 압력 및 약 -20℃ 내지 약 25℃의 온도를 유지한다. 루테늄 4 산화물을 냉각하고 루테늄 4 산화물이 소스 용기(641)의 벽 상에 응축 또는 고체화하도록 함으로써, 류테늄 4 산화물 함유 가스 내의 원하지 않는 산소(O2) 및 오존(O3) 함유 성분이 분리되어 제 2 공정 단계(704)에서 제거될 수 있다. 하나의 양태에서, 루테늄 4 산화물 발생 공정을 개선하기 위하여 일정한 양의 물, 또는 물 함유 가스를 용기(631) 내로 주입하는 것이 바람직할 수 있다. 물의 주입은 예를 들면, 루테늄 소스가 나트륨 과루테늄산염(sodium perruthenate), 칼륨 과루테늄산염(potassium perruthenate), 또는 이들의 유도체를 함유할 때, 루테늄 소스로부터 루테늄 4 산화물의 분리를 개선하기 위하여 중요할 수 있다. 하나의 양태에서, 분리 공정이 수행된 후 종래의 물리적 분리(예를 들면, 분자 시이브) 공정에 의해 과잉 물을 제거하기 위해 바람직할 수 있다.5 illustrates a process 700 according to one embodiment described herein to form a ruthenium containing layer on a substrate surface. Process 700 includes steps 702-708 where a ruthenium containing layer is deposited directly on the substrate surface. The first process step 702 of process 700 includes forming ruthenium 4 oxide gas and collecting gas generated in the source vessel 641. In process step 702, ozone generated in the ozone generator 612 is delivered to a ruthenium source included in the processing vessel 631 to form a flow of ruthenium 4 oxide containing gas collected in the vessel 641. Thus, during process step 702, the ozone containing gas flows across a ruthenium source, causing ruthenium 4 oxide to form and be swept away by the flowing gas. During this process the gas flow path traverses the ruthenium source (item “A”) from the ozone generator 612 in the inlet port 635, through the process line 648 through the outlet port 636 in the vessel 631. Into a closed source container 641. In one embodiment, prior to introducing the ruthenium 4 oxide containing gas, it may be desirable to empty the source vessel 641 using a conventional vacuum pump 652 (eg, a conventional rough pump, vacuum ejector). . In one embodiment, gas source 611A is used to form an ozone containing gas that includes purge oxygen and ozone or an oxygen containing gas and an inert gas that dilutes the ozone. In one embodiment of the processing step 702, the ruthenium source (item “A”) included in the vessel 631 is at a temperature between about 0 ° C. and about 100 ° C., more preferably between about 20 ° C. and about 60 ° C. To maintain the ruthenium 4 oxide formation process in the container 631. Although low ruthenium 4 oxide generation temperatures are generally preferred, it is believed that the temperature required to form the ruthenium 4 oxide gas is somewhat dependent on the amount of moisture contained in the vessel 631 during the process. During process step 702, source vessel 641 is maintained at a temperature below about 25 ° C. at a pressure that allows the ruthenium 4 oxide generated on the walls of source vessel 641 to condense, or crystallize (or solidify). . For example, source vessel 641 maintains a pressure of about 5 Torr and a temperature of about -20 ° C to about 25 ° C. By cooling the ruthenium 4 oxide and causing the ruthenium 4 oxide to condense or solidify on the walls of the source vessel 641, unwanted oxygen (O 2 ) and ozone (O 3 ) containing components in the ruthenium 4 oxide containing gas are separated to May be removed in a second process step 704. In one embodiment, it may be desirable to inject a certain amount of water, or a water containing gas, into the container 631 to improve the ruthenium 4 oxide generation process. Infusion of water is important to improve the separation of ruthenium 4 oxides from ruthenium sources, for example when the ruthenium source contains sodium perruthenate, potassium perruthenate, or derivatives thereof. can do. In one embodiment, it may be desirable to remove excess water by conventional physical separation (eg, molecular sieve) processes after the separation process is performed.

제 2 공정 단계(704), 또는 퍼징 단계는 루테늄 4 산화물 함유 가스로부터 원하지 않는 산소(O2) 및 미반응 오존(O3)를 제거하도록 설계된다. 도 4를 참조하면, 일 실시예에서, 오존 차단 밸브(612A)를 폐쇄하고 하나 또는 그 이상의 퍼지 가스를 하나 또는 그 이상의 가스 소스(611B-C)로부터 처리 용기(630)를 통하여 공정 라인(648)으로, 소스 용기(641)로부터 이어서 배기 라인(651)을 통하여 배기 시스템(650)으로 유동시킴으로써, 소스 용기(641)의 벽이 25℃ 이하의 온도로 유지되는 동안 제 2 공정 단계(704)가 완료된다. 폐기되는 공정 단계(704)의 완료 동안 비고체화 및 비응축화된 루테늄 4 산화물의 양은 루테늄 4 산화물이 응축 또는 고체화되도록 공정 단계(702)와 공정 단계(704) 사이의 목표 길이의 정지 단계를 추가함으로써 최소화될 수 있다. 폐기되는 비고체화 또는 비응축화되는 루테늄 4 산화물의 양은 고체화의 비율을 증가시키기 위해 소스 용기 벽 온도를 낮추고, 및/또는 벽과 루테늄 4 산화물 함유 가스의 상호 작용을 증가시기키 위해 소스 용기의 표면적을 증가시킴으로써 추가로 감소될 수도 있다. 하나 또는 그 이상의 가스 소스(611B 내지 611C)로부터 전달되는 퍼지 가스는 예를 들면, 질소, 아르곤, 헬륨, 또는 다른 건조 및 세정 공정 가스일 수 있다. 원하지 않는 산소(O2) 및 미반응 오존(O3) 성분이 기판상의 노출된 표면의 원하지 않는 산화를 일으킬 수 있기 때문에, 이러한 성분을 제거하는 공정은 루테늄 증착 공정의 성공에 결정적일 수 있다. 구리가 산소에 대한 높은 친화력을 가져서 산화 종의 존재에서 용이하게 부식되기 때문에, 이러한 원하지 않는 산소(O2) 및 미반응 오존(O3) 성분의 제거는 구리 연접부가 기판의 표면 상에 노출되는 장소에서 특히 중요하다. 일 실시예에서, 공정 단계(704)는 산소(O2) 및/또는 미반응 오존(O3)의 농도가 약 100 백만분율(ppm) 아래가 될 때까지 완료된다. 하나의 양태에서, 형성된 루테늄 4 산화물 모두가 공정 용기(630)로부터 제거되는 것을 보장하기 위하여 공정 단계(704) 동안 용기(631)를 약 20℃ 내지 25℃ 사이의 온도로 가열하는 것이 바람직할 수 있다.The second process step 704, or purging step, is designed to remove unwanted oxygen (O 2 ) and unreacted ozone (O 3 ) from the ruthenium 4 oxide containing gas. Referring to FIG. 4, in one embodiment, the ozone shutoff valve 612A is closed and one or more purge gases are processed from the one or more gas sources 611B-C through the processing vessel 630 to the process line 648. ), The second process step 704 while the wall of the source vessel 641 is maintained at a temperature of 25 ° C. or less by flowing from the source vessel 641 and then through the exhaust line 651 to the exhaust system 650. Is completed. The amount of non-solidified and non-condensed ruthenium 4 oxide during completion of the discarded process step 704 is determined by adding a stop of the target length between process step 702 and process step 704 such that the ruthenium 4 oxide is condensed or solidified. Can be minimized. The amount of non-solidified or non-condensed ruthenium 4 oxide discarded may reduce the source container wall temperature to increase the rate of solidification, and / or increase the surface area of the source container to increase the interaction of the wall with the ruthenium 4 oxide containing gas. It may be further reduced by increasing. The purge gas delivered from one or more gas sources 611B through 611C may be, for example, nitrogen, argon, helium, or other drying and cleaning process gases. Since unwanted oxygen (O 2 ) and unreacted ozone (O 3 ) components can cause unwanted oxidation of exposed surfaces on the substrate, the process of removing these components can be critical to the success of the ruthenium deposition process. Since copper has a high affinity for oxygen and readily corrodes in the presence of oxidizing species, removal of these unwanted oxygen (O 2 ) and unreacted ozone (O 3 ) components results in the copper junction being exposed on the surface of the substrate. Especially important in the place. In one embodiment, process step 704 is completed until the concentration of oxygen (O 2 ) and / or unreacted ozone (O 3 ) is below about 100 parts per million (ppm). In one embodiment, it may be desirable to heat vessel 631 to a temperature between about 20 ° C. and 25 ° C. during process step 704 to ensure that all of the formed ruthenium 4 oxide is removed from process vessel 630. have.

하나의 양태에서, 퍼징 공정(단계(704))는 오염물을 제거하기 위하여 진공 펌프(652)를 이용하여 소스 용기(641)를 비움으로써 완료된다. 이러한 단계 동안 다소의 양의 루테늄 4 산화물이 소스 용기 조립체(640)로부터 제거되는 것을 방지하기 위하여, 용기의 온도 및 압력이 증기화에 의한 손실을 최소화하도록 제어될 수 있다. 예를 들면, 소스 용기 조립체(640)를 약 5 Torr의 압력으로 펌핑하고 약 0℃ 아래의 온도로 유지하는 것이 바람직할 수 있다.In one embodiment, the purging process (step 704) is completed by emptying the source vessel 641 using the vacuum pump 652 to remove contaminants. In order to prevent some amount of ruthenium 4 oxide from being removed from the source vessel assembly 640 during this step, the temperature and pressure of the vessel may be controlled to minimize the loss due to vaporization. For example, it may be desirable to pump the source vessel assembly 640 to a pressure of about 5 Torr and maintain it at a temperature below about 0 ° C.

일 실시예에서, 제 3 공정 단계(706) 또는 루테늄 4 산화물을 처리 챔버(603)로 전달하는 단계는 소스 용기(641)가 퍼지되어 밸브(637A)가 소스 용기(641)를 처리 용기(630)로부터 차단하기 위하여 폐쇄된 후 완료된다. 공정 단계(706)는 소스 용기(641)가 응축 또는 고체화된 루테늄 4 산화물이 루테늄 4 산화물 가스를 형성하도록 하는 온도로 가열될 때 시작되며, 이때 하나 또는 그 이상의 가스 소스(611)(예를 들면, 아이템(611D 및/또는 611E)), 차단 밸브와 연결된 가스 소스(예를 들면, 아이템(638 및/또는 639)), 및 공정 챔버 차단 밸브(661)가 개방되어 루테늄 4 산화물 함유 가스가 유입 라인(426) 내로, 샤워헤드(410)를 통하여, 공정 영역(427) 내로 그리고 온도 제어 기판(422)을 가로질러 유동하여 루테늄 함유 층이 기판 표면상에 형성될 수 있다. 일 실시예에서, 소스 용기(641)는 약 0℃ 내지 약 50℃의 온도로 가열되어 응축 또는 고체화된 루테늄 4 산화물이 루테늄 4 산화물 가스를 형성하도록 한다. 예를 들면 약 5℃의 낮은 온도에서 조차, 루테늄 4 산화물 가스의 평형 부분 압력이 소스 용기(641) 내에 존재하게 된다. 따라서, 하나의 양태에서, 용기 내에 함유되는 루테늄 4 산화물의 매스를 알고, 소스 용기(641)의 온도 및 용적을 알게 됨으로써, 반복가능한 매스가 처리 챔버(603)로 전달될 수 있다. 또 다른 양태에서, 루테늄 4 산화물의 목표 농도가 알려진 가스를 형성하도록 주어진 크기의 소스 용기(641)에 대한 주어진 온도에서 루테늄 4 산화물의 승화 또는 증기화 비율을 알게 되어 목표 비율로 캐리어 가스를 소스 용기(641)를 통하여 유동함으로써, 루테늄 4 산화물 함유 가스의 연속 유동이 형성되어 처리 챔버(603)에 전달될 수 있다.In one embodiment, transferring the third process step 706 or ruthenium 4 oxide to the processing chamber 603 may include purging the source container 641 such that the valve 637A may transfer the source container 641 to the processing container 630. It is closed after closing to block from). Process step 706 begins when the source vessel 641 is heated to a temperature such that condensed or solidified ruthenium 4 oxide forms a ruthenium 4 oxide gas, wherein one or more gas sources 611 (eg, , Items 611D and / or 611E), gas sources connected to the shutoff valves (eg, items 638 and / or 639), and process chamber shutoff valves 661 are opened to introduce a ruthenium 4 oxide containing gas. A ruthenium containing layer may be formed on the substrate surface by flowing into line 426, through showerhead 410, into process region 427, and across temperature controlled substrate 422. In one embodiment, source vessel 641 is heated to a temperature of about 0 ° C. to about 50 ° C. such that condensed or solidified ruthenium 4 oxide forms a ruthenium 4 oxide gas. Even at low temperatures, for example about 5 ° C., an equilibrium partial pressure of ruthenium 4 oxide gas is present in the source vessel 641. Thus, in one embodiment, by knowing the mass of ruthenium 4 oxide contained in the vessel and knowing the temperature and volume of the source vessel 641, the repeatable mass can be delivered to the processing chamber 603. In another embodiment, the target concentration of ruthenium 4 oxide is known to form a known gas, so that the rate of sublimation or vaporization of ruthenium 4 oxide at a given temperature for a source vessel 641 of a given size is obtained so that By flowing through 641, a continuous flow of ruthenium 4 oxide containing gas can be formed and delivered to the processing chamber 603.

기판의 표면 상에 비선택적으로 루테늄 함유 층을 증착하기 위하여, 180℃ 보다 큰 온도에서 루테늄 4 산화물(RuO4)이 열역학적으로 안정된 루테늄 이산화물(RuO2)로, 그리고 수소(H2)의 존재에서 약간 높은 온도로 자발적인 분해를 겪게되며, 증착은 루테늄 4 산화물 층을 형성하는 목표 결과로 직접 처리된다. 반응에 대한 평형 방정식은 방정식(3)에서 볼 수 있다.To deposit a ruthenium containing layer on the surface of the substrate, ruthenium 4 oxide (RuO 4 ) is thermodynamically stabilized ruthenium dioxide (RuO 2 ) at a temperature greater than 180 ° C., and in the presence of hydrogen (H 2 ). Slightly high temperatures will undergo spontaneous decomposition, and the deposition is directly processed to the target result of forming a ruthenium 4 oxide layer. The equilibrium equation for the response can be found in equation (3).

RuO4 + 4H2 → Ru(금속) + 4H2O (3)RuO 4 + 4H 2 → Ru (metal) + 4H 2 O (3)

따라서, 본 발명의 하나의 양태에서, 공정 단계(706) 동안 온도 제어 기판 지지부(623)의 이용에 의해, 약 180℃ 이상의 온도에서, 더욱 바람직하게는 180℃ 내지 약 450℃의 온도에서, 더욱 바람직하게는 약 200℃ 내지 약 400℃ 온도에서, 기판 표면이 유지된다. 금속 루테늄 층을 형성하기 위하여, 온도는 약 300℃ 내지 약 400℃ 사이의 온도가 될 수 있다. 통상적으로, 처리 챔버 압력은 10 Torr 아래, 바람직하게는 약 500 miliTorr 내지 약 5 Torr로 유지된다. 기판의 표면 온도를 제어함으로써, 증착된 루테늄 함유 층의 결정 구조와 증착된 루테늄 함유 층의 선택성이 원하는 대로 조정 및 제어될 수 있다. 결정 루테늄 함유 층이 350℃ 위의 온도로 형성될 수 있다.Thus, in one aspect of the present invention, by the use of the temperature control substrate support 623 during process step 706, at a temperature of about 180 ° C. or higher, more preferably at a temperature of 180 ° C. to about 450 ° C., Preferably at a temperature of about 200 ° C. to about 400 ° C., the substrate surface is maintained. In order to form the metal ruthenium layer, the temperature may be between about 300 ° C and about 400 ° C. Typically, the process chamber pressure is maintained below 10 Torr, preferably between about 500 miliTorr and about 5 Torr. By controlling the surface temperature of the substrate, the crystal structure of the deposited ruthenium containing layer and the selectivity of the deposited ruthenium containing layer can be adjusted and controlled as desired. The crystalline ruthenium containing layer may be formed at a temperature above 350 ° C.

공정 단계(706)의 하나의 양태에서, 루테늄 4 산화물 함유 가스는 질소 함유 가스가 가스 소스(611D)로부터 전달될 때 형성되고, 수소(H2) 함유 가스(예를 들면, 수소(H2), 히드라진(N2H4))가 가스 소스(611E)로부터 일정한 양의 루테늄 4 산화물을 함유하는 소스 용기 조립체(640)를 통하여 이어서 공정 챔버(603)를 통하여 전달된다. 예를 들면, 100 sccm의 질소 및 100 sccm의 H2 가스는 약 0.1 내지 10 Torr의 압력, 및 더욱 바람직하게는 약 2 Torr로 유지되는 공정 챔버(603)로 전달된다. 가스 소스(611)(예를 들면, 아이템(611D 내지 611E))로부터 전달되는 가스의 목표 유량은 루테늄 4 산화물 함유 가스 내의 루테늄 4 산화물의 목표 농도 및 소스 용기(641)의 벽으로부터 루테늄 4 산화물의 증기화율에 종속된다.In one embodiment of process step 706, a ruthenium 4 oxide containing gas is formed when a nitrogen containing gas is delivered from a gas source 611D, and a hydrogen (H 2 ) containing gas (eg, hydrogen (H 2 )). Hydrazine (N 2 H 4 )) is delivered from the gas source 611E through the source vessel assembly 640 containing a certain amount of ruthenium 4 oxide and then through the process chamber 603. For example, 100 sccm of nitrogen and 100 sccm of H 2 gas are delivered to the process chamber 603 maintained at a pressure of about 0.1 to 10 Torr, and more preferably about 2 Torr. The target flow rate of the gas delivered from the gas source 611 (e.g., items 611D through 611E) is determined by the target concentration of ruthenium 4 oxide in the ruthenium 4 oxide containing gas and the concentration of ruthenium 4 oxide from the wall of the source vessel 641. It depends on the vaporization rate.

일 실시예에서, 원격 플라즈마 소스(670)는 공정 단계(706) 동안 금속 루테늄 층 형성 공정을 강화하기 위하여 이용된다. 이러한 경우, 원격 플라즈마 소스에 발생된 H 라디칼은 처리 영역(427)으로 주입되어 루테늄 소스의 표면상에 소정의 형성된 산화물을 감소시키도록 한다. 하나의 양태에서, 루테늄 4 산화물 함유 가스가 처리 영역(427)으로 전달될 때 RPS가 H 라디칼을 발생시키기 위해 이용된다. 또 다른 양태에서, RPS는 루테늄의 각각의 연속적인 단층이 형성된 후에만 이용되어 증착 단계 및 루테늄 층의 감소 단계로 이루어지는 두 개의 단계 공정을 형성한다.In one embodiment, remote plasma source 670 is used to enhance the metal ruthenium layer formation process during process step 706. In this case, the H radicals generated in the remote plasma source are injected into the treatment region 427 to reduce the oxides formed on the surface of the ruthenium source. In one embodiment, RPS is used to generate H radicals when a ruthenium 4 oxide containing gas is delivered to the treatment region 427. In another embodiment, RPS is used only after each successive monolayer of ruthenium is formed to form a two step process consisting of a deposition step and a reduction of ruthenium layer.

공정 단계(706)의 일 실시예에서, 발생되어 공정 챔버(603) 내에 분배되는 루테늄 4 산화물 가스의 양이 모니터링되어 공정이 반복가능하고, 프로세스 챔버 성분의 완전한 포화가 달성되어 루테늄 함유 필름의 목표 두께가 증착되는 것을 보장하도록 제어된다. 하나의 양태에서, 공정 챔버로 전달되는 루테늄 4 산화물의 매스는 시간의 함수로서 소스 용기(641)의 중량의 변화를 측정함으로써, 종래의 전자 스케일, 로드 셀, 또는 다른 중량 측정 장치의 이용에 의해 모니터링된다.In one embodiment of process step 706, the amount of ruthenium 4 oxide gas generated and distributed in process chamber 603 is monitored so that the process is repeatable and complete saturation of the process chamber components is achieved to achieve the target of the ruthenium-containing film. The thickness is controlled to ensure that it is deposited. In one embodiment, the mass of ruthenium 4 oxide delivered to the process chamber is measured by the use of a conventional electronic scale, load cell, or other gravimetric device by measuring the change in weight of the source vessel 641 as a function of time. Monitored.

일 실시예에서, 가스 전달 시스템(601)은 공정 챔버(603) 및 기판으로 루테늄 4 산화물의 단일 도우즈)(dose) 또는 매스를 전달하도록 적용되어, 기판의 표면상에 루테늄 함유 층을 형성하도록 한다. 또 다른 실시예에서, 루테늄 4 산화물의 다중 순차적 도우즈는 공정 챔버(603)로 전달되어 다중 루테늄 함유 층을 형성한다. 다중 순차적 도우즈를 수행하기 위하여, 도 5 또는 도 7과 관련하여 설명되 는, 하나 이상의 공정 단계(702 내지 706)는 다중 층 루테늄 함유 필름을 형성하기 위하여 여러 번 반복된다. 또 다른 실시예에서, 공정 단계(702)의 길이 및 소스 용기(641)의 표면적은 둘다 루테늄 함유 층 증착 공정 동안 기판의 면적을 가로질러 루테늄 4 산화물 함유 가스의 목표 농도의 연속 농도를 허용하도록 하는 크기를 가진다. 기판의 표면에 걸친 가스 유동 분배는 처리 챔버 내에서 처리되는 기판 상의 균일한 층의 형성, 특히 매스 이송 제한 반응(CVD 타입 반응)에 의해 좌우되는 공정을 위해, 그리고 신속한 표면 포화가 반응률 제한 증착을 위해 요구되는 ALD 타입 공정에 중요하다. 따라서, 샤워헤드(410)의 이용에 의한 기판 표면에 걸친 균일한 가스 유동의 이용이 기판의 표면에 걸친 균일한 공정 결과를 보장하기 위하여 중요할 수 있다.In one embodiment, the gas delivery system 601 is adapted to deliver a single dose or mass of ruthenium 4 oxide to the process chamber 603 and the substrate to form a ruthenium containing layer on the surface of the substrate. do. In another embodiment, multiple sequential doses of ruthenium 4 oxide are transferred to process chamber 603 to form multiple ruthenium containing layers. To perform multiple sequential doses, one or more process steps 702-706, described in connection with FIG. 5 or 7, are repeated several times to form a multi-layer ruthenium containing film. In another embodiment, the length of process step 702 and the surface area of the source vessel 641 are both such that they allow for a continuous concentration of a target concentration of ruthenium 4 oxide containing gas across the area of the substrate during the ruthenium containing layer deposition process. Has a size. The gas flow distribution across the surface of the substrate allows for the formation of a uniform layer on the substrate being processed in the processing chamber, especially for processes that are governed by mass transfer limiting reactions (CVD type reactions), and rapid surface saturation allows for reaction rate limited deposition. Important for ALD type processes required. Thus, the use of uniform gas flow across the substrate surface by the use of showerhead 410 may be important to ensure uniform process results across the surface of the substrate.

본 발명의 하나의 양태에서, 공정 챔버(603)로 루테늄 4 산화물의 매스를 전달하는 공정은 ALD 또는 CVD 타입 공정에 대해 유용하며, 이는 ALD 또는 CVD 전구체 내에 형성된 유기 재료가 루테늄 함유 층에 존재하지 않아서 성장하는 루테늄 함유 층으로 결합되지 않기 때문이다. 성장하는 루테늄 필름으로 유기 물질의 결합은 형성된 장치의 전기 저항, 부착 및 응력 이동 및 전기 이동 특성에 많은 영향을 미친다. 또한, 루테늄 4 산화물 분자의 크기가 전통적인 루테늄 함유 전구체 보다 많이 작기 때문에, ALD 사이클 당 개선되는 루테늄 피복성에 의해, 루테늄 4 산화물을 이용하는 ALD 사이클 당 루테늄 함유 층 증착율이 종래의 전구체에 대해 증가하게 된다.In one aspect of the invention, the process of delivering a mass of ruthenium 4 oxide to the process chamber 603 is useful for an ALD or CVD type process, in which no organic material formed in the ALD or CVD precursor is present in the ruthenium containing layer. Because it does not bond to the growing ruthenium containing layer. The incorporation of organic materials into the growing ruthenium film has a great influence on the electrical resistance, adhesion and stress transfer and electrophoretic properties of the formed device. In addition, because the size of the ruthenium 4 oxide molecule is much smaller than that of traditional ruthenium containing precursors, the improved ruthenium coverage per ALD cycle results in an increase in ruthenium containing layer deposition rate per ALD cycle using ruthenium 4 oxide over conventional precursors.

도 6a는 증착 챔버(600) 내의 가스 전달 시스템(602)의 또 다른 실시예를 보여준다. 가스 전달 시스템(602)이 두 개 또는 그 이상의 소스 용기 조립체(640)(예를 들면, 아이템(640A 내지 640B))를 포함하는 것을 제외하고 가스 전달 시스템(602)은 도 4와 관련하여 설명된, 가스 전달 시스템(601)과 유사하다. 각각의 소스 용기 조립체(640A 및 640B)는 각각 자체 소스 용기(요소(641A 내지 641B)), 온도 제어기(요소(642A 내지 642B)), 온도 제어기 장치(요소(643A 내지 643B)), 열 교환 장치(요소(644A 내지 644B)), 유입 포트(요소(645A 내지 645B)) 및 유출 포트(요소(646A 내지 646B))를 포함한다. 이러한 구성에서, 도 6a에 도시된 바와 같이, 두 개의 소스 용기(640A 내지 640B)는 발생된 루테늄 4 산화물을 교대로 수집 및 분배하기 위하여 이용되어 챔버 공정이 단일 소스 용기 내에 루테늄 4 산화물을 수집하기 위하여 요구되는 시간에 의해 방해되지 않는다. 예를 들면, 가스 소스(611D 내지 611E), 제 1 소스 용기(641A) 및 공정 챔버 차단 밸브(661A)를 이용하여 제 1 소스 용기(640A)가 공정 챔버(603) 내에 위치하는 기판상에 공정 단계(706)를 완료할 때, 제 2 소스 용기(640B)는 오존 발생기(612), 처리 용기, 소스 용기(640B), 유입 포트(635), 유출 포트(636), 차단 밸브(637B) 및 공정 라인(648)을 이용하여 공정 단계(702)를 완료할 수 있다.6A shows another embodiment of a gas delivery system 602 in a deposition chamber 600. The gas delivery system 602 is described in connection with FIG. 4 except that the gas delivery system 602 includes two or more source vessel assemblies 640 (eg, items 640A through 640B). Similar to gas delivery system 601. Each source vessel assembly 640A and 640B has its own source vessel (elements 641A through 641B), a temperature controller (elements 642A through 642B), a temperature controller device (elements 643A through 643B), a heat exchange device (Elements 644A through 644B), inlet ports (elements 645A through 645B) and outlet ports (elements 646A through 646B). In this configuration, as shown in FIG. 6A, two source vessels 640A through 640B are used to alternately collect and distribute the generated ruthenium 4 oxide so that the chamber process collects ruthenium 4 oxide in a single source vessel. It is not hindered by the time required to. For example, using a gas source 611D to 611E, a first source vessel 641A, and a process chamber shutoff valve 661A, the first source vessel 640A is processed on a substrate located within the process chamber 603. Upon completing step 706, the second source vessel 640B includes an ozone generator 612, a processing vessel, a source vessel 640B, an inlet port 635, an outlet port 636, a shutoff valve 637B and Process line 648 may be used to complete process step 702.

도 6b는 가스 분배 시스템(602)의 하나의 양태를 도시하며, 여기에서 각각의 두 개 또는 그 이상의 소스 용기 조립체(640)(예를 들면, 요소(640A 또는 640B))는 자체적으로 또는 개별 처리 용기(630)에 의해 개별적으로 지지된다. 루테늄 소스 재료가 고갈되거나 유지 작용이 용기들 중 하나 상에서 수행될 것이 필요할 때 용기들(631) 중 하나(예를 들면, 631A 또는 631B)가 교체될 것이 요구될 때 이러한 구성이 유용할 수 있다. 일 실시예에서, 도 6b에 도시된 바와 같이, 가스 소스(611A 내지 611C) 및 오존 발생기(612)는 제 1 처리 용기(630A) 및 제 2 처리 용기(630B)에 의해 공유된다.6B illustrates one aspect of gas distribution system 602, where each two or more source vessel assemblies 640 (eg, elements 640A or 640B) are processed on their own or separately. Individually supported by the vessel 630. This configuration can be useful when one of the containers 631 (eg, 631A or 631B) is required to be replaced when the ruthenium source material is depleted or a maintenance action is needed to be performed on one of the containers. In one embodiment, as shown in FIG. 6B, gas sources 611A-611C and ozone generator 612 are shared by first processing vessel 630A and second processing vessel 630B.

가스 전달 시스템(602)의 하나의 양태에서, 적어도 하나의 소스 용기(640A 또는 640B)가 소정의 주어진 시간에서 목표량의 고체화 또는 결정화된 루테늄 4 산화물을 포함하는 것을 보장하도록, 제어기(480)는 공정 챔버(603)에서 수행되는 공정을 모니터링하도록 적용된다. 공정의 통상적인 양태는 제어기(480)가 모니터링하는 것이 필요할 수 있는 것은 소스 용기(640A 내지 640B) 내의 루테늄 4 산화물의 매스이고, 공정의 상태는 공정 챔버(603) 내에서 진행중이며, 및/또는 하나 또는 그 이상의 기판이 증착 챔버(600) 내에서 처리하기 위해 기다리는지 여부이다. 이러한 방식으로 가스 이송 시스템(602)은 요구되는 바와 같이 루테늄 4 산화물의 비율을 예상하여 조정하도록 적용되어, 하나 이상의 용기(640A 내지 640B)가 목표 시간에서 전구체의 목표 질량을 포함하는 것을 보장하도록 한다. 이러한 구성은 루테늄 4 산화물 발생 공정이 처리 용기(631) 내에 포함되는 루테늄 소스의 표면을 거쳐 오존 포함 가스의 유동에 의해 루테늄 또는 매스 운반을 제한하면서 오존의 반응률에 의해 운동학적으로 제한될 수 있기 때문에 중요하다. 따라서, 다중 공정 변수를 기초로 하여, 루테늄 4 산화물 발생 공정은 최대 발생율을 가지며, 이 최대 발생율에서, 루테늄 4 산화물이 형성될 수 있으며 이어서 챔버 증착 양이 이러한 공정에 의해 제한된다. 발생 공정 변수는, 몇 가지를 나열하면,오존 가스/루테늄 고체 경계면 면적, 루테늄 소스의 온도, 처리 용기(631) 내의 오존의 농도, 및 처 리 용기 내로 전달되는 캐리어 가스의 유량에 의해 영향을 받을 수 있다. 따라서, 본 발명의 하나의 양태에서, 제어기(480)는 루테늄 4 산화물 형성 공정을 시작할 때 및 루테늄 4 산화물 형성율을 제어하기 위하여 처리 용기(631) 내로의 오존 함유 가스의 유량을 조절하도록 하여 가스 전달 시스템이 최대 루테늄 4 산화물 형성율을 초과하는 비율로 루테늄 4 산화물을 발생시키기 위해 요구되는 시간 안에 소스 용기(641)를 충전하지 못하는 경우를 방지한다.In one aspect of the gas delivery system 602, the controller 480 processes to ensure that the at least one source vessel 640A or 640B contains a target amount of solidified or crystallized ruthenium 4 oxide at any given time. It is applied to monitor the process performed in the chamber 603. A typical aspect of the process is that the mass of ruthenium 4 oxide in the source vessels 640A through 640B may be necessary for the controller 480 to monitor, and the state of the process is ongoing in the process chamber 603, and / or Whether one or more substrates are waiting to process in the deposition chamber 600. In this way, the gas delivery system 602 is adapted to anticipate and adjust the proportion of ruthenium 4 oxide as required to ensure that the one or more vessels 640A through 640B contain the target mass of precursor at the target time. . This configuration is because the ruthenium 4 oxide generation process can be kinematically limited by the reaction rate of ozone while limiting ruthenium or mass transport by the flow of ozone containing gas across the surface of the ruthenium source contained within the treatment vessel 631. It is important. Thus, based on multiple process variables, the ruthenium 4 oxide generation process has a maximum incidence rate at which the ruthenium 4 oxide can be formed and the amount of chamber deposition is then limited by this process. The generation process variables, to name a few, can be influenced by the ozone gas / ruthenium solid interface area, the temperature of the ruthenium source, the concentration of ozone in the treatment vessel 631, and the flow rate of the carrier gas delivered into the treatment vessel. Can be. Thus, in one aspect of the present invention, the controller 480 is configured to adjust the flow rate of the ozone containing gas into the processing vessel 631 at the beginning of the ruthenium 4 oxide formation process and to control the ruthenium 4 oxide formation rate. Prevents the delivery system from filling the source container 641 in the time required to generate ruthenium 4 oxide at a rate that exceeds the maximum ruthenium 4 oxide formation rate.

도 6c는 유출 라인(660)에 장착되는 도우징 용기 조립체(669)를 포함하는 것을 제어하고, 도 6b에 도시된 것과 유사한 가스 전달 시스템(601)의 일 실시예를 도시하며, 이는 목표(desired) 온도 및 압력에서 루테늄 4 산화물 가스의 반복가능한 매스, 또는 루테늄 4 산화물 가스의 용적을 공정 챔버(603)로 전달하도록 한다. 도우징 용기 조립체(669)는 일반적으로 유입 차단 밸브(664), 도우징 용기(662), 및 유출 차단 밸브(663)를 포함한다. 일 실시예에서, 도우징 용기 조립체(669)는 또한 온도 센서(665), 압력 센서(667), 열 교환 장치(668)(예를 들면, 유체 열 교환 장치, 저항성 가열 장치 및/또는 열전 장치) 및 제어기(480)와 소통되는 온도 제어기(672)를 포함한다. 일반적으로, 이러한 구성에서 제어기(480)는 도우징 용기(662) 내에 지지되는 루테늄 4 산화물 가스의 상태를 제어 및 모니터링하도록 적용된다.FIG. 6C controls including a dosing vessel assembly 669 mounted to the outlet line 660 and shows one embodiment of a gas delivery system 601 similar to that shown in FIG. 6B, which is targeted. ) A repeatable mass of ruthenium 4 oxide gas, or a volume of ruthenium 4 oxide gas, is delivered to the process chamber 603 at temperature and pressure. Dosing vessel assembly 669 generally includes an inlet shutoff valve 664, a dosing vessel 662, and an outlet shutoff valve 663. In one embodiment, dosing vessel assembly 669 also includes temperature sensor 665, pressure sensor 667, heat exchanger 668 (eg, fluid heat exchanger, resistive heating and / or thermoelectric device). And a temperature controller 672 in communication with the controller 480. In general, in this configuration the controller 480 is adapted to control and monitor the state of the ruthenium 4 oxide gas supported in the dosing vessel 662.

또 다른 실시예에서, 도우징 용기 조립체(669)는 또한 광학 센서(681)를 포함하며, 광학 센서는 루테늄 4 산화물을 감지하여 제어기(480)와 통신하도록 적용된다. 하나의 양태에서, 광학 센서(681)는 루테늄 4 산화물 함유 가스 내의 광의 소정의 파장의 흡수의 변화를 측정함으로써 도우징 용기(662) 내의 루테늄 4 산화물 함유 가스의 존재를 감지하도록 적용된다. 이러한 구성에서 광학 센서는 광학 프리즘 또는 도우징 용기(662) 내의 루테늄 4 산화물 가스의 목표 농도의 존재를 감지하기 위해 측정되는 다른 종래의 장치일 수 있다.In another embodiment, the dosing vessel assembly 669 also includes an optical sensor 681, which is adapted to sense ruthenium 4 oxide and communicate with the controller 480. In one embodiment, optical sensor 681 is applied to detect the presence of ruthenium 4 oxide containing gas in dosing vessel 662 by measuring a change in absorption of a predetermined wavelength of light in the ruthenium 4 oxide containing gas. In such a configuration the optical sensor may be an optical prism or other conventional device that is measured to detect the presence of a target concentration of ruthenium 4 oxide gas in the dosing vessel 662.

도 7은 새로운 충전 도우징 용기 단계(705)를 포함하는, 도 5에 도시된 공정(700)의 변형예인 공정(700A)을 도시한다. 공정(700)의 이러한 변형예에서, 퍼지 소스 용기 단계(704)가 완료된 후, 그러나 공정 단계(706) 전에, 도우징 용기(662)가 충전된다. 일 실시예에서, 공정 단계(705)를 시작하기 전에, 도우징 용기는 유입 밸브(664)가 폐쇄되어 있는 동안, 유출 밸브(663)를 개방함으로써 목표 진공 압력으로 비워져서, 공정 챔버(603) 내의 진공 펌프(435)가 도우징 용기(662)를 비우도록 한다.FIG. 7 shows process 700A, which is a variation of process 700 shown in FIG. 5, including a new fill dosing vessel step 705. In this variant of the process 700, the dosing vessel 662 is filled after the purge source vessel step 704 is completed, but before the process step 706. In one embodiment, prior to starting process step 705, the dosing vessel is emptied to the target vacuum pressure by opening the outlet valve 663, while the inlet valve 664 is closed, thereby processing the chamber 603. Vacuum pump 435 in the interior allows emptying dosing vessel 662.

공정 단계(705)는 일정한 양의 응축 또는 고체화된 루테늄 4 산화물이 포함되는, 소스 용기(641A, 또는 641B) 중 하나가 소스 용기(640A, 640B) 내의 응축 또는 고체화된 루테늄 4 산화물이 루테늄 4 산화물 함유 가스를 형성하도록 하는 온도로 가열된다. 목표 온도가 소스 용기(640A, 640B) 내에서 달성되면, 유출 차단 밸브(663)가 폐쇄되어 있는 동안, 공정 챔버 차단 밸브(661A, 또는 661B), 및 유입 차단 밸브(664)가 개방되어, 루테늄 4 산화물 가스가 도우징 용기(662) 내로 유동하도록 한다. 루테늄 4 산화물 가스의 목표 압력 및 온도가 도우징 용기(662)에서 달성되면, 유입 밸브(664)가 폐쇄된다. 목표 온도 및 압력에서 고정된 매스 또는 용적이 도우징 용기(662) 내에 유지된다. 일반적으로, 공정 단계(706)가 완료될 때까지, 도우징 용기(662) 내에 유지된 루테늄 4 산화물의 매스는 온도 센서(665), 압력 센서(667), 열 교환 장치(668) 및 온도 제어기(672)의 이용에 의해 유지된다. 하나의 양태에서, 반복가능한 증착 공정, 즉, 공정 단계(706)가 기판 상에수행되도록, 목표 온도 및/또는 압력이 도우징 용기(662) 내에서 달성되고나서 공정 단계(706)가 시작한다.Process step 705 includes a certain amount of condensed or solidified ruthenium 4 oxide in which one of the source vessels 641A, or 641B is condensed or solidified ruthenium 4 oxide in the source vessel 640A, 640B. Heated to a temperature to form a containing gas. If the target temperature is achieved in the source vessels 640A, 640B, while the outflow shutoff valve 663 is closed, the process chamber shutoff valve 661A, or 661B, and the inlet shutoff valve 664 are opened, thereby ruthenium 4 Oxide gas is allowed to flow into the dosing vessel 662. Once the target pressure and temperature of the ruthenium 4 oxide gas is achieved in the dosing vessel 662, the inlet valve 664 is closed. A fixed mass or volume at the target temperature and pressure is maintained in the dosing vessel 662. In general, the mass of ruthenium 4 oxide retained in the dosing vessel 662 until the process step 706 is completed, the temperature sensor 665, the pressure sensor 667, the heat exchanger 668 and the temperature controller. Maintained by use of 672. In one embodiment, process step 706 begins after a target temperature and / or pressure is achieved in dosing vessel 662 such that a repeatable deposition process, ie, process step 706 is performed on the substrate. .

공정(700A)에서, 공정 단계(706)는 시스템 내에 도우징 용기(662)의 결합에 의해 도 5와 관련하여 위에서 설명된 공정으로부터 변형된다. 이러나 구성에서, 유입 밸브(664)가 폐쇄되어 있는 동안, 가스 소스 차단 밸브(673) 및 유출 밸브(663)가 개방될 때 공정(706)이 완료되어, 불활성 가스 소스(674)로부터의 캐리어 가스가 도우징 용기(662)를 통과하여 유동하고 루테늄 4 산화물 함유 가스를 유입 라인(426)으로, 샤워헤드(410)를 통하여, 비워진 공정 영역(427) 내로 그리고 온도 제어된 기판(422)을 거쳐 운반되도록 하여 루테늄 함유 층이 기판 표면상에 형성될 수 있도록 한다. 하나의 양태에서, 개리어 가스가 공정 영역(427)으로 루테늄 4 산화물을 전달하기 위하여 이용되지 않는다.In process 700A, process step 706 is modified from the process described above in connection with FIG. 5 by the incorporation of the dosing vessel 662 in the system. In this configuration, however, while the inlet valve 664 is closed, the process 706 is completed when the gas source shutoff valve 673 and the outlet valve 663 are opened, so that the carrier gas from the inert gas source 674 is completed. Flows through the dosing vessel 662 and passes the ruthenium 4 oxide containing gas to the inlet line 426, through the showerhead 410, into the emptied process region 427 and through the temperature controlled substrate 422. It is transported such that a ruthenium containing layer can be formed on the substrate surface. In one embodiment, the carrier gas is not used to deliver ruthenium 4 oxide to the process region 427.

하나의 양태에서, 불활성 가스 소스(674) 및/또는 도우징 용기(662)가 루테늄 4 산화물 함유 가스를 공정 영역(427) 내로 "도우즈(dose)" 또는 "펄스(pulse)"하기 위해 이용되어, 가스가 기판의 표면을 포화할 수 있다(예를 들면, ALD 타입 공정). "도우즈" 또는 "도우징 공정"은 목표 시간 동안 다양한 차단 밸브를 개방 및 폐쇄함으로써 수행될 수 있는 목표 량의 루테늄 함유 가스가 공정 챔버(603) 내로 주입될 수 있다. 하나의 양태에서, 불활성 가스가 도우징 공정 동안 가스 소스(674)로부터 도우징 용기(662)로 전달하지 않는다.In one embodiment, an inert gas source 674 and / or dosing vessel 662 are used to “dose” or “pulse” a ruthenium 4 oxide containing gas into the process region 427. The gas can then saturate the surface of the substrate (eg, an ALD type process). A "dose" or "dosing process" may be injected into the process chamber 603 with a target amount of ruthenium containing gas, which may be performed by opening and closing various shutoff valves for a target time. In one embodiment, no inert gas is delivered from the gas source 674 to the dosing vessel 662 during the dosing process.

도 4를 참조하면, 본 발명의 하나의 양태에서, 오존 발생기(612B)가 공정 챔버(603)로 연결되어 이전 증착 단계 동안 다양한 챔버 부품상에 증착된 루테늄을 제거하기 위해 이용된다. 하나의 양태에서, 단일 오존 발생기(612)가 루테늄 4 산화물 함유 가스를 형성하여 처리 챔버(603)를 세정하기 위해 이용된다.Referring to FIG. 4, in one aspect of the invention, an ozone generator 612B is connected to the process chamber 603 and used to remove ruthenium deposited on various chamber components during a previous deposition step. In one embodiment, a single ozone generator 612 is used to form a ruthenium 4 oxide containing gas to clean the processing chamber 603.

선택적인 루테늄 4 산Optional ruthenium tetraacid 화물freight 발생 공정 Generation process

도 9는 과루테늄산염 함유 소스 재료를 이용하여 루테늄 4 산화물을 형성하기 위하여 이용될 수 있는 루테늄 4 산화물 함유 용매 형성 공정(1001)의 일 실시예를 보여준다. 루테늄 4 산화물 함유 용매 형성 공정(1001)(요소(1002))의 제 1 단계는 첫번째로 제 1 용기(예를 들면, 도 10c의 요소(1021)) 내의 수용액 내의 나트륨 과루테늄산염과 같은, 과루테늄산염 재료를 용해시킴으로써 시작된다. 일 실시예에서, 공정 용액은 루테늄 4 산화물을 유리하기 위하여 황산을 약 7의 pH 값으로 적정이 후속되는 과잉 나트륨 차아염소산염(NaOCl)의 용액으로 나트륨 과루테늄산염을 용해함으로써 형성될 수 있다. 칼륨 차아염소산염 또는 칼슘 차아염소산염과 같은 차아염소산염 재료가 또한 나트륨 차아염소산염을 대신하여 이용될 수 있다. 루테늄 4 산화물은 반응식(4)에 따라 형성된다.9 shows one embodiment of a ruthenium 4 oxide containing solvent formation process 1001 that may be used to form ruthenium 4 oxide using a perruthenate salt containing source material. The first step of the ruthenium 4 oxide containing solvent formation process 1001 (urea 1002) is firstly performed, such as sodium perrunate, in an aqueous solution in a first vessel (eg, urea 1021 in FIG. 10C). Start by dissolving the ruthenate material. In one embodiment, the process solution may be formed by dissolving sodium perruthenate with a solution of excess sodium hypochlorite (NaOCl) followed by titration of sulfuric acid to a pH value of about 7 to liberate ruthenium 4 oxide. Hypochlorite materials such as potassium hypochlorite or calcium hypochlorite may also be used in place of sodium hypochlorite. Ruthenium 4 oxide is formed according to reaction (4).

2NaRuO4 + H2SO4 + NaOCl → 2RuO4 + NaCl + H2O + Na2SO4 (4)2NaRuO 4 + H 2 SO 4 + NaOCl → 2RuO 4 + NaCl + H 2 O + Na 2 SO 4 (4)

일 실시예에서, 공정 용액은 50 mL의 나트륨 차아염소산염(예를 들면, 10% NaOCl 용액)과 1 그램의 미세하게 분말화된 나트륨 과루테늄산염을 혼합하고 반드 시 완전히 용해될 때까지 교반시킴으로써 형성된다. 물 내의 H2SO4의 충분한 양의 10% 용액이 약 7의 pH를 달성하도록 추가될 수 있다. 일반적으로, 비-산화성 및 비 휘발성인 소정의 산이 인산(H3PO4)과 같은, 황산 대신 이용될 수 있다.In one embodiment, the process solution is formed by mixing 50 mL of sodium hypochlorite (eg, 10% NaOCl solution) with 1 gram of finely powdered sodium perruthenate and stirring until completely dissolved. do. Sufficient 10% solution of H 2 SO 4 in water may be added to achieve a pH of about 7. In general, certain acids that are non-oxidative and nonvolatile may be used in place of sulfuric acid, such as phosphoric acid (H 3 PO 4 ).

용매 형성 공정(1001)을 포함하는 루테늄 4 산화물의 일 실시예에서, 선택적인 정화 단계(1004)가 이어서 공정 용액 상에서 수행된다. 단계(1005)는 일반적으로 1) 공정 용액 혼합물을 제 1 용기 내에서 약 50℃의 온도로 상승시키는 단계, 및 2) 제 1 용기 내에서 발생된 증기를 냉각된 제 2 용기(예를 들면, 20℃ 이하)로 전달하도록 공정 용액을 통하여 불활성 가스 또는 오존(O3)을 거품화하는 단계를 포함하며 상기 거품화 단계에서 발생된 증기는 루테늄 4 산화물 및 물의 혼합물을 응축한다. 제 1 용기에 발생된 루테늄 4 산화물 증기는 제 2 용기 내에 포함된 깨끗한 물에 수집된다. 단계(1004)의 완료 후, 제 2 용기는 수용액 성분을 포함하며, 나머지의 루테늄 4 산화물을 포함하는 용매 형성 공정(1001) 단계가 이용되며, 제 1 용기 내의 좌측 상의 성분이 폐기 또는 재생할 수 있다. 단계(1004)는 루테늄 4 산화물 소스 재료로서 이용되는 공정 용액을 정화하기 위해 이용될 수 있다.In one embodiment of ruthenium 4 oxide comprising a solvent formation process 1001, an optional purge step 1004 is then performed on the process solution. Step 1005 generally comprises 1) raising the process solution mixture to a temperature of about 50 ° C. in the first vessel, and 2) cooling the vapor generated in the first vessel to a second vessel (eg, Foaming an inert gas or ozone (O 3 ) through the process solution to deliver up to 20 ° C.) and the vapor generated in the foaming step condenses the mixture of ruthenium 4 oxide and water. Ruthenium 4 oxide vapor generated in the first vessel is collected in clean water contained in the second vessel. After completion of step 1004, the second vessel comprises an aqueous solution component and a solvent forming process 1001 comprising the remaining ruthenium 4 oxide is used, and the components on the left side in the first vessel may be discarded or regenerated. . Step 1004 may be used to purify the process solution used as the ruthenium 4 oxide source material.

단계(1006)에서, 일정한 양의 용매가 수용액에 추가되어 수용액 내에 함유된 루테늄 4 산화물 모두를 용해시킨다. 적절한 용매는 일반적으로 퍼플루오로카본(CxFY), 하이드로플루오로카본(HxCYFz), 또는 클로로플루오로카본(프레온 또는 CFCs)과 같은 재료를 포함한다. 일반적으로, 비극성, 비산화성이고 약 50℃ 근처 및 더 이상 바람직하게는 아래의 끓는점을 가지는 소정의 용매 재료가 이러한 공정을 수행하기 위하여 이용될 수 있다. 바람직하게는, 용매의 끓는점은 약 25℃ 내지 40℃의 범위 내에 있다. 일반적으로, 클로로플루오로카본 및 퍼플루오로카본 둘다 효과적이지만, 오존 고갈 물질(ODS)로서 작용하지 않는 것으로서 보여지는 퍼플루오로카본이 바람직하다. 예를 들면, 적절한 용매는 퍼플루오로펜탄(C5F12), 퍼플루오로헥산(C6F14), 프레온 11(플루오로트리클로로메탄(CFCl3), 또는 프레온 113(1,1,2-트리클로로-1,2,3-트리플루오로에탄(CCl2FCClF2))와 같은 프레온 함유 재료, 또는 이들의 유도체, 또는 이들의 조합물일 수 있다. 일반적으로, 특히 전체 공정이 환경 내로의 방출을 방지할 수 있는 밀봉 시스템 내에 수행될 수 있는 경우. 다양한 통상적인 냉매가 용매로서 적용될 수 있다. 퍼플루오로펜탄은 반도체 산업에서 이용하기에 많은 장점을 가질 수 있으며, 이는 순순한 형태로 용이하게 구입할 수 있으며, ODS가 아니고, 매우 불활성이어서 일반적으로 처리 동안 노출되어도 재료와 반응하지 않기 때문이다.In step 1006, a certain amount of solvent is added to the aqueous solution to dissolve all of the ruthenium 4 oxide contained in the aqueous solution. Suitable solvents generally include materials such as perfluorocarbons (C x F Y ), hydrofluorocarbons (H x C Y F z ), or chlorofluorocarbons (freons or CFCs). In general, any solvent material that is nonpolar, non-oxidative and having a boiling point near about 50 ° C. and no longer preferably below may be used to perform this process. Preferably, the boiling point of the solvent is in the range of about 25 ° C to 40 ° C. Generally, both chlorofluorocarbons and perfluorocarbons are effective, but perfluorocarbons which are shown as not acting as ozone depleting substances (ODS) are preferred. For example, suitable solvents are perfluoropentane (C 5 F 12 ), perfluorohexane (C 6 F 14 ), Freon 11 (fluorotrichloromethane (CFCl 3 ), or Freon 113 (1,1, Freon-containing materials, such as 2-trichloro-1,2,3-trifluoroethane (CCl 2 FCClF 2 )), or derivatives thereof, or combinations thereof. If it can be carried out in a sealing system that can prevent the release of a variety of conventional refrigerants can be applied as the solvent Perfluoropentane can have many advantages for use in the semiconductor industry, which is easy in pure form This is because it is commercially available, not ODS, and is very inert and generally does not react with the material when exposed during processing.

루테늄 4 산화물 함유 용매 형성 공정(1001)의 일 실시예에서, 선택적 단계(1008)는 단계(1006)에서 형성된 용매 혼합물 상에서 이어서 완료될 수 있다. 이러한 단계는 제 1 용기(예를 들면, 도 10c의 요소(1021)) 내에 함유된 용매 혼합물을 통한 거품 오존(O3)의 작용이 추가되며, 이는 바람직하게는 거의 실온으로 유지되어 루테늄 4 산화물의 형성 완료를 보장한다. 루테늄 발생 단계의 일 실시예는 목표량의 루테늄 4 산화물이 공정에 의해 형성될 때까지 1g의 나트륨 과루테늄산염, 50mL의 물 및 25g의 프레온 113을 포함하는 혼합물을 통하여 500 mL/min의 비율로 4% 오존 함유 가스를 유동시키는 단계를 포함한다.In one embodiment of the ruthenium 4 oxide containing solvent formation process 1001, optional step 1008 may then be completed on the solvent mixture formed in step 1006. This step adds the action of foamed ozone (O 3 ) through the solvent mixture contained in the first vessel (eg, urea 1021 in FIG. 10C), which is preferably maintained at approximately room temperature to provide ruthenium 4 oxide Ensure the completion of its formation. One embodiment of the ruthenium generation step is performed at a rate of 500 mL / min through a mixture comprising 1 g sodium perruthenate, 50 mL water and 25 g Freon 113 until a target amount of ruthenium 4 oxide is formed by the process. Flowing a% ozone containing gas.

루테늄 4 산화물 함유 용매 형성 공정(1001)의 최종 단계(1010)는 일반적으로 "무수" 용매 혼합물을 형성하도록 단계(1006 및/또는 1008) 완료 후 형성된 용매 혼합물로부터 물을 분리하는 단계를 요구한다. 하나의 양태에서, 물과 혼합되지 않는 용매를 선택함으로써 소정의 종래의 물리적 분리 공정의 이용에 의해 물이 용매 혼합물로부터 용이하게 제거되는 것을 허용한다. 용매 혼합물의 나머지로부터 물의 대부분, 전부는 아님,의 분리에 대한 실패가 후속하는 공정 단계에서 문제점을 일으킬 수 있어 루테늄 함유 층 증착의 선택도를 감소시킬 수 있다. 선택된 용매가 물과 혼합되지 않아서 퍼플루오로펜탄, 프레온 11 또는 프레온 113과 같으니, 물과 사이한 밀도를 가지는 경우, 대부분의 물이 간단한 기계적 기술(예를 들면, 분리 깔대기, 사이펀 또는 펌프)의 이용에 의해 정적 혼합물로부터 용이하게 분리될 수 있다. 잔여 물의 완전한 제거는 종래의 여과가 후속되는 액체와 분자 시이브(예를 들면, 3A 분자 시이브)가 접촉함으로써 수행될 수 있다. 하나의 양태에서, "무수" 용매 혼합물은 루테늄 4 산화물이 증착되는 공정 도구 상에서 이용하기 위한 ALD 또는 CVD 전구체 소스로서 이용될 수 있는 용기 내로 전달된다. 순수한 고체 루테늄 4 산화물이 일반적으로 안정적이지 않아서 처리하기가 어렵고 하나의 장소로부터 다른 장소로 운반하기가 어렵다는 것에 주의하는 것이 중요하다. 따라서, 본 명세서에서 설명된 본 발명의 하나의 장점은 루테늄 함유 층을 형성하기 위하여 이용될 수 있는 순수한 루테늄 4 산화물을 효과적으로 이송 및/또는 발생할 수 있는 방법을 형성하는 것이다. 하나의 양태에서, 루테늄 4 산화물이 루테늄 이산하물 및 산소로 분리되는 것을 방지하기 위하여 빛에 노출되지 않는 환경 내에서 루테늄 4 산화물을 선적 및 배치하는 것이 바람직할 수 있다.The final step 1010 of the ruthenium 4 oxide containing solvent formation process 1001 generally requires separating water from the solvent mixture formed after completion of steps 1006 and / or 1008 to form a “anhydrous” solvent mixture. In one embodiment, selecting a solvent that does not mix with water allows water to be easily removed from the solvent mixture by the use of certain conventional physical separation processes. Failure to separate most, but not all, of the water from the rest of the solvent mixture can cause problems in subsequent process steps, which can reduce the selectivity of ruthenium containing layer deposition. Since the solvent selected is not mixed with water, such as perfluoropentane, Freon 11 or Freon 113, if the density is between water, most of the water may be of a simple mechanical technique (eg separation funnel, siphon or pump). By use it can be easily separated from the static mixture. Complete removal of residual water can be performed by contacting the molecular sieve (eg, 3A molecular sieve) with the liquid followed by conventional filtration. In one embodiment, the “anhydrous” solvent mixture is delivered into a container that can be used as an ALD or CVD precursor source for use on a process tool where ruthenium 4 oxide is deposited. It is important to note that pure solid ruthenium 4 oxides are generally unstable and difficult to process and difficult to transport from one place to another. Thus, one advantage of the present invention described herein is to form a method that can effectively transport and / or generate pure ruthenium 4 oxide that can be used to form a ruthenium containing layer. In one embodiment, it may be desirable to ship and place ruthenium 4 oxide in an environment that is not exposed to light to prevent the ruthenium 4 oxide from separating into ruthenium dioxide and oxygen.

일 실시예에서, 오염물 모두가 "무수" 용매 혼합물로부터 제거되는 것을 보장하여 후속하는 루테늄 함유 층 증착 공정 단계 동안 기판 표면의 오염을 방지 또는 최소화하는것을 보장하는 것이 중요할 수 있다. 하나의 양태에서, 모든 또는 대부분의 오염물이 제거되는 것을 보장하기 위하여, 혼합물 또는 이의 성분이 기판 표면상에 노출될 준비가 되기 전에 다양한 정화 공정이 "무수" 용매 혼합물 상에서 완료될 수 있다. 하나의 양태에서, 정화 공정은 적어도 한번 단계(1002)에서 형성된 공정 용액 상에 공정 단계(1004)를 완료하는 단계를 포함할 수 있다. 또 다른 양태에서, 루테늄 4 산화물 함유 용매 형성 공정(1001) 내의 공정 단계(1010)가 적어도 한번 공정 용액 상에서 완료된다.In one embodiment, it may be important to ensure that all contaminants are removed from the "anhydrous" solvent mixture to prevent or minimize contamination of the substrate surface during subsequent ruthenium containing layer deposition process steps. In one embodiment, to ensure that all or most contaminants are removed, various purification processes may be completed on the “anhydrous” solvent mixture before the mixture or its components are ready to be exposed on the substrate surface. In one aspect, the purification process may comprise completing process step 1004 on the process solution formed in step 1002 at least once. In another embodiment, process step 1010 in the ruthenium 4 oxide containing solvent formation process 1001 is completed at least once on the process solution.

루테늄 4 산Ruthenium 4-acid 화물freight 함유 용매를 이용하는 루테늄-함유 층 증착 공정 Ruthenium-containing layer deposition process using a solvent containing

류테늄 4 산화물 함유 용매 형성 공정(1001)을 수행한 후, "무수" 용매 혼합물이 도 10a에 도시된 공정(700)(앞으로는 공정(700B))의 또 다른 실시예의 이용에 의해 기판의 표면상의 루테늄 함유 층을 형성하기 위해 이용된다. 이러한 실시예에서, 공정(700B)은 새로운 공정 단계(701), 공정 단계(702)의 세부 버젼(즉, 도 10c의 단계(702A)), 및 공정 단계(704 내지 706)를 포함한다. 다른 실시예에서, 공정(700B) 내의 단계가 재배치, 변경될 수 있으며, 하나 또는 그 이상의 단계가 제거될 수 있거나, 두 개 또는 그 이상의 단계가 본 발명의 기본 범위로부터 변화하지 않고 단일 단계 내로 조합될 수 있다. 예를 들면, 일 실시예에서, 공정 단계(705)는 공정(700B)으로부터 게거된다.After performing the ruthenium 4 oxide containing solvent formation process 1001, a "anhydrous" solvent mixture is formed on the surface of the substrate by the use of another embodiment of the process 700 shown in FIG. 10A (hereafter process 700B). It is used to form a ruthenium containing layer. In this embodiment, process 700B includes a new process step 701, a detailed version of process step 702 (ie, step 702A of FIG. 10C), and process steps 704-706. In other embodiments, steps in process 700B may be rearranged, changed, one or more steps may be removed, or two or more steps may be combined into a single step without changing from the basic scope of the present invention. Can be. For example, in one embodiment, process step 705 is removed from process 700B.

공정(700B)의 제 1 단계, 또는 단계(701)는 "무수" 용매 혼합물의 나머지로부터 루테늄 4 산화물의 분리를 요구한다. 일 실시예에서, 단계(701)는 나머지의 "무수" 용매 혼합물로부터 루테늄 4 산화물을 분리하도록 분리 하드웨어 시스템(1020)(도 10c 참조)을 이용할 수 있는 일련의 공정 단계(도 10b의 공정 순서(701A) 참조)이다. 도 10b는 공정 단계(701)를 수행하기 위해 이용할 수 있는 공정 순서(701A)의 일 실시예를 도시한다. 공정 순서(701A)는 공정 용기 조립체(1023)에 루테늄 4 산화물 함유 용매 형성 공정(1001)을 이용하여 형성된 "무수" 용매 혼합물(요소 "A")을 포함하는 제 1 용기(1021)를 전달 및 연결함으로써 시작된다. 도 10c에 도시된 하드웨어는 도 4 및 도 6a 내지 도 6c에 도시된 처리 용기(630, 630A, 및 630B)를 위해 직접 교체되는 것으로 의도되며, 이는 루테늄 4 산화물 함유 가스가 소스 용기 조립체(도 4의 요소(640) 및 도 6a 내지 도 6c의 요소(640A 또는 640B) 참조) 및 결국 처리 챔버(603)(도 4 및 도 6a 내지 도 6c 참조)으로 전달한다. 명확성을 위해, 도 4 및 도 6a 내지 도 6c 내에서 유사한 또는 동일한 도면부호가 명확성을 위해 도 10c에서 이용된다. 처리 용기 조립체(1023)는 일반적으로 처리 용기(1023B) 및 온도 제어 장치(1023A)(예를 들면, 유체 열 교환 장치, 저항성 가열 장치 및/또는 열전 장치)를 포함한다.The first step, or step 701, of process 700B requires the separation of ruthenium 4 oxide from the rest of the “anhydrous” solvent mixture. In one embodiment, step 701 is a series of process steps (see FIG. 10B) that may utilize separation hardware system 1020 (see FIG. 10C) to separate ruthenium 4 oxide from the remaining “anhydrous” solvent mixture. 701A). 10B illustrates one embodiment of a process sequence 701A that can be used to perform process step 701. Process sequence 701A delivers a first vessel 1021 to a process vessel assembly 1023 that includes a “anhydrous” solvent mixture (element “A”) formed using a ruthenium 4 oxide containing solvent forming process 1001. Start by connecting. The hardware shown in FIG. 10C is intended to be replaced directly for the processing vessels 630, 630A, and 630B shown in FIGS. 4 and 6A-6C, in which the ruthenium 4 oxide containing gas is replaced by the source vessel assembly (FIG. 4). Element 640 and elements 640A or 640B of FIGS. 6A-6C) and eventually to process chamber 603 (see FIGS. 4 and 6A-6C). For clarity, similar or identical reference numerals are used in FIG. 10C for clarity in FIGS. 4 and 6A-6C. The processing vessel assembly 1023 generally includes a processing vessel 1023B and a temperature control device 1023A (eg, a fluid heat exchanger, resistive heating device, and / or thermoelectric device).

공정 순서(701A)의 제 1 단계(단계(701B))는 계량 펌프(1022) 또는 다른 종래의 유체 전달 공정의 이용에 의해 처리 용기(1023B) 내로, 목표량의 "무수" 용매 혼합물을 주입하는 단계에 의해 시작된다. 이어서 처리 용기(1023B)는 열 교환 장치(1023A), 진공 펌프(1025) 및/또는 하나 또는 그 이상의 가스 소스(611B 내지 611C)의 이용에 의해 목표 온도 및 압력으로 비워져서 루테늄 4 산화물 보다 더 높은 증기 압력을 가지는 용매가 증기화되어 처리 용기(1023B)(도 10c의 요소("B")) 내에 유지되는 루테늄 4 산화물로부터 분리된다. 예를 들면, 프레온(113)이 용매 재료로서 이용되는 경우, 약 0℃ 보다 작은 온도 및 약 360 Torr의 압력이 용매 혼합물로부터 고체화된 루테늄 4 산화물을 분리하기 위해 이용될 수 있다. 약 3 Torr와 같은 저압이 분리 공정을 수행하기 위해 이용될 수 있지만, 이러한 압력을 완료하기 위해 이용된 압력이 낮기 때문에, 더 많은 양의 루테늄 4 산화물이 용매와 함께 운반되어 손실될 수 있다.The first step of process sequence 701A (step 701B) is to inject a desired amount of "anhydrous" solvent mixture into processing vessel 1023B by use of a metering pump 1022 or other conventional fluid transfer process. Is started by. The processing vessel 1023B is then emptied to the target temperature and pressure by the use of a heat exchanger 1023A, a vacuum pump 1025 and / or one or more gas sources 611B to 611C to achieve higher than ruthenium 4 oxide. A solvent with vapor pressure is vaporized and separated from the ruthenium 4 oxide retained in the processing vessel 1023B (element “B” in FIG. 10C). For example, when freon 113 is used as the solvent material, a temperature less than about 0 ° C. and a pressure of about 360 Torr may be used to separate the solidified ruthenium 4 oxide from the solvent mixture. Low pressures such as about 3 Torr may be used to perform the separation process, but because of the low pressure used to complete this pressure, higher amounts of ruthenium 4 oxide may be carried with the solvent and lost.

공정 순서(701A)의 마지막 단계, 단계(701D)는 일반적으로 처리 용기 내의 압력이 목표 수준에 도달할 때까지 또는 용기 내의 압력이 안정화될 때까지 처리 용기(1023B)가 비워질 것을 요구한다. 일반적으로, 단계(701D)는 단지 작은 양의 용매, 남겨진 물 및/또는 다른 용해가능한 외부 재료가 처리 용기(1023B) 내에 남아있을 때까지 수행된다. 루테늄 4 산화물 재료로부터 다른 재료의 적절한 분리의 실패는 후속하는 증착 공정(들)(예를 들면, 도 5 및 도 7의 단계(706)) 동안 형성된 루테늄 함유 층을 오염시킬 수 있다. 하나의 양태에서, 용매 및 다른 재료가 제거되도록 처리 용기(1023B) 내의 온도를 제어하는 것이 유용할 수 있다.The last step of process sequence 701A, step 701D, generally requires the processing vessel 1023B to be emptied until the pressure in the processing vessel reaches a target level or until the pressure in the vessel stabilizes. Generally, step 701D is performed until only a small amount of solvent, water left and / or other soluble external material remains in the processing vessel 1023B. Failure to properly separate other materials from the ruthenium 4 oxide material may contaminate the ruthenium containing layer formed during subsequent deposition process (s) (eg, step 706 of FIGS. 5 and 7). In one embodiment, it may be useful to control the temperature in the processing vessel 1023B to remove solvent and other materials.

공정 순서(701A)의 하나의 양태에서, 처리 용기(1023B)가 진공 펌프(1025)에 의해 비워질 때 냉각 트랩 조립체(1024)는 형성된 증기화된 용매 재료를 수집하여 재생하기 위해 이용된다. 냉각 트랩 조립체(1024)는 후속하는 단계에서 응축된 용 매가 수집 탱크/시스템(1024D) 내에서 재생될 수 있도록 증기화된 용매 재료가 응축되도록 하는 온도로 증기 라인(1025A)의 일 부분을 냉각하도록 한다. 냉각 트랩 조립체(1024)는 일반적으로 냉각 진공 라인(1025A)의 수집 영역(1024B), 차단 밸브(1026), 온도 제어 장치(1024A)(예를 들면, 유체 열 교환 장치, 저항 가열 장치 및/또는 열전 장치) 및 용매 수집 탱크/시스템(1024D)에 연결되는 수집 라인(1024C)을 포함한다. 하나의 양태에서, 응축된 용매 내의 어떠한 수집된 루테륨 4 산화물도 재생될 수 있다.In one aspect of process sequence 701A, cold trap assembly 1024 is used to collect and regenerate the vaporized solvent material formed when processing vessel 1023B is emptied by vacuum pump 1025. The cold trap assembly 1024 is configured to cool a portion of the steam line 1025A to a temperature that allows the vaporized solvent material to condense so that the condensed solvent can be regenerated in the collection tank / system 1024D in a subsequent step. do. The cold trap assembly 1024 generally includes a collection area 1024B, a shutoff valve 1026, a temperature control device 1024A (eg, a fluid heat exchanger, a resistive heating device and / or a cooling vacuum line 1025A). Thermoelectric device) and a collection line 1024C connected to the solvent collection tank / system 1024D. In one embodiment, any collected ruthelium 4 oxide in the condensed solvent can be regenerated.

단계(701)를 수행한 후, 처리 용기(1023B) 내에 포함되는, 분리된 루테늄 4 산화물은 공정 단계(702)(도 10a의 단계(702A)) 및 상술된 공정 단계(704 내지 706)의 세부 버젼의 이용에 의해 기판의 표면 상에 루테늄 함유 층을 형성하기 위하여 이용될 수 있다. 상세한 공정 단계(702A)는 상술된 공정 단계(702)에 설명된 양태와 유사하게, 소스 용기 조립체(예를 들면, 도 4 및 도 6a 내지 도 6c 내의 요소(640, 640A 또는 640B)) 내에 수집될 수 있도록, 처리 용기(1023B)에 포함된 루테늄 4 산화물 재료의 온도 및 처리 용기(1023B) 내부의 압력을 제어하는 단계를 요구한다. 본 명세서에서 사용되는 용어 " 증기화(vaporize) "는 재료가 고체 또는 액체로부터 증기로 변환하도록 하는 공정을 설명하는 것으로 의도된다. 일 실시예에서, 루테늄 4 산화물 재료는 증기화된 재료가 소스 용기(들)로 전달되어 수집될 수 있도록 증기 공정이 발생하도록 약 25℃ 및 2 Torr의 온도로 유지된다. 도 10c를 참조하면, 하나의 양태에서, 증기화된 루테늄 산화물은 하나 또는 그 이상의 가스 소스(611B 내지 611C)로부터 처리 용기(1023B), 공정 라인(예를 들면, 648, 648A 또는 648B) 및 밸브(637A)를 통하여 처리 용기(들)(도시안됨)로 전달된 유동 공정 가스에 의해 수행된다. 루테늄 4 산화물 함유 가스의 농도 및 유량은 처리 용기(1023B) 내의 루테늄 4 산화물의 증기화율 및 공정 가스 유량과 관련된다. 증기화율은 처리 용기(1023B) 내에 유지되는 압력 및 온도에서 루테늄 4 산화물의 평형 부분 압력에 관련된다. 단계(702A)를 수행한 후 루테늄 함유 층은 상술된 바와 같이 공정 단계들(704 내지 706)을 따라 기판 표면 상에 증착될 수 있다. 일 실시예에서, 루테늄 4 산화물의 다중 순차적 도우즈가 다중 층 루테늄 함유 필름을 형성하도록 공정 챔버(603)로 전달된다. 다중 순차적 도우즈를 수행하기 위하여, 도 10과 관련하여 설명된, 공정 단계들(701 내지 706) 중 적어도 하나가 다중 층 루테늄 함유 필름을 형성하도록 여러번 반복된다. 또 다른 실시예에서, 루테늄 4 산화물 함유 가스의 목표 농도의 연속 유동은 루테늄 함유 층 증착 공정 동안 기판의 표면을 거쳐 전달된다.After performing step 701, the separated ruthenium 4 oxide, contained within the processing vessel 1023B, is subjected to process step 702 (step 702A in FIG. 10A) and the details of process steps 704-706 described above. By the use of versions it can be used to form a ruthenium containing layer on the surface of the substrate. Detailed process step 702A is collected in a source vessel assembly (eg, elements 640, 640A or 640B in FIGS. 4 and 6A-6C), similar to the aspects described in process step 702 described above. As such, controlling the temperature of the ruthenium 4 oxide material contained in the processing vessel 1023B and the pressure inside the processing vessel 1023B is required. As used herein, the term “vaporize” is intended to describe a process that allows a material to convert from solid or liquid to steam. In one embodiment, the ruthenium 4 oxide material is maintained at a temperature of about 25 ° C. and 2 Torr so that a steam process occurs so that the vaporized material can be delivered to and collected in the source vessel (s). Referring to FIG. 10C, in one embodiment, vaporized ruthenium oxide is processed from one or more gas sources 611B-611C, process vessel 1023B, process line (eg, 648, 648A, or 648B) and valve. Performed by flow process gas delivered to the processing vessel (s) (not shown) via 637A. The concentration and flow rate of the ruthenium 4 oxide containing gas are related to the vaporization rate and process gas flow rate of the ruthenium 4 oxide in the processing vessel 1023B. The vaporization rate is related to the equilibrium partial pressure of ruthenium 4 oxide at the pressure and temperature maintained in the processing vessel 1023B. After performing step 702A, a ruthenium containing layer may be deposited on the substrate surface along process steps 704-706 as described above. In one embodiment, multiple sequential doses of ruthenium 4 oxide are delivered to process chamber 603 to form a multi-layered ruthenium containing film. In order to perform multiple sequential doses, at least one of the process steps 701-706, described in connection with FIG. 10, is repeated several times to form a multilayered ruthenium containing film. In another embodiment, a continuous flow of the target concentration of ruthenium 4 oxide containing gas is transferred across the surface of the substrate during the ruthenium containing layer deposition process.

무수 용매 혼합물을 이용하는 루테늄-함유 층 증착 공정Ruthenium-containing layer deposition process using anhydrous solvent mixture

기판 표면 상에 루테늄 함유 층을 형성하는 공정의 일 실시예에서, 루테늄 4 산화물 함유 용매 형성 공정(1001) 내에 형성된 "무수" 용매 혼합물은 처리 챔버(603)(도 11 참조) 내에 위치설정되는 기판 표면으로 직접 전달된다. 하나의 양태에서, 일반적으로 분해 온도 아래의 온도에서 기판 표면 상의 재료와 상호 작용하지 않는 퍼플루오로펜탄(C5F12)과 같은 불활성 용매가 루테늄 함유 층 증착 공정 동안 기판 표면의 오염을 방지하기 위하여 이용된다.In one embodiment of the process of forming a ruthenium containing layer on the substrate surface, the “anhydrous” solvent mixture formed in the ruthenium 4 oxide containing solvent forming process 1001 is positioned within the processing chamber 603 (see FIG. 11). Delivered directly to the surface. In one embodiment, an inert solvent such as perfluoropentane (C 5 F 12 ), which does not interact with the material on the substrate surface at temperatures below the decomposition temperature, to prevent contamination of the substrate surface during the ruthenium containing layer deposition process. To be used.

도 11을 참조하면, 이러한 실시예에서, 루테늄 함유 층은 처리 챔버(603)의 공정 영역(427) 내에 위치하는 기판으로 "무수" 용매 혼합물을 전달함으로써 가열된 기판의 표면상에 형성된다. 가열된 기판은 약 350℃ 아래 온도, 및 더욱 바람직하게는 약 300℃ 아래 온도일 수 있다. 공정 온도의 선택은 용매 재료의 분해를 방지하기 위해 중요할 수 있다. 통상적으로, 처리 챔버 압력은 루테늄 함유 층 증착 공정을 완료하기 위하여 약 10 Torr 아래의 공정 압력으로 유지된다.Referring to FIG. 11, in this embodiment, a ruthenium containing layer is formed on the surface of the heated substrate by delivering a “anhydrous” solvent mixture to a substrate located within the process region 427 of the processing chamber 603. The heated substrate may be at a temperature below about 350 ° C, and more preferably at a temperature below about 300 ° C. The choice of process temperature can be important to prevent decomposition of the solvent material. Typically, the process chamber pressure is maintained at a process pressure below about 10 Torr to complete the ruthenium containing layer deposition process.

도 11을 참조하면, 일 실시예에서, 목표량 또는 매스의 정화된 용매 혼합물(요소("A"))이 기판의 표면 상의 루테늄 층을 형성하도록 가스 소스(611D) 및 수소(H2) 함유 가스(예를 들면, 수소(H2))로부터 전달된 캐리어 가스의 이용에 의해 공정 영역(427)으로 전달된다. 하나의 양태에서, 수소 대신, 감소된 공동 반응물은 N2와 같은 불활성 캐리어 가스 내에 혼합된 히드라진(N2H4)일 수 있다. 하나의 양태에서, 캐리어 가스는 "무수" 용매 혼합물을 포함하는, 제 1 용기(1021)를 통하여 가스 소스(611E)로부터 직접 유출 라인(660)을 통하여 공정 챔버(603)의 공정 영역(427) 내에 위치설정되는 기판(422)으로 전달된다. 또 다른 실시예에서, "무수" 용매 혼합물의 다중 순차적 도우즈가 처리 챔버(603)로 전달되어 다중 층 루테늄 함유 필름을 형성하도록 한다. 다중 순차적 도우즈를 수행하기 위해, 목표량의 "무수" 용매 혼합물은 다중 층 루테늄 함유 필름을 형성하도록 여러번 기판으로 순차적으로 전달된다. 루테늄 함유 층을 형성하도록 공정 영역(427)으로 전달되는 것이 필요한 목표량의 루테늄 4 산화물은 일반적으로 기판 표면 및 다른 챔버 부품 을 완전히 포화시키기 위해 요구되는 루테늄 4 산화물의 양에 종속된다. 따라서, 공정 챔버(603)에 전달하기 위해 필요한 "무수" 용매 혼합물의 양은 "무수" 용매 혼합물 내의 루테늄 4 산화물의 농도 및 루테늄 4 산화물의 목표 매스에 종속한다.Referring to FIG. 11, in one embodiment, a gas source 611D and a hydrogen (H 2 ) containing gas such that a target amount or mass of purified solvent mixture (urea (“A”)) forms a ruthenium layer on the surface of the substrate. (Eg, hydrogen (H 2 )) is delivered to the process region 427 by the use of a carrier gas delivered from. In one embodiment, instead of hydrogen, the reduced co-reactant may be a hydrazine (N 2 H 4) mixed in an inert carrier gas such as N 2. In one embodiment, the carrier gas comprises process region 427 of process chamber 603 via outlet line 660 directly from gas source 611E via first vessel 1021, comprising a “anhydrous” solvent mixture. It is delivered to a substrate 422 positioned within. In another embodiment, multiple sequential doses of “anhydrous” solvent mixture are delivered to the processing chamber 603 to form a multi-layered ruthenium containing film. To perform multiple sequential doses, the target amount of "anhydrous" solvent mixture is sequentially delivered to the substrate several times to form a multi-layered ruthenium containing film. The target amount of ruthenium 4 oxide that needs to be delivered to process region 427 to form a ruthenium containing layer is generally dependent on the amount of ruthenium 4 oxide required to completely saturate the substrate surface and other chamber components. Thus, the amount of "anhydrous" solvent mixture required for delivery to process chamber 603 depends on the concentration of ruthenium 4 oxide and the target mass of ruthenium 4 oxide in the "anhydrous" solvent mixture.

또 다른 실시예에서, "무수" 용매 혼합물의 연속 유동은 루테늄 함유 층 증착 공정 동안 기판(422)의 표면에 걸쳐 유동하도록 한다. 하나의 양태에서, "무수" 용매 혼합물은 기판의 표면을 지나 유동하여 진공 펌프(435)에 의해 수집된다. 하나의 양태에서, 냉각 트랩 조립체(1024)(도 10c) 및 수집 탱크/시스템(1024D)(도 10c)은 공정 영역(427) 및 진공 포트(435)와 유체 소통되어 용매 및 소정의 미반응 루테늄 4 산화물과 같은 소정의 남겨진 "무수" 용매 혼합물 성분을 수집하도록 한다.In another embodiment, the continuous flow of the "anhydrous" solvent mixture allows for flow across the surface of the substrate 422 during the ruthenium containing layer deposition process. In one embodiment, the “anhydrous” solvent mixture flows past the surface of the substrate and is collected by the vacuum pump 435. In one embodiment, the cold trap assembly 1024 (FIG. 10C) and the collection tank / system 1024D (FIG. 10C) are in fluid communication with the process region 427 and the vacuum port 435 in order to dissolve the solvent and any unreacted ruthenium. Collect any remaining "anhydrous" solvent mixture components, such as 4 oxides.

클러스터 툴 구성(들)Cluster tool configuration (s)

도 8은 본 발명이 유용하게 이용할 수 있는 전자 장치 프로세싱에 유용한 클러스터 툴(1100)의 평면도이다. 두 개의 이러한 플랫포옴은 센투라(CENTURA))(등록상표) RTM 및 엔두라(ENDURA)(등록상표) RTM이며 둘다 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼스, 아이엔씨.로부터 입수가능하다. 도 8은 센투라(등록상표) RTM 클러스터 툴의 평면도이다. 하나의 이 같은 스테이지형 진공 기판 처리 시스템은 본 명세서에서 참조되는 미국 특허 5,186,718호에 상세하게 공개되어 있다. 챔버의 정확한 배치 및 조합은 제조 공정의 특정 단계를 수행하는 목적을 위해 변경될 수 있다.8 is a plan view of a cluster tool 1100 useful for electronic device processing in which the present invention may be usefully employed. Two such platforms are CENTURA® RTM and ENDURA® RTM, both available from Applied Materials, Inc. of Santa Clara, California. 8 is a top view of the Centura® RTM cluster tool. One such staged vacuum substrate processing system is disclosed in detail in US Pat. No. 5,186,718, which is incorporated herein by reference. The exact placement and combination of chambers can be modified for the purpose of carrying out certain steps of the manufacturing process.

본 발명의 양상에 따라, 클러스터 툴(1100)은 일반적으로 다수의 챔버 및 로 봇을 포함하며 바람직하게는 클러스터 툴(1100) 내에 형성되는 다양한 처리 방법 및 순서를 제어하여 수행하도록 프로그래밍된 시스템 제어기(1102)를 구비한다. 도 8에는 처리 챔버(603)가 이송 챔버(1110) 상의 위치(1114A)에 장착되고 3개의 기판 처리 챔버(1202A 내지 1202C)가 이송 챔버(1110) 상의 위치들(114B 내지 1114D)에 장착되는 일 실시예가 도시되어 있다. 처리 챔버(603)는 하나 또는 그 이상의 다른 위치들, 예를 들면, 위치들(1114B 내지 1114D)에 배치될 수 있어, 시스템의 설계의 하드웨어 통합 양태를 개선하도록 하거나 기판 처리량을 개선하도록 한다. 일부 실시예에서, 위치들(114A 내지 114D) 중 일부는 공정 동안 시스템의 비용 또는 복잡성을 감소시키기 위해 점유되지 않은 채로 남아 있다.In accordance with an aspect of the present invention, the cluster tool 1100 generally includes a plurality of chambers and robots and is preferably a system controller programmed to control and perform various processing methods and sequences formed within the cluster tool 1100 (see FIG. 1102. 8, a processing chamber 603 is mounted at a location 1114A on the transfer chamber 1110 and three substrate processing chambers 1202A to 1202C are mounted at locations 114B to 1114D on the transfer chamber 1110. An embodiment is shown. The processing chamber 603 may be disposed at one or more other locations, for example, locations 1114B through 1114D, to improve hardware integration aspects of the design of the system or to improve substrate throughput. In some embodiments, some of the locations 114A-114D remain unoccupied to reduce the cost or complexity of the system during the process.

도 8을 참조하면, 선택적인 전방 단부 환경(1104)(또한 팩터리 인터페이스 또는 FI로서 지칭됨)은 한 쌍의 로드 락 챔버(1106)와의 선택적인 소통으로 위치설정되는 것으로 도시된다. 전방 단부 환경(1104) 내에 배치되는 팩터리 인터페이스 로봇(1108A 내지 1108B)은 전방 단부 환경(1104) 상에 장착되는 다수의 기판 포함 포드(요소(1105(A 내지 D)) 및 로드 락(1106) 사이에서 기판을 이동시키기 위해 선형, 회전, 및 수직 운동할 수 있다.Referring to FIG. 8, an optional front end environment 1104 (also referred to as a factory interface or FI) is shown positioned in selective communication with a pair of load lock chambers 1106. The factory interface robots 1108A- 1108B disposed within the front end environment 1104 include a plurality of substrate containing pods (elements 1105 (A-D)) and load locks 1106 mounted on the front end environment 1104. Linear, rotational, and vertical movements can be made to move the substrate between.

로드 락(1106A 내지 1106B)은 전방 단부 환경(1104)과 이송 챔버(1110) 사이의 제 1 진공 인터페이스를 제공한다. 일 실시예에서, 두 개의 로드 락(1106)은 이송 챔버(1110)와 전방 단부 환경(1104)과 교대로 소통함으로써 처리량을 증가시키기 위해 제공된다. 따라서, 하나의 로드 락이 이송 챔버(1110)와 소통하는 동안, 제 2 로드 락이 전방 단부 환경(1104)과 소통될 수 있다. 일 실시예에서, 로 드 락(요소(1106A 내지 1106B))은 팩터리 인터페이스로부터 두 개 또는 그 이상의 기판을 수용하고, 챔버가 밀봉되는 동안 기판을 유지하여 기판을 이송 챔버(1110)로 이송하기에 충분히 낮은 진공 레벨로 비워지도록 한다.Load locks 1106A- 1106B provide a first vacuum interface between the front end environment 1104 and the transfer chamber 1110. In one embodiment, two load locks 1106 are provided to increase throughput by alternately communicating with the transfer chamber 1110 and the front end environment 1104. Thus, while one load lock is in communication with the transfer chamber 1110, the second load lock can be in communication with the front end environment 1104. In one embodiment, the load locks (elements 1106A- 1106B) receive two or more substrates from the factory interface and hold the substrates while the chamber is sealed to transfer the substrates to the transfer chamber 1110. Empty to a vacuum level low enough.

로봇(1113)은 기판을 로드 락으로부터 위치들(114A 내지 114D) 및 서비스 챔버(1116A 내지 116B)에 장착된 다양한 처리 챔버들 중 하나로 이송하기 위해 이송 챔버(1110) 내의 중앙에 배치된다. 로봇(1113)은 시스템 제어기(1102)로부터 송신된 명령의 이용에 의해 다양한 처리 챔버로 기판("W")을 이송하도록 한다. 본 발명으로부터 유익하도록 적용될 수 있는 클러스터 툴에서 이용되는 로봇 조립체가 일반 양도되고 본 명세서에서 전체적으로 참조되는 미국 특허 제 5,447,409호, 제 5,469,035호 및 제 6,379,095호에 기재되어 있다.Robot 1113 is positioned centrally within transfer chamber 1110 to transfer the substrate from the load lock to locations 114A-114D and various processing chambers mounted to service chambers 1116A-116B. The robot 1113 allows the substrate "W" to be transferred to the various processing chambers by the use of commands sent from the system controller 1102. Robotic assemblies used in cluster tools that can be applied to benefit from the present invention are described in US Pat. Nos. 5,447,409, 5,469,035, and 6,379,095, which are generally assigned and incorporated herein by reference in their entirety.

위치들(1114A 내지 1114D) 중 하나에 장착되는 처리 챔버(1202A 내지 1202C)는 예비 세정(예를 들면, 기판 표면의 선택적이거나 비 선택적인 건조 에칭), PVD, CVD, ALD, 분리형(decoupled) 플라즈마 질화(DPN), 급속 열 처리(RTP), 계량형 기술(예를 들면, 입자 측정) 및 에칭과 같은 소정의 다수의 공정을 수행할 수 있으며 서비스 챔버(1116A 내지 1116B)는 탈가스, 배향, 냉각 등을 위해 적용된다. 일 실시예에서, 도 1a와 관련하여 상술된 바와 같이, 처리 순서는 ALD 타입 공정을 이용하여 기판의 표면 상에 배리어 층을 증착하고 이어서 개별 챔버에서 루테늄 함유 층을 증착하도록 적용된다. 이러한 실시예에서, 클러스터 툴(1110)은 처리 챔버(1202A)가 엔두라(등록상표)iCuB/STM 챔버이며, 이는 어플라이드 머티어리얼스, 아이엔씨.로부터 입수 가능하며 처리 챔버(603)는 위치(1114A)에 장착된다. 일 실시예에서, 예비 세정 챔버는 배리어 증착 공정(도 1a의 요소(102)) 전에 공정 순서에 부가되어 클러스터 툴(1110)의 위치(1202B)에 장착된다.Process chambers 1202A through 1202C mounted at one of locations 1114A through 1114D may be pre-cleaned (eg, selective or non-selective dry etching of the substrate surface), PVD, CVD, ALD, decoupled plasma. Any of a number of processes may be performed, such as nitriding (DPN), rapid thermal treatment (RTP), metering techniques (eg particle measurement) and etching, and service chambers 1116A to 1116B are degassed, oriented, Applied for cooling, etc. In one embodiment, as described above with respect to FIG. 1A, the processing sequence is applied to deposit a barrier layer on the surface of the substrate using an ALD type process and then deposit a ruthenium containing layer in a separate chamber. In this embodiment, the cluster tool 1110 is a process chamber 1202A is an Endura® iCuB / S TM chamber, which is available from Applied Materials, Inc. and the process chamber 603 is Mounted at position 1114A. In one embodiment, the preclean chamber is added to the process sequence prior to the barrier deposition process (element 102 of FIG. 1A) and mounted at position 1202B of cluster tool 1110.

본 발명의 하나의 양태에서, 하나 또는 그 이상의 처리 챔버(1202A 내지 1202C)는 RTP 챔버일 수 있으며 배치 증착 단계를 수행한 후 또는 전에 기판을 어닐링하기 위해 이용될 수 있다. RTP 공정은 미국 캘리포니아 산타 클라라에 위치하는 어플라이드 머티어리얼스, 아이엔씨.로부터 상업적으로 입수가능한 RTP 챔버 및 관련된 공정 하드웨어를 이용하여 전도될 수 있다. 본 발명의 또 다른 양태에서, 하나 또는 그 이상의 단일 기판 처리 챔버(1202A 내지 1202C)가 CVD 챔버일 수 있다. 이러한 CVD 공정 챔버의 예는 미국 캘리포니아 산타 클라라에 위치하는 어플라이드 머티어리얼스, 아이엔씨.로부터 상업적으로 입수가능한 DXZ(등록상표) 챔버, ULTIMA HDP-CVD(등록상표) 및 프리시젼(PRECISION) 5000(등록상표) 챔버를 포함한다. 본 발명의 또 다른 양태에서, 하나 또는 그 이상의 단일 기판 처리 챔버(1202A 내지 1202C)는 PVD 챔버일 수 있다. 이러한 PVD 챔버의 예는 미국 캘리포니아 산타 클라라에 위치하는 어플라이드 머티어리얼스, 아이엔씨.로부터 상업적으로 입수가능한 엔두라(등록상표) PVD 처리 챔버일 수 있다. 본 발명의 또 다른 양태에서, 하나 또는 그 이상의 단일 기판 처리 챔버(1202A 내지 1202C)는 DPN 챔버일 수 있다. 이러한 DPN 공정 챔버의 예는 DPN 센투라(등록상표)를 포함할 수 있으며, 이는 미국 캘리포니아 산타 클라라에 위치하는 어플라이드 머티어리얼스, 아이엔씨.로부터 상업적으로 입수가능하다. 본 발명의 또 다른 양태에서, 하나 또 는 그 이상의 단일 기판 처리 챔버(1202A 내지 1202C)는 공정/기판 도량형 챔버일 수 있다. 공정/기판 도량형 챔버 내에서 완료된 공정은 입자 측정 기술, 잔여 가스 분석 기술, XRF 기술, 및 타원편광반사측정 기술과 같은, 필름 두께 및/또는 필름 구성을 측정하기 위해 이용된 기술을 포함할 수 있지만, 이에 제한되는 것은 아니다.In one aspect of the invention, one or more processing chambers 1202A through 1202C may be RTP chambers and may be used to anneal the substrate after or before performing a batch deposition step. The RTP process can be conducted using RTP chambers and related process hardware commercially available from Applied Materials, Inc., located in Santa Clara, California. In another aspect of the invention, one or more single substrate processing chambers 1202A through 1202C may be CVD chambers. Examples of such CVD process chambers are DXZ® chamber, ULTIMA HDP-CVD® and PRECISION 5000 (commercially available from Applied Materials, Inc., Santa Clara, Calif.). Trademark) chamber. In another aspect of the invention, one or more single substrate processing chambers 1202A through 1202C may be PVD chambers. An example of such a PVD chamber may be an Endura® PVD processing chamber commercially available from Applied Materials, Inc., located in Santa Clara, California. In another aspect of the invention, one or more single substrate processing chambers 1202A through 1202C may be DPN chambers. Examples of such DPN process chambers may include DPN Centura®, which is commercially available from Applied Materials, Inc., located in Santa Clara, California. In another aspect of the invention, one or more single substrate processing chambers 1202A through 1202C may be process / substrate metrology chambers. Processes completed in the process / substrate metrology chamber may include techniques used to measure film thickness and / or film composition, such as particle measurement techniques, residual gas analysis techniques, XRF techniques, and elliptical polarization reflectance techniques. However, the present invention is not limited thereto.

루테늄 이산화물 바닥 업(up) 충전 공정Ruthenium Dioxide Floor Up Filling Process

본 발명의 하나의 양태에서, 도 1a 내의 공정 단계(104) 및 도 1b 내의 단계(304)에서 증착된 루테늄 함유 층은 일정한 온도로 유지되는 기판 표면상에 증착되어 루테늄 산화물 층이 기판의 하나 또는 모든 표면상에 증착되도록 한다. 그 후, 기판을 가열하고 감소된 가스(예를 들면, 수소 함유 가스)에 표면에 노출시키고, 노출된 표면을 감소시키는 무전해 또는 전기 도금 용액으로 기판의 표면을 노출시킴으로써, 또는 기판의 온도를 증가시켜 층으로부터 산소를 유리시킴으로써 루테늄 산화물 층이 감소되어 금속 루테늄 층을 형성할 수 있다. 하나의 양태에서, 약 250℃ 아래의 온도에 있는 기판으로 루테늄 4 산화물 함유 가스를 노출시킴으로써, 루테늄 층이 선태적으로 형성되어, 금속 루테늄이 유전체 재료 실리콘 이산화물과 같은 모든 다른 비 금속 재료 상의 루테늄 산하물 층 및 노출된 금속 표면에 형성된다. 이러한 양태는 무전해 증착 공정과 같은, 후속하는 선택적인 증착 공정을 이용할 때 특히 중요할 수 있다. 이는 패턴형성 후 그러나 다른 증착 공정을 수행하기 전 노출된 텅스텐 플러그(예를 들면, 금속 2 층)의 무전해 층을 선택적으로 형성하기 위해 유용할 수 있다.In one embodiment of the present invention, the ruthenium containing layer deposited in process step 104 in FIG. 1A and step 304 in FIG. 1B is deposited on a substrate surface maintained at a constant temperature such that the ruthenium oxide layer is one or more of the substrates. Allow deposition on all surfaces. The substrate is then heated and exposed to the surface with a reduced gas (eg hydrogen containing gas) and the surface of the substrate is exposed to an electroless or electroplating solution that reduces the exposed surface, or the temperature of the substrate is increased. By increasing the release of oxygen from the layer, the ruthenium oxide layer can be reduced to form a metal ruthenium layer. In one embodiment, by exposing a ruthenium 4 oxide containing gas to a substrate at a temperature below about 250 ° C., a ruthenium layer is selectively formed such that the metal ruthenium subsides ruthenium on all other non-metallic materials, such as dielectric material silicon dioxide. It is formed on the water layer and the exposed metal surface. This aspect may be particularly important when using subsequent selective deposition processes, such as electroless deposition processes. This may be useful for selectively forming an electroless layer of exposed tungsten plug (eg, two layers of metal) after patterning but before performing another deposition process.

상술된 것은 본 발명의 실시예에 관한 것이지만, 본 발명의 다른 및 추가의 실시예가 본 발명의 기본적 범위로부터 이탈하지 않고 고안될 수 있으며, 본 발명의 범위는 후술되는 청구범위에 의해 결정된다.While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (47)

기판의 표면에 촉매 층을 증착하기 위한 장치로서,An apparatus for depositing a catalyst layer on the surface of a substrate, 루테늄 4 산화물 발생 시스템으로서, 상기 루테늄 4 산화물 발생 시스템은;A ruthenium 4 oxide generation system, comprising: a ruthenium 4 oxide generation system; 일정한 양의 루테늄 함유 재료를 유지하도록 하는 제 1 처리 영역을A first treatment region to maintain a constant amount of ruthenium containing material 형성하는 하나 또는 그 이상의 벽을 가지는 용기,A container having one or more walls to form, 루테늄 4 산화물 함유 가스를 형성하기 위해 상기 제 1 처리 영역 내In the first treatment region to form a ruthenium 4 oxide containing gas. 에 있는 상기 루테늄 함유 재료에 산화 가스를 전달하도록 하는 산화 Oxidation to deliver an oxidizing gas to the ruthenium-containing material at 소스, 및Source, and 상기 용기와 유체 소통되고 상기 루테늄 4 산화물 함유 가스를 수집하In fluid communication with the vessel and collecting the ruthenium 4 oxide containing gas. 도록 하는 소스 용기 조립체로서,A source container assembly, 수집 영역에 배치되는 수집 표면을 가지는 소스 용기로서, 상기 A source container having a collecting surface disposed in a collecting area, the source container comprising: 수집 표면 상에 노출된 재료가 상기 루테늄 4 산화물 함유 가스 The material exposed on the collecting surface is the ruthenium 4 oxide containing gas 내의 루테늄 4 산화물과 반응하지 않는, 소스 용기,Source vessel, which does not react with the ruthenium 4 oxide in the, 상기 소스 용기로부터 상기 용기를 선택적으로 격리시키는 하나 One that selectively isolates the vessel from the source vessel 또는 그 이상의 소스 밸브,Or more source valves, 상기 수집 표면과 열 소통되며 상기 수집 표면 상의 상기 루테The lute on the collection surface and in thermal communication with the collection surface 늄 4 산화물 함유 가스 내의 상기 루테늄 4 산화물을 응축하도Condensation of the ruthenium 4 oxide in the nium 4 oxide containing gas 록 상기 수집 표면의 온도를 제어하도록 하는 열 교환 장치, A heat exchange device for controlling the temperature of the collecting surface to be locked; And 상기 용기와 유체 소통되고 상기 용기와 상기 소스 용기를 퍼징In fluid communication with the vessel and purging the vessel and the source vessel 하기 위한 배기 시스템Exhaust system for 을 포함하는, 소스 용기 조립체A source container assembly comprising a 를 포함하는, 루테늄 4 산화물 발생 시스템과,Comprising, a ruthenium 4 oxide generation system, 상기 소스 용기와 유체 소통되는 처리 챔버로서,A processing chamber in fluid communication with the source vessel, 챔버 밸브에 의해 상기 소스 용기 조립체의 수집 영역으로부터 선택적Optional from a collection region of the source vessel assembly by a chamber valve 으로 격리되는 제 2 처리 영역을 형성하는 하나 또는 그 이상의 벽, One or more walls forming a second treatment region, which is isolated by 상기 제 2 처리 영역 내에 위치설정되는 기판 지지부, 및A substrate support positioned within the second processing region, and 상기 기판 지지부와 열 소통되는 열 교환 장치A heat exchange device in thermal communication with the substrate support 를 포함하는, 처리 챔버와, 그리고Comprising, a processing chamber, and 상기 소스 용기의 수집 표면과 유체 소통되고 상기 처리 챔버의 제 2 처리 영역으로 상기 수집 표면 상에 배치되는 상기 루테늄 4 산화물의 적어도 일 부분을 운반하도록 가스를 전달하도록 하는 가스 소스를 포함하는,A gas source in fluid communication with a collection surface of the source vessel and for delivering gas to carry at least a portion of the ruthenium 4 oxide disposed on the collection surface to a second processing region of the processing chamber; 기판 표면에 촉매 층을 증착하기 위한 장치.An apparatus for depositing a catalyst layer on a substrate surface. 제 1 항에 있어서,The method of claim 1, 상기 산화 가스는 오존 발생기에 의해 형성된 오존 가스인,The oxidizing gas is an ozone gas formed by an ozone generator, 기판 표면에 촉매 층을 증착하기 위한 장치.An apparatus for depositing a catalyst layer on a substrate surface. 제 1 항에 있어서,The method of claim 1, 상기 소스 용기 조립체의 상기 열 교환 장치는 상기 수집 영역을 -20℃ 내지 20℃의 범위 내의 온도로 냉각하도록 하는,The heat exchange device of the source vessel assembly to cool the collection zone to a temperature within a range of −20 ° C. to 20 ° C., 기판 표면에 촉매 층을 증착하기 위한 장치.An apparatus for depositing a catalyst layer on a substrate surface. 제 1 항에 있어서,The method of claim 1, 상기 처리 챔버는 대기 압력 아래의 압력으로 처리하는 동안 상기 제 2 처리 영역 내의 압력을 유지하도록 하는 진공 펌프를 더 포함하는,The processing chamber further comprises a vacuum pump to maintain pressure in the second processing region during processing at a pressure below atmospheric pressure; 기판 표면에 촉매 층을 증착하기 위한 장치.An apparatus for depositing a catalyst layer on a substrate surface. 제 1 항에 있어서,The method of claim 1, 상기 루테늄 4 산화물 발생 시스템은,The ruthenium 4 oxide generation system, 상기 소스 용기 및 상기 처리 챔버와 유체 소통되는 도우징 용기로서, A dosing vessel in fluid communication with the source vessel and the processing chamber, 상기 도우징 용기는 목표 매스의 상기 루테늄 4 산화물 함유 가스를The dosing vessel carries the ruthenium 4 oxide containing gas of the target mass. 상기 처리 챔버로 전달하도록 하는 크기를 가지는, 도우징 용기,A dosing container, sized to be delivered to the processing chamber, 상기 도우징 용기와 열 소통되는 제 2 열 교환 장치, 및A second heat exchange device in thermal communication with the dosing vessel, and 상기 루테늄 4 산화물 함유 가스를 목표 시간에 상기 도우징 용기로부The ruthenium 4 oxide-containing gas to the dosing vessel at a target time. 터 상기 처리 챔버로 전달하고 상기 도우징 용기 내에 있는 상기 루테From the dosing vessel to the processing chamber 늄 4 산화물 함유 가스의 온도를 제어하도록 하는 제어기를 더 포함하And further comprising a controller to control the temperature of the nium 4 oxide containing gas. 는,Is, 기판 표면에 촉매 층을 증착하기 위한 장치.An apparatus for depositing a catalyst layer on a substrate surface. 제 1 항에 있어서,The method of claim 1, 상기 처리 챔버는 상기 소스 용기와 유체 소통되고 상기 루테늄 4 산화물 함유 가스를 상기 제 2 처리 영역 내에 위치설정되는 기판으로 전달하도록 하는 샤워헤드 조립체를 더 포함하는,The processing chamber further comprising a showerhead assembly in fluid communication with the source vessel and for delivering the ruthenium 4 oxide containing gas to a substrate positioned within the second processing region; 기판 표면에 촉매 층을 증착하기 위한 장치.An apparatus for depositing a catalyst layer on a substrate surface. 제 1 항에 있어서,The method of claim 1, 상기 루테늄 4 산화물 발생 시스템은 상기 용기의 제 1 처리 영역과 소통되고 수소 래디컬을 상기 제 1 처리 영역으로 제공하도록 하는 원격 플라즈마 소스를 더 포함하는,The ruthenium 4 oxide generation system further comprises a remote plasma source in communication with the first processing region of the vessel and providing hydrogen radicals to the first processing region; 기판 표면에 촉매 층을 증착하기 위한 장치.An apparatus for depositing a catalyst layer on a substrate surface. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 제 1 항에 있어서,The method of claim 1, 상기 소스 용기 조립체의 상기 열 교환 장치는 상기 수집 영역을 0℃ 내지 50℃ 범위 내의 온도로 가열하도록 하는,The heat exchange device of the source vessel assembly to heat the collection zone to a temperature in the range of 0 ° C to 50 ° C, 기판 표면에 촉매 층을 증착하기 위한 장치.An apparatus for depositing a catalyst layer on a substrate surface.
KR1020077019546A 2005-01-27 2006-01-25 Ruthenium layer deposition apparatus and method KR101014240B1 (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US64800405P 2005-01-27 2005-01-27
US60/648,004 2005-01-27
US71502405P 2005-09-08 2005-09-08
US60/715,024 2005-09-08
US11/228,649 US7438949B2 (en) 2005-01-27 2005-09-15 Ruthenium containing layer deposition method
US11/228,425 2005-09-15
US11/228,649 2005-09-15
US11/228,425 US20060162658A1 (en) 2005-01-27 2005-09-15 Ruthenium layer deposition apparatus and method

Publications (2)

Publication Number Publication Date
KR20070101357A KR20070101357A (en) 2007-10-16
KR101014240B1 true KR101014240B1 (en) 2011-02-16

Family

ID=36740999

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077019546A KR101014240B1 (en) 2005-01-27 2006-01-25 Ruthenium layer deposition apparatus and method

Country Status (5)

Country Link
EP (1) EP1853745A2 (en)
JP (1) JP5043684B2 (en)
KR (1) KR101014240B1 (en)
TW (1) TWI349717B (en)
WO (1) WO2006081234A2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070160756A1 (en) * 2006-01-07 2007-07-12 Helmuth Treichel Apparatus and method for the deposition of ruthenium containing films
US8906501B2 (en) * 2007-10-05 2014-12-09 The United States Of America As Represented By The Secretary Of The Navy RuO2 coatings
JP5520425B2 (en) * 2009-01-10 2014-06-11 宛伶 兪 Method for forming a metal bump and seal of a semiconductor member
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9328419B2 (en) * 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
US9040385B2 (en) 2013-07-24 2015-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
KR20200070318A (en) * 2017-10-12 2020-06-17 젤리스트 테크놀로지스, 인코퍼레이티드 Method and system for integrated synthesis, delivery and treatment of source chemicals for thin film manufacturing
TWI790320B (en) * 2017-12-16 2023-01-21 美商應用材料股份有限公司 Selective atomic layer deposition of ruthenium

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6155540A (en) * 1997-09-30 2000-12-05 Japan Pionics Co., Ltd. Apparatus for vaporizing and supplying a material
US6458183B1 (en) * 1999-09-07 2002-10-01 Colonial Metals, Inc. Method for purifying ruthenium and related processes
US20030232511A1 (en) * 2002-06-14 2003-12-18 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
JPH10306377A (en) * 1997-05-02 1998-11-17 Tokyo Electron Ltd Method for supplying minute amount of gas and device therefor
JP2000034563A (en) * 1998-07-14 2000-02-02 Japan Energy Corp Production of highly pure ruthenium sputtering target and highly pure ruthenium sputtering target
US6413004B1 (en) * 2000-11-29 2002-07-02 Wen-Pin Lin Tubular connector
KR100406534B1 (en) * 2001-05-03 2003-11-20 주식회사 하이닉스반도체 Method for fabricating ruthenium thin film
JP3990881B2 (en) * 2001-07-23 2007-10-17 株式会社日立製作所 Semiconductor manufacturing apparatus and cleaning method thereof
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
KR100589053B1 (en) * 2003-10-15 2006-06-12 삼성전자주식회사 Source supply apparatus, method of supplying source, and atomic layer deposition method using the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6155540A (en) * 1997-09-30 2000-12-05 Japan Pionics Co., Ltd. Apparatus for vaporizing and supplying a material
US6458183B1 (en) * 1999-09-07 2002-10-01 Colonial Metals, Inc. Method for purifying ruthenium and related processes
US20030232511A1 (en) * 2002-06-14 2003-12-18 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation

Also Published As

Publication number Publication date
TW200702474A (en) 2007-01-16
WO2006081234A3 (en) 2009-05-07
WO2006081234A2 (en) 2006-08-03
JP5043684B2 (en) 2012-10-10
JP2008538796A (en) 2008-11-06
TWI349717B (en) 2011-10-01
EP1853745A2 (en) 2007-11-14
KR20070101357A (en) 2007-10-16

Similar Documents

Publication Publication Date Title
US7438949B2 (en) Ruthenium containing layer deposition method
US20060162658A1 (en) Ruthenium layer deposition apparatus and method
KR101014240B1 (en) Ruthenium layer deposition apparatus and method
KR101244972B1 (en) Precursor for film formation and method for forming ruthenium-containing film
KR102646004B1 (en) Deposition of ruthenium layers in interconnect metal parts
JP5048476B2 (en) Method for forming insulating film or metal film
US20240035157A1 (en) Methods for depositing tungsten or molybdenum films
US7107998B2 (en) Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
JP3670628B2 (en) Film forming method, film forming apparatus, and semiconductor device manufacturing method
KR101069299B1 (en) A method for forming a ruthenium metal layer on a patterned substrate
US6905541B2 (en) Method and apparatus of generating PDMAT precursor
US20070271751A1 (en) Method of forming a reliable electrochemical capacitor
KR20150122659A (en) Metal amide deposition precursors and their stabilization with an inert ampoule liner
KR20050041879A (en) Plasma enhanced ald of tantalum nitride and bilayer
US9779950B2 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
KR20180111598A (en) Selective deposition of wcn barrier/adhesion layer for interconnect
JP2007270355A (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
JP5409652B2 (en) Method for forming tantalum nitride film
WO2000055387A1 (en) Method and apparatus for formation of thin film
JP2000299296A (en) Copper metal wiring forming method of semiconductor device
KR100358045B1 (en) Method of forming a copper wiring in a semiconductor device
US11359286B2 (en) Quartz crystal microbalance concentration monitor
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
TWI464779B (en) Methods for forming a ruthenium-based film on a substrate
JP2011176369A (en) Method and apparatus for generating precursor for semiconductor processing system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 7