JP5342110B2 - Source canister containing precursor and method for filling features using the same - Google Patents

Source canister containing precursor and method for filling features using the same Download PDF

Info

Publication number
JP5342110B2
JP5342110B2 JP2006533450A JP2006533450A JP5342110B2 JP 5342110 B2 JP5342110 B2 JP 5342110B2 JP 2006533450 A JP2006533450 A JP 2006533450A JP 2006533450 A JP2006533450 A JP 2006533450A JP 5342110 B2 JP5342110 B2 JP 5342110B2
Authority
JP
Japan
Prior art keywords
tantalum
canister
dimethylamido
substrate
source canister
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006533450A
Other languages
Japanese (ja)
Other versions
JP2007501536A (en
Inventor
リン チェン,
ヴィンセント ダブリュー. クー,
フア チャン,
クリストフ マルカダル,
セスハドリ ガングリ,
ジェニー リン,
ディエン − イ− ウー,
アラン オウイ−,
メイ チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/447,255 external-priority patent/US6905541B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007501536A publication Critical patent/JP2007501536A/en
Application granted granted Critical
Publication of JP5342110B2 publication Critical patent/JP5342110B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by using a condenser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Abstract

Embodiments of the present invention are directed to an apparatus for generating a precursor for a semiconductor processing system (320). The apparatus includes a canister (300) having a sidewall (402), a top portion and a bottom portion. The canister (300) defines an interior volume (438) having an upper region (418) and a lower region (434). In one embodiment, the apparatus further includes a heater (430) partially surrounding the canister (300). The heater (430) creates a temperature gradient between the upper region (418) and the lower region (434). Also claimed is a method of forming a barrier layer from purified pentakis (dimethylamido) tantalum, for example a tantalum nitride barrier layer by atomic layer deposition.

Description

発明の背景Background of the Invention

発明の分野
[0001]本発明は、一般的には、半導体基板上にバリヤ層を堆積することに関する。
Field of Invention
[0001] The present invention relates generally to depositing a barrier layer on a semiconductor substrate.

関連技術の記載
[0002]確実にサブミクロン以下の特徴部を製造することは、半導体デバイスの次世代の大面積集積回路(VLSI)や超大面積集積回路(ULSI)の鍵となる技術の一つである。しかしながら、回路の周辺技術が圧縮されるにつれて、VLSIやULSI技術における相互接続部の収縮した寸法によって処理能力がさらに要求される。この技術の中心となる多レベル相互接続部には、バイアや他の相互接続部のような高アスペクト比特徴部の正確な処理が必要である。これら相互接続部の確実な形成は、回路密度や個々の基板の質を高めるために、VLSIやULSIにおける成功と継続した努力が非常に重要である。
Description of related technology
[0002] Certainly producing sub-micron features is one of the key technologies for next-generation large area integrated circuits (VLSI) and ultra large area integrated circuits (ULSI) for semiconductor devices. However, as circuit peripheral technologies are compressed, more processing power is required due to the shrunken dimensions of interconnects in VLSI and ULSI technologies. The multi-level interconnect at the heart of this technology requires the precise processing of high aspect ratio features such as vias and other interconnects. The reliable formation of these interconnects is very important for VLSI and ULSI success and continued efforts to increase circuit density and individual board quality.

[0003]回路密度が増加するにつれて、バイア、コンタクト、他の特徴部、それらの間にある絶縁物質の幅がサブミクロン寸法に縮小し(例えば、約0.20マイクロメートル未満)、誘電体層の厚さはほぼ一定であり、その結果、特徴部のアスペクト比、即ち、幅で割った高さは増大する。多くの伝統的な堆積プロセスは、アスペクト比が4:1を超える、特に10:1を超えるサブミクロン構造を満たすことが難しい。それ故、ほぼボイドがなく、アスペクト比が高いシームのないサブミクロン特徴部の形成に多くの継続した努力が向けられている。   [0003] As circuit density increases, the width of vias, contacts, other features, and insulating material between them shrinks to sub-micron dimensions (eg, less than about 0.20 micrometers) and dielectric layers Is substantially constant, and as a result, the aspect ratio of the feature, ie, the height divided by the width, increases. Many traditional deposition processes have difficulty filling submicron structures with aspect ratios greater than 4: 1, especially greater than 10: 1. Therefore, much continued effort is directed to the formation of submicron features that are substantially void free and have high aspect ratio seams.

[0004]現在、銅はアルミニウムより抵抗が小さく(アルミニウムが約3.1μΩ-cmに対して約1.7μΩ-cm)、電流搬送能が高く、エレクトロマイグレーション耐性が著しく高いことから、銅及びその合金はサブミクロン相互接続部技術の選択金属になってきた。これらの特性は、高レベルの集積度と高デバイス速度で示される更に高い電流密度を支持するのに重要である。更に、銅は良好な導電性であり、高度に純粋な状態で利用できる。   [0004] Currently, copper has a lower resistance than aluminum (aluminum is about 1.7 μΩ-cm compared to about 3.1 μΩ-cm), has a high current carrying capacity, and has a very high resistance to electromigration. Alloys have become the preferred metal for submicron interconnect technology. These properties are important to support the higher current density exhibited by high levels of integration and high device speeds. In addition, copper has good electrical conductivity and is available in a highly pure state.

[0005]銅メタライゼーションは様々な技術により達成され得る。典型的な方法は、一般的には、特徴部上にバリヤ層を堆積する物理的蒸着、バリヤ層上に銅シード層を堆積する物理的蒸着、その後、特徴部を充填する銅シード層上に銅導電材料を電気めっきすることを含んでいる。最後に、堆積された層と誘電体層は、例えば、化学機械的研磨(CMP)によって平坦化されて導電性相互接続特徴部を画成する。   [0005] Copper metallization can be accomplished by various techniques. A typical method is generally a physical vapor deposition that deposits a barrier layer on the feature, a physical vapor deposition that deposits a copper seed layer on the barrier layer, and then on the copper seed layer that fills the feature. Including electroplating a copper conductive material. Finally, the deposited layer and the dielectric layer are planarized by, for example, chemical mechanical polishing (CMP) to define conductive interconnect features.

[0006]しかしながら、銅を使用に伴う一つの問題は、シリコン、二酸化シリコン、デバイスの完全さを損なってしまう他の誘電材料に銅が拡散ことである。それ故、コンホーマルなバリヤ層が銅拡散を防止するためにますます重要である。窒化タンタルは下に横たわる層に銅の拡散を防止するバリヤ層として用いられてきた。しかしながら、ペンタキス(ジメチルアミド)タンタル(PDMAT:Ta[NH2(CH3)2]5)のようなバリヤ層堆積に用いられる化学薬品は、半導体デバイスの製造において欠陥を引き起こし、プロセス歩留まりを低下させる不純物を含むものである。それ故、高純度の前駆物質からバリヤ層を堆積する方法が求められている。 [0006] However, one problem with using copper is that it diffuses into silicon, silicon dioxide, and other dielectric materials that compromise device integrity. Therefore, a conformal barrier layer is increasingly important to prevent copper diffusion. Tantalum nitride has been used as a barrier layer to prevent copper diffusion in the underlying layer. However, chemicals used for barrier layer deposition such as pentakis (dimethylamido) tantalum (PDMAT: Ta [NH 2 (CH 3 ) 2 ] 5 ) cause defects in semiconductor device manufacturing and reduce process yield. It contains impurities. Therefore, there is a need for a method of depositing a barrier layer from a high purity precursor.

発明の概要Summary of the Invention

[0007]本発明の実施形態は、半導体処理システムの前駆物質を生成するための装置に関する。装置は、側壁、上部及び底部を有するキャニスタを含む。キャニスタは、上の領域と下の領域を有する内容積を画成する。装置は、更に、キャニスタを取り囲むヒータを含んでいる。ヒータは、上の領域と下の領域との間に温度勾配を生じる。   [0007] Embodiments of the invention relate to an apparatus for producing a precursor for a semiconductor processing system. The apparatus includes a canister having a sidewall, a top and a bottom. The canister defines an internal volume having an upper region and a lower region. The apparatus further includes a heater surrounding the canister. The heater creates a temperature gradient between the upper region and the lower region.

[0008]本発明の上記特徴が得られ、詳細に理解され得るように、上で簡単にまとめられた本発明の更に具体的な説明は添付された図面に示されるその実施形態によってなされる。しかしながら、添付された図面は本発明の典型的な実施形態のみ示され、それ故、本発明の範囲を制限するものとみなすべきでなく、本発明は他の同等に効果的な実施形態を許容することは留意すべきである。   [0008] In order that the above features of the present invention may be obtained and understood in detail, a more particular description of the invention briefly summarized above is made by way of its embodiments that are illustrated in the accompanying drawings. However, the attached drawings show only typical embodiments of the present invention and therefore should not be considered as limiting the scope of the present invention, and the present invention allows other equally effective embodiments. It should be noted that.

好適実施形態の詳細な説明Detailed Description of the Preferred Embodiment

[0020]図1は、基板上に堆積された誘電体層102とバリヤ層104を有する基板100の一実施形態の概略断面図である。処理段階によっては、基板100はシリコン半導体基板又は他の物質層であってもよく、基板上に形成されている。誘電体層102は、酸化物、酸化シリコン、炭素シリコン酸化物、フルオロシリコン、多孔質誘電体、又は基板100の晒された表面部分102Tに伸びているコンタクトホール又はバイア102Hを設けるために形成されパターン形成された他の適切な誘電体であってもよい。明瞭にするために、基板100は膜処理が行われるあらゆる工作物を意味し、基板構造150は基板100と、誘電体層102のような基板100上に形成される他の物質層を示すために用いられる。また、本発明がデュアルダマシンプロセスフローに用いることができることは、当業者によって理解される。バリヤ層104は、原子層堆積(ALD)により図1Aの基板構造150上に形成される。好ましくは、バリヤ層は窒化タンタル層を含んでいる。   [0020] FIG. 1 is a schematic cross-sectional view of one embodiment of a substrate 100 having a dielectric layer 102 and a barrier layer 104 deposited on the substrate. Depending on the processing stage, the substrate 100 may be a silicon semiconductor substrate or other material layer and is formed on the substrate. Dielectric layer 102 is formed to provide an oxide, silicon oxide, carbon silicon oxide, fluorosilicon, porous dielectric, or contact hole or via 102H extending to the exposed surface portion 102T of substrate 100. Other suitable patterned dielectrics may be used. For the sake of clarity, the substrate 100 refers to any workpiece on which film processing is performed, and the substrate structure 150 is intended to show the substrate 100 and other material layers formed on the substrate 100, such as the dielectric layer 102. Used for. It will also be appreciated by those skilled in the art that the present invention can be used in dual damascene process flows. The barrier layer 104 is formed on the substrate structure 150 of FIG. 1A by atomic layer deposition (ALD). Preferably, the barrier layer includes a tantalum nitride layer.

[0021]一態様においては、窒化タンタルバリヤ層の原子層堆積は、タンタル含有化合物と窒素含有化合物をプロセスチャンバに順次供給することを含んでいる。タンタル含有化合物と窒素含有化合物を連続して供給することにより、基板構造150上にタンタル含有化合物の単層と窒素含有化合物の単層の交互化学吸着を得ることができる。   [0021] In one aspect, atomic layer deposition of a tantalum nitride barrier layer includes sequentially supplying a tantalum-containing compound and a nitrogen-containing compound to the process chamber. By continuously supplying the tantalum-containing compound and the nitrogen-containing compound, alternating chemisorption of a single layer of the tantalum-containing compound and a single layer of the nitrogen-containing compound can be obtained on the substrate structure 150.

[0022]図2A-図2Cは、集積回路製造の段階、更に詳しくはバリヤ層形成の段階において基板200の例示的な部分にタンタル含有化合物と窒素含有化合物の単層の交互化学吸着を示す一実施形態である。図2Aにおいては、タンタル含有化合物の単層は、プロセスチャンバにタンタル含有化合物205のパルスを導入することにより基板200上に化学吸着される。   [0022] FIGS. 2A-2C illustrate an alternate chemisorption of monolayers of a tantalum-containing compound and a nitrogen-containing compound on an exemplary portion of the substrate 200 during integrated circuit fabrication, and more particularly during barrier layer formation. It is an embodiment. In FIG. 2A, a monolayer of tantalum-containing compound is chemisorbed onto substrate 200 by introducing a pulse of tantalum-containing compound 205 into the process chamber.

[0023]タンタル含有化合物205は、典型的には、1以上の化学種215を有するタンタル原子210を含んでいる。一実施形態においては、タンタル含有化合物は、ペンタジメチルアミノ-タンタル(PDMAT:Ta(NMe2)5)である。PDMATは多くの理由で有利に用いることができる。PDMATは比較的に安定である。更に、PDMATは分配を容易にする十分な蒸気圧を有する。特に、PDMATは低いハライド含有量で製造することができる。PDMATのハライド含有量は、100ppm未満のハライド含有量で製造すべきである。理論で縛られないならば、バリヤ層に取り込まれるハロゲン(例えば、塩素)はその上に堆積される銅層に結合することができることから、低ハライド含有量を有する有機金属前駆物質が有益であると考えられる。 [0023] The tantalum-containing compound 205 typically includes a tantalum atom 210 having one or more chemical species 215. In one embodiment, the tantalum-containing compound is pentadimethylamino-tantalum (PDMAT: Ta (NMe 2 ) 5 ). PDMAT can be used advantageously for a number of reasons. PDMAT is relatively stable. In addition, PDMAT has sufficient vapor pressure to facilitate distribution. In particular, PDMAT can be produced with a low halide content. The halide content of PDMAT should be produced with a halide content of less than 100 ppm. If not bound by theory, organometallic precursors having a low halide content are beneficial because the halogen (eg, chlorine) incorporated into the barrier layer can bind to the copper layer deposited thereon. it is conceivable that.

[0024]製造中PDMATの熱分解は、続いて窒化タンタルバリヤ層を形成するために用いられるPDMAT生成物中に不純物を生じることがある。不純物は、CH3NTa(N(CH3)2)3及び((CH3)2N)3Ta(NCH2CH3)のような化合物を含むことができる。更に、水分との反応により、PDMAT製造中にタンタルオキソアミド化合物が生成することがある。好ましくは、タンタルオキソアミド化合物は昇華によりPDMATから除去される。例えば、タンタルオキソアミド化合物はバブラーにおいて除去される。PDMAT生成物は約5ppm未満の塩素を有することが好ましい。更に、リチウム、鉄、フッ素、臭素、ヨウ素のレベルは最小限にすべきである。更に好ましくは、不純物の全レベルは約5ppm未満である。 [0024] Thermal decomposition of PDMAT during manufacture may result in impurities in the PDMAT product that is subsequently used to form a tantalum nitride barrier layer. Impurities can include compounds such as CH 3 NTa (N (CH 3 ) 2 ) 3 and ((CH 3 ) 2 N) 3 Ta (NCH 2 CH 3 ). Furthermore, reaction with moisture may produce tantalum oxoamide compounds during PDMAT production. Preferably, the tantalum oxoamide compound is removed from PDMAT by sublimation. For example, tantalum oxoamide compounds are removed in a bubbler. The PDMAT product preferably has less than about 5 ppm chlorine. Furthermore, the levels of lithium, iron, fluorine, bromine and iodine should be minimized. More preferably, the total level of impurities is less than about 5 ppm.

[0025]タンタル含有化合物はガスとして供給されるか、又はキャリアガスによって供給することができる。用いることができるキャリアガスの例は、ヘリウム(He)、アルゴン(Ar)、窒素(N2)、水素(H2)を含むが、これらに限定されない。 [0025] The tantalum-containing compound can be supplied as a gas or can be supplied by a carrier gas. Examples of carrier gases that can be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N 2 ), hydrogen (H 2 ).

[0026]タンタル含有化合物の単層が基板200に化学吸着された後、過剰なタンタル含有化合物はチャンバへパージガスのパルスを導入することによりプロセスチャンバガスから除去される。用いることができるキャリアガスの例は、ヘリウム(He)、アルゴン(Ar)、窒素(N2)、水素(H2)、他のガスを含むが、それらに限定されない。 [0026] After the monolayer of tantalum-containing compound is chemisorbed to substrate 200, excess tantalum-containing compound is removed from the process chamber gas by introducing a pulse of purge gas into the chamber. Examples of carrier gases that can be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N 2 ), hydrogen (H 2 ), other gases.

[0027]図2Bを参照すると、プロセスチャンバがパージされた後、窒素含有化合物225のパルスがプロセスチャンバに導入される。窒素含有化合物225は単独で供給することができ、キャリアガスによって供給することもできる。窒素含有化合物225は、1つ以上の反応化学種235と窒素原子230を含むことができる。窒素含有化合物は、好ましくはアンモニアガス(NH3)を含んでいる。用いることができる他の窒素含有化合物は、xとyが整数であるNxy(例えば、ヒドラジン(N24))、ジメチルヒドラジン((CH3)222)、t-ブチルヒドラジン(C4923),フェニルヒドラジン(C6523)、他のヒドラジン誘導体、窒素プラズマ源(例えばN2、N2/H2、NH3又はN24プラズマ)、2,2’-アゾイソブタン((CH3)622)、エチルアジド(C253)、及び他の適切なガスを含むことができる。キャリアガスは、必要ならば窒素含有化合物を分配するために用いることができる。 [0027] Referring to FIG. 2B, after the process chamber is purged, a pulse of nitrogen-containing compound 225 is introduced into the process chamber. The nitrogen-containing compound 225 can be supplied alone or can be supplied by a carrier gas. Nitrogen-containing compound 225 can include one or more reactive species 235 and nitrogen atom 230. The nitrogen-containing compound preferably contains ammonia gas (NH 3 ). Other nitrogen-containing compounds that can be used include N x H y (eg, hydrazine (N 2 H 4 )), dimethyl hydrazine ((CH 3 ) 2 N 2 H 2 ), t − where x and y are integers. Butyl hydrazine (C 4 H 9 N 2 H 3 ), phenyl hydrazine (C 6 H 5 N 2 H 3 ), other hydrazine derivatives, nitrogen plasma sources (eg N 2 , N 2 / H 2 , NH 3 or N 2 H 4 plasma), 2,2′-azoisobutane ((CH 3 ) 6 C 2 N 2 ), ethyl azide (C 2 H 5 N 3 ), and other suitable gases. The carrier gas can be used to distribute the nitrogen-containing compound if necessary.

[0028]窒素含有化合物225の単層は、タンタル含有化合物205の単層に化学吸着されてもよい。原子層堆積(ALD)の間の表面上における前駆物質の組成と構造は正確には不明である。理論で縛られないなら、窒素含有化合物225の化学吸着した単層は、タンタル含有化合物205の単層と反応して窒化タンタル層209を形成する。反応化学種215、235は、真空システムにより基板表面から輸送される副生成物240を形成する。   [0028] The monolayer of nitrogen-containing compound 225 may be chemisorbed to the monolayer of tantalum-containing compound 205. The composition and structure of the precursor on the surface during atomic layer deposition (ALD) is not exactly known. If not bound by theory, the chemisorbed monolayer of nitrogen-containing compound 225 reacts with the monolayer of tantalum-containing compound 205 to form tantalum nitride layer 209. The reactive species 215, 235 form a byproduct 240 that is transported from the substrate surface by the vacuum system.

[0029]窒素含有化合物225の単層がタンタル含有化合物の単層上に化学吸着されると、あらゆる過剰な窒素含有化合物が、チャンバにパージガスの他のパルスを導入することによりプロセスチャンバから除去される。その後、図2Cに示されるように、タンタル含有化合物と窒素含有化合物の単層の交互化学吸着の窒化タンタル層堆積シーケンスを繰り返すことができる。   [0029] Once the monolayer of nitrogen-containing compound 225 is chemisorbed onto the monolayer of tantalum-containing compound, any excess nitrogen-containing compound is removed from the process chamber by introducing another pulse of purge gas into the chamber. The The tantalum nitride layer deposition sequence of alternating chemisorption of a single layer of tantalum-containing compound and nitrogen-containing compound can then be repeated, as shown in FIG. 2C.

[0030]図2A-図2Cにおいては、窒化タンタル層形成は、基板上のタンタル含有化合物の単層の化学吸着から出発して、続いて窒素含有化合物の単層の化学吸着が示されている。或いは、窒化タンタル層形成は、基板上の窒素含有化合物の単層の化学吸着から開始することができ、続いてタンタル含有化合物の単層が化学吸着される。更に、代替実施形態においては、反応ガスのパルス間だけのポンプ排気は反応ガスの混合を防止するために用いることができる。   [0030] In FIGS. 2A-2C, tantalum nitride layer formation is shown starting with a monolayer chemisorption of a tantalum-containing compound on a substrate followed by a monolayer chemisorption of a nitrogen-containing compound. . Alternatively, tantalum nitride layer formation can begin with chemisorption of a nitrogen-containing compound monolayer on the substrate followed by chemisorption of the tantalum-containing compound monolayer. Further, in an alternative embodiment, pumping only during the reaction gas pulses may be used to prevent reaction gas mixing.

[0031]タンタル含有化合物、窒素含有化合物、パージガスの各パルスの持続時間は可変であり、用いられる堆積チャンバとそれに結合される真空システムのだけでなく堆積チャンバに必要とされる容積能力に左右される。例えば、(1)低いガスのチャンバ圧より長いパルス時間を必要とし;(2)低いガス流量は、チャンバ圧が上昇し安定化するのに長い時間を必要とし、長いパルス時間を必要とする;(3)大容積チャンバが充填するのに長い時間かかり、チャンバ圧が安定化するのに長くかかるので、長いパルス時間が必要である。同様に、各パルス間の時間も可変であり、プロセスチャンバの容積能力とそれに結合される真空システムに左右される。通常、タンタル含有化合物又は窒素含有化合物のパルスの持続時間は、化合物の単層の化学吸着に十分に長くすべきである。通常、パージガスのパルス時間は、反応副生成物及び/又はプロセスチャンバに残留するあらゆる残留物質を除去するために十分に長くすべきである。   [0031] The duration of each pulse of tantalum-containing compound, nitrogen-containing compound, and purge gas is variable and depends on the volumetric capacity required of the deposition chamber as well as the deposition chamber used and the vacuum system coupled to it. The For example, (1) a longer pulse time is required than the low gas chamber pressure; (2) a low gas flow rate requires a long time and a long pulse time for the chamber pressure to rise and stabilize; (3) It takes a long time to fill the large volume chamber and it takes a long time for the chamber pressure to stabilize, so a long pulse time is required. Similarly, the time between each pulse is variable and depends on the volume capacity of the process chamber and the vacuum system coupled to it. In general, the duration of a pulse of a tantalum-containing compound or a nitrogen-containing compound should be long enough for chemisorption of a single layer of the compound. Typically, the purge gas pulse time should be sufficiently long to remove reaction by-products and / or any residual material remaining in the process chamber.

[0032]通常、タンタル含有化合物の1.0秒以下のパルス時間、窒素含有化合物の約1.0秒以下のパルス時間は、基板上の交互単層を化学吸着させるために典型的には十分である。パージガスの約1.0秒以下のパルス時間は、プロセスチャンバに残存する反応副生成物とあらゆる残留物質を除去するのに典型的には十分である。もちろん、長いパルス時間がタンタル含有化合物と窒素含有化合物の化学吸着を確実にするとともに反応副生成物の除去を確実にするために用いることができる。   [0032] Typically, pulse times of 1.0 seconds or less for tantalum-containing compounds and pulse times of about 1.0 seconds or less for nitrogen-containing compounds are typically sufficient to chemisorb alternating monolayers on a substrate. It is. A pulse time of about 1.0 second or less of the purge gas is typically sufficient to remove any reaction byproducts and any remaining material remaining in the process chamber. Of course, long pulse times can be used to ensure chemisorption of tantalum-containing and nitrogen-containing compounds and to ensure removal of reaction byproducts.

[0033]原子層堆積の間、基板は選択されたタンタル含有化合物の熱分解温度より大体低く維持することができる。本明細書に確認されたタンタル含有化合物において用いられる典型的なヒータ温度範囲は、約100torr未満のチャンバ圧、好ましくは50torr未満で約20℃〜約500℃である。タンタル含有ガスがPDMATであるとき、ヒータ温度は、好ましくは約175℃〜約250℃、更に好ましくは約100℃〜約300℃である。他の実施形態においては、他の温度を用いることができることを理解すべきである。例えば、熱分解温度より高い温度を用いることができる。しかしながら、温度は、50%を超える堆積活性が化学吸着プロセスによるように選択すべきである。他の例においては、成長方式が原子層堆積成長方式と類似するように各前駆物質堆積中の熱分解量が制限される熱分解温度より高い温度を用いることができる。   [0033] During atomic layer deposition, the substrate can be maintained approximately below the thermal decomposition temperature of the selected tantalum-containing compound. A typical heater temperature range used in the tantalum-containing compounds identified herein is a chamber pressure of less than about 100 torr, preferably from about 20 ° C. to about 500 ° C. at less than 50 torr. When the tantalum-containing gas is PDMAT, the heater temperature is preferably about 175 ° C to about 250 ° C, more preferably about 100 ° C to about 300 ° C. It should be understood that other temperatures can be used in other embodiments. For example, a temperature higher than the thermal decomposition temperature can be used. However, the temperature should be chosen such that a deposition activity of more than 50% is due to the chemisorption process. In another example, a temperature higher than the pyrolysis temperature at which the amount of pyrolysis during each precursor deposition is limited can be used so that the growth scheme is similar to the atomic layer deposition growth scheme.

[0034]プロセスチャンバにおいて原子層堆積によって窒化タンタル層を堆積する一例示的なプロセスは、順次、ペンタジメチルアミノタンタル(PDMAT)を約100sccm〜約1000sccm、好ましくは約200sccm〜約500sccmの流量で約1.0秒以下の時間、供給するステップ、アンモニアを約100sccm〜約1000sccm、好ましくは約200sccm〜約500sccmの流量で約1.0秒以下の時間、供給するステップ、パージガスを約100sccm〜約1000sccm、好ましくは約200sccm〜約500sccmの流量で約1.0秒以下の時間、供給するステップを含んでいる。ヒータ温度は、好ましくは約1.0〜約5.0torrのチャンバ圧で約100℃〜約300℃で維持される。交互のシーケンスは、所望の厚さが達成されるまで繰り返すことができる。   [0034] One exemplary process for depositing a tantalum nitride layer by atomic layer deposition in a process chamber is followed by about pentadimethylamino tantalum (PDMAT) at a flow rate of about 100 seem to about 1000 seem, preferably about 200 seem to about 500 seem. Supplying for 1.0 second or less, supplying ammonia at a flow rate of about 100 sccm to about 1000 sccm, preferably about 200 sccm to about 500 sccm for about 1.0 second or less, purging gas for about 100 sccm to about 1000 sccm And supplying at a flow rate of preferably about 200 seem to about 500 seem for a time of about 1.0 seconds or less. The heater temperature is preferably maintained at about 100 ° C. to about 300 ° C. with a chamber pressure of about 1.0 to about 5.0 torr. The alternating sequence can be repeated until the desired thickness is achieved.

[0035]図3は、本発明の態様に従って原子層堆積により1以上バリヤ層を形成するために用いることができる、処理システム320の一例示的実施形態の概略断面図である。もちろん、他の処理システムを用いることもできる。   [0035] FIG. 3 is a schematic cross-sectional view of one exemplary embodiment of a processing system 320 that can be used to form one or more barrier layers by atomic layer deposition according to aspects of the present invention. Of course, other processing systems can be used.

[0036]処理システム320は、通常は、ガス分配システム304に結合したプ処理チャンバ306を含んでいる。処理チャンバ306は、例えば、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できる、適切なあらゆるプロセスチャンバであってもよい。例示的なプロセスチャンバは、特に、DPSCENTURA(登録商標)エッチングチャンバ、PRODUCER(登録商標)化学気相堆積チャンバ、ENDURA(登録商標)物理気相堆積チャンバを含んでいる。   [0036] The processing system 320 typically includes a processing chamber 306 coupled to a gas distribution system 304. The processing chamber 306 may be any suitable process chamber available, for example, from Applied Materials, Inc., located in Santa Clara, California. Exemplary process chambers include, among other things, the DPSCENTURA® etch chamber, the PRODUCER® chemical vapor deposition chamber, and the ENDURA® physical vapor deposition chamber.

[0037]ガス分配システム304は、通常、様々なプロセスガスや不活性ガスが処理チャンバ306に分配される際の速度と圧力を制御する。処理チャンバ306に分配されるプロセスガスや他のガスの数と種類は、通常、それに結合した処理チャンバ306で行われるプロセスに基づいて選択される。簡単のために、単一ガス分配回路が図3に示されるガス分配システム304に示されるが、更にガス分配回路を用いることができることは予想される。   [0037] The gas distribution system 304 typically controls the rate and pressure at which various process and inert gases are distributed to the processing chamber 306. The number and type of process gases and other gases dispensed into the processing chamber 306 are typically selected based on the processes performed in the processing chamber 306 coupled thereto. For simplicity, a single gas distribution circuit is shown in the gas distribution system 304 shown in FIG. 3, but it is anticipated that further gas distribution circuits can be used.

[0038]ガス分配システム304は、通常は、キャリアガス源302とプロセスチャンバ306間に結合される。キャリアガス源302は局部容器又は遠隔容器又は設備全体にキャリアガスを供給する集中設備供給源であってもよい。キャリアガス源302は、典型的には、キャリアガス、例えば、アルゴン、窒素、ヘリウム又は他の不活性又は非反応性ガスを供給する。   [0038] A gas distribution system 304 is typically coupled between the carrier gas source 302 and the process chamber 306. The carrier gas source 302 may be a local facility or a remote facility or a central facility supply that supplies carrier gas to the entire facility. The carrier gas source 302 typically supplies a carrier gas, such as argon, nitrogen, helium or other inert or non-reactive gas.

[0039]ガス分配システム304は、典型的には、キャリアガス源302とプロセスガスソースキャニスタ300間に結合したフローコントローラ310を含んでいる。フローコントローラ310は、比例バルブ、調節バルブ、ニードルバルブ、レギュレータ、マスフローコントローラ等であってもい。用いることができる一フローコントローラ310は、カリフォルニア州モントレーにあるSierraInstruments社から入手できる。   [0039] The gas distribution system 304 typically includes a flow controller 310 coupled between the carrier gas source 302 and the process gas source canister 300. The flow controller 310 may be a proportional valve, a regulating valve, a needle valve, a regulator, a mass flow controller, or the like. One flow controller 310 that can be used is available from Sierra Instruments, Inc., located in Monterey, California.

[0040]ソースキャニスタ300は、典型的には、第一、第二バルブ312、314間に結合し、配置される。一実施形態においては、第一、第二バルブ312、314はソースキャニスタ300に結合され、ガス分配システム304からソースキャニスタ300と共にバルブ312、314を容易に取り外せるように、切断取り付け具(図示せず)で取り付けられている。第三バルブ316は、ガス分配システム304からソースキャニスタ300を取り外した後、処理チャンバ306への汚染物質の導入を防止するために第二バルブ314と処理チャンバ306間に配置される。   [0040] The source canister 300 is typically coupled and disposed between the first and second valves 312,314. In one embodiment, the first and second valves 312, 314 are coupled to the source canister 300 and a cutting fixture (not shown) so that the valves 312, 314 can be easily removed from the gas distribution system 304 along with the source canister 300. ). The third valve 316 is disposed between the second valve 314 and the processing chamber 306 to prevent introduction of contaminants into the processing chamber 306 after removing the source canister 300 from the gas distribution system 304.

[0041]図4Aと図4Bは、ソースキャニスタ300の一実施形態を示す断面図である。ソースキャニスタ300は、通常は、プロセス(又は他の)ガスが昇華又は気化プロセスによって生成することができる前駆物質414を保持するように適合されているハウジング420を有するアンプル又は他の密封容器を含んでいる。昇華プロセスによってソースキャニスタ300にプロセスガスを生成することができるある固体前駆物質414は、特に、二フッ化キセノン、ニッケルカルボニル、タングステンヘキサカルボニル、ペンタキス(ジメチルアミノ)タンタル(PDMAT)を含んでいる。気化プロセスによってソースキャニスタ300にプロセスガスを生成することができるある液体前駆物質414は、特に、テトラキス(ジメチルアミノ)チタン(TDMAT)、tert-ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET)、ペンタキス(エチルメチルアミノ)タンタル(PDMAT)を含んでいる。ハウジング420は、前駆物質414やそれから生成されたガスに実質的に不活性な物質から通常は製造されるので、物質の構成は生成されるガスに基づいて変化し得る。   [0041] FIGS. 4A and 4B are cross-sectional views illustrating one embodiment of a source canister 300. FIG. The source canister 300 typically includes an ampoule or other sealed container having a housing 420 that is adapted to hold a precursor 414 from which process (or other) gas can be generated by a sublimation or vaporization process. It is out. Some solid precursors 414 that can generate process gases in the source canister 300 by a sublimation process include, among others, xenon difluoride, nickel carbonyl, tungsten hexacarbonyl, pentakis (dimethylamino) tantalum (PDMAT). Some liquid precursors 414 that can generate a process gas in the source canister 300 by a vaporization process include tetrakis (dimethylamino) titanium (TDMAT), tert-butyliminotris (diethylamino) tantalum (TBTDET), pentakis (ethyl), among others. Methylamino) tantalum (PDMAT). Since the housing 420 is typically manufactured from a material that is substantially inert to the precursor 414 and the gas produced therefrom, the composition of the material can vary based on the gas produced.

[0042]ハウジング420は、多くの幾何学的形を有することができる。図4Aと図4Bに示される実施形態においては、ハウジング420は、円柱状側壁402とリッド404により密封された底面432を含んでいる。リッド404は、溶接、結合、接着、又は他の漏れない方法で側壁402に結合することができる。或いは、側壁402とリッド404間の連結は、シール、o-リング、ガスケット等を有し、ソースキャニスタ300からの漏れを防止するために配置されている。或いは、側壁402は、例えば、中空平方チューブの他の中空幾何学的形を含むことができる。   [0042] The housing 420 can have many geometric shapes. In the embodiment shown in FIGS. 4A and 4B, the housing 420 includes a cylindrical side wall 402 and a bottom surface 432 sealed by a lid 404. The lid 404 can be coupled to the sidewall 402 by welding, bonding, gluing, or other leak-proof method. Alternatively, the connection between the side wall 402 and the lid 404 includes a seal, o-ring, gasket, etc. and is arranged to prevent leakage from the source canister 300. Alternatively, the side wall 402 may include other hollow geometric shapes, for example, a hollow square tube.

[0043]流入ポート406と流出ポート408は、ガスフローをソースキャニスタ300の内外に流すことを可能にするソースキャニスタによって形成されている。ポート406、408は、ソースキャニスタ300のリッド404及び/又は側壁402によって形成することができる。ポート406、408は、ガス分配システム304からソースキャニスタ300の取り外し中に、ソースキャニスタ300の内部を周囲環境から分離することを可能にするように通常は密封可能である。一実施形態においては、バルブ312、314は、前駆物質414の再充填又はソースキャニスタ300の取替えるために、ガス分配システム304(図3に示される)から取り外されるとき、ソースキャニスタ300からの漏れを防止するためにポート406、408と密封して結合される。一対の切断取り付け具436A、436Bは、ガス分配システム304に、また、ガス分配システムからソースキャニスタ300の取り外しや取替えを容易にするために、バルブ312と314に結合することができる。バルブ312、314は、典型的には、充填、輸送、又はガス分配システム304への結合中にソースキャニスタ300からの潜在的な漏れを最少にしつつ、ソースキャニスタ300が効率的に装填されたシステムから取り外され再循環させることができるボールバルブ又は確実に密封されるバルブである。或いは、ソースキャニスタ300は、ソースキャニスタ300のリッド404に配置されるVCR取付け具で小チューブのような再充填ポート(図示せず)によって再充填され得る。   [0043] The inflow port 406 and the outflow port 408 are formed by a source canister that allows gas flow to flow in and out of the source canister 300. Ports 406, 408 can be formed by lid 404 and / or sidewall 402 of source canister 300. Ports 406, 408 are typically sealable to allow the interior of source canister 300 to be isolated from the ambient environment during removal of source canister 300 from gas distribution system 304. In one embodiment, valves 312, 314 may leak from source canister 300 when removed from gas distribution system 304 (shown in FIG. 3) to refill precursor 414 or replace source canister 300. In order to prevent, it is hermetically coupled with the ports 406, 408. A pair of cutting fixtures 436A, 436B can be coupled to the gas distribution system 304 and to the valves 312 and 314 to facilitate removal and replacement of the source canister 300 from the gas distribution system. Valves 312, 314 are typically systems that are efficiently loaded with source canister 300 while minimizing potential leakage from source canister 300 during filling, transport, or coupling to gas distribution system 304. A ball valve that can be removed from and recirculated or a valve that is securely sealed. Alternatively, the source canister 300 can be refilled by a refill port (not shown) such as a small tube with a VCR fitting located on the lid 404 of the source canister 300.

[0044]ソースキャニスタ300は、上部領域418と下部領域434を有する内容積438を有する。ソースキャニスタ300の下部領域434は、前駆物質414で少なくとも部分的に充填される。或いは、液体416はスラリー412を形成するために固体前駆物質414に加えることができる。前駆物質414、液体416、又は前もって混合したスラリー412は、リッド404を取り外すことにより、又はポート406、408の1つによってソースキャニスタ内に導入することができる。液体416は前駆物質414と非反応せいであり、前駆物質414は液体416内で不溶解であるように、液体416が前駆物質414と比較して無視できるほどの蒸気圧を有するように、液体416に、例えば、タングステンヘキサカルボニルの固体前駆物質414の蒸気圧の比率が103を超えるように選ばれる。 [0044] The source canister 300 has an internal volume 438 having an upper region 418 and a lower region 434. The lower region 434 of the source canister 300 is at least partially filled with the precursor 414. Alternatively, liquid 416 can be added to solid precursor 414 to form slurry 412. Precursor 414, liquid 416, or premixed slurry 412 can be introduced into the source canister by removing lid 404 or by one of ports 406, 408. The liquid 416 is non-reactive with the precursor 414 and the liquid 416 has a negligible vapor pressure compared to the precursor 414 so that the precursor 414 is insoluble in the liquid 416. For example, 416 is selected such that the vapor pressure ratio of tungsten hexacarbonyl solid precursor 414 exceeds 10 3 .

[0045]液体416と混合した前駆物質414は、スラリー412中の液体416に懸濁した前駆物質414を維持するように時々攪拌することができる。一実施形態においては、前駆物質414と液体416は磁気スターラー440によって攪拌される。磁気スターラー440は、ソースキャニスタ300の底面432の下に配置される磁気モータ442とソースキャニスタ300の下部領域434に配置された磁気ピル444を含んでいる。磁気モータ442はソースキャニスタ300内の磁気ピル444を回転させるように作動させ、それによりスラリー412を混合する。磁気ピル444は、前駆物質414、液体416、又はソースキャニスタ300と非反応性である物質の外部コーティングを有するべきである。適切な磁気ミキサは市販されている。適切な磁気ミキサの一例は、ノースカリフォルニア州のウィルミントンのIKA(登録商標) Worksから入手できるIKAMAG(登録商標) REOである。或いは、スラリー412は、ミキサ、バブラー等の他の手段で攪拌することができる。   [0045] The precursor 414 mixed with the liquid 416 can be occasionally agitated to maintain the precursor 414 suspended in the liquid 416 in the slurry 412. In one embodiment, precursor 414 and liquid 416 are agitated by magnetic stirrer 440. The magnetic stirrer 440 includes a magnetic motor 442 disposed below the bottom surface 432 of the source canister 300 and a magnetic pill 444 disposed in the lower region 434 of the source canister 300. The magnetic motor 442 operates to rotate the magnetic pill 444 in the source canister 300, thereby mixing the slurry 412. The magnetic pill 444 should have an outer coating of material that is non-reactive with the precursor 414, liquid 416, or source canister 300. Suitable magnetic mixers are commercially available. An example of a suitable magnetic mixer is IKAMAG® REO available from IKA® Works, Wilmington, North California. Alternatively, the slurry 412 can be stirred by other means such as a mixer or a bubbler.

[0046]液体416の攪拌によって、液体416の小滴がキャリアガスに移動し処理チャンバ306に向かって送られるように誘導することができる。このような液体416の小滴が処理チャンバ306に到達することを防止するために、オイルトラップ450がソースキャニスタ300の流出ポート408に任意に懸濁することができる。オイルトラップ450は、オイルトラップ本体452の中央線456をこえて伸び、ソースキャニスタ300へ少なくともわずかに下向きに傾いている複数のすきまのあるバッフル454を含有する本体452を含んでいる。バッフル454によって、プロセスチャンバ306に向かって流れるガスが進みバッフル454の周りの捻じれた経路に流れるる。バッフル454の表面積によって、ガスに移動することができるオイル小滴が付着する流れているガスにさらされた大表面積が得られる。バッフル454の下向きの角度は、全てのオイルがソースキャニスタ300に下向きと後ろに流れるオイルトラップに蓄積させることができる。   [0046] Agitation of the liquid 416 may induce a droplet of the liquid 416 to move to the carrier gas and be sent toward the processing chamber 306. In order to prevent such droplets of liquid 416 from reaching the processing chamber 306, the oil trap 450 can optionally be suspended in the outlet port 408 of the source canister 300. The oil trap 450 includes a body 452 that includes a plurality of clearance baffles 454 that extend beyond the center line 456 of the oil trap body 452 and that are inclined at least slightly downwardly toward the source canister 300. The baffle 454 advances the gas flowing toward the process chamber 306 and flows in a twisted path around the baffle 454. The surface area of the baffle 454 provides a large surface area exposed to the flowing gas to which oil droplets that can move into the gas adhere. The downward angle of the baffle 454 allows all oil to accumulate in an oil trap that flows downward and back into the source canister 300.

[0047]ソースキャニスタ300は、ソースキャニスタ300の上部領域418内に配置された少なくとも1つのバッフル410を含んでいる。バッフル410は流入ポート406と流出ポート408間に配置され、伸張した平均流路がつくられ、それにより流入ポート406から流出ポート408へのキャリアガスの直接(即ち、直線)のフローが防止される。このことは、ソースキャニスタ300内のキャリアガスの平均滞留時間を増加させるとともにキャリアガスにより送られた昇華又は気化された前駆物質ガスの量を増加させる効果を有する。更に、バッフル410は、ソースキャニスタ300に配置された前駆物質414の晒された表面全体にキャリアガスを送り、前駆物質414の再現性のあるガス生成特性と効率的な消費を確実にする。   [0047] The source canister 300 includes at least one baffle 410 disposed in the upper region 418 of the source canister 300. The baffle 410 is disposed between the inflow port 406 and the outflow port 408 to create an elongated average flow path, thereby preventing direct (ie, straight) flow of carrier gas from the inflow port 406 to the outflow port 408. . This has the effect of increasing the average residence time of the carrier gas in the source canister 300 and increasing the amount of sublimated or vaporized precursor gas sent by the carrier gas. In addition, the baffle 410 delivers a carrier gas across the exposed surface of the precursor 414 located in the source canister 300 to ensure reproducible gas generation characteristics and efficient consumption of the precursor 414.

[0048]バッフル410の数、間隔、形は、前駆物質ガスの最適生成のためにソースキャニスタ300が合うように選択することができる。例えば、多くのバッフル410が前駆物質414に更に高いキャリアガス速度を与えるように選択することができ又はバッフル410の形が更に効率的な前駆物質の使用のために前駆物質414の消費を制御するよう構成することができる。   [0048] The number, spacing, and shape of the baffles 410 can be selected to suit the source canister 300 for optimal production of precursor gases. For example, many baffles 410 can be selected to give the precursor 414 a higher carrier gas velocity, or the shape of the baffle 410 controls the consumption of the precursor 414 for more efficient precursor use. It can be configured as follows.

[0049]バッフル410は、側壁402又はリッド404に結合することができ、又はバッフル410はソースキャニスタ300内に適合するように設計された前もって製造された挿入物であってもよい。一実施形態においては、ソースキャニスタ300内に配置されたバッフル410は、側壁402と同様の物質で製造された五枚の矩形プレートを含んでいる。図4Bを参照すると、バッフル410は相互に平行な側壁402に溶接されるか或いは固定される。バッフル410はすきまがあり、交互方法でソースキャニスタの対向する側壁に固定され、曲った伸長平均流路が作られる。更に、バッフル410は、側壁402上に載置されたとき、リッド404上の流入ポート406と流出ポート408との間に置かれ、バッフル410とリッド404との間に空間が無いように配置されている。バッフル410は、更に、ソースキャニスタ300の下部領域434に少なくとも部分的に伸長しているので、上の領域に流れ込むキャリアガスの伸長した平均流路を画成する。   [0049] The baffle 410 can be coupled to the sidewall 402 or the lid 404, or the baffle 410 can be a pre-fabricated insert designed to fit within the source canister 300. In one embodiment, the baffle 410 disposed within the source canister 300 includes five rectangular plates made of a material similar to the sidewall 402. Referring to FIG. 4B, the baffle 410 is welded or secured to the side walls 402 that are parallel to each other. The baffle 410 has a gap and is fixed to the opposite side walls of the source canister in an alternating manner, creating a curved elongated average flow path. Further, when the baffle 410 is placed on the side wall 402, the baffle 410 is placed between the inflow port 406 and the outflow port 408 on the lid 404, and there is no space between the baffle 410 and the lid 404. ing. The baffle 410 further extends at least partially into the lower region 434 of the source canister 300 and thus defines an extended average flow path for carrier gas flowing into the upper region.

[0050]任意に、注入チューブ422をソースキャニスタ300の内部領域438に配置することができる。チューブ422はソースキャニスタ300の流入ポート406に第一端424によって結合され、ソースキャニスタ300の上部領域418内において第二端426で終わる。チューブ422は、前駆物質414又はスラリー412に近い位置でソースキャニスタ300の上部領域418にキャリアガスを注入する。   [0050] Optionally, the infusion tube 422 can be placed in the interior region 438 of the source canister 300. Tube 422 is coupled to inflow port 406 of source canister 300 by first end 424 and terminates at second end 426 within upper region 418 of source canister 300. The tube 422 injects carrier gas into the upper region 418 of the source canister 300 at a location near the precursor 414 or slurry 412.

[0051]前駆物質414は、前もって設定された温度と圧力で前駆ガスを生成する。前駆物質414から昇華又は気化されたガスはソースキャニスタ300の上の領域に蓄積され、流入ポート406を通って入り流出ポート408を出る不活性のキャリアガスにより押し出され、処理チャンバ306に送られる。一実施形態においては、前駆物質414は側壁402の近くに配置された抵抗ヒータ430によって前もって設定された温度に加熱される。或いは、前駆物質414は、ソースキャニスタ300の上部領域418又は下部領域434に配置されたカートリッジヒータ(図示せず)のような他の手段によって又はキャリアガス流入ポート406の上流に配置されたヒータ(図示せず)でキャリアガスを予熱することにより加熱することができる。スラリー412全体に均一な熱拡散を最大にするために、液体416とバッフル410は良好な導体でなければならない。   [0051] The precursor 414 generates a precursor gas at a preset temperature and pressure. Sublimated or vaporized gas from the precursor 414 accumulates in the region above the source canister 300, is pushed out by the inert carrier gas that enters through the inflow port 406 and exits the outflow port 408, and is sent to the processing chamber 306. In one embodiment, the precursor 414 is heated to a preset temperature by a resistance heater 430 positioned near the sidewall 402. Alternatively, the precursor 414 may be heated by other means such as a cartridge heater (not shown) disposed in the upper region 418 or lower region 434 of the source canister 300 or upstream of the carrier gas inlet port 406 ( It is possible to heat the carrier gas by preheating it (not shown). In order to maximize uniform heat diffusion throughout the slurry 412, the liquid 416 and the baffle 410 must be good conductors.

[0052]本発明の更に他の実施形態によれば、窒化アルミニウム又は窒化ホウ素のような熱導電性の高い多数の固体ビーズ又は粒子810を、図8に示されるように、液体416の代わりに用いることができる。このような固体粒子810は、液体416よりキャニスタ800の側壁から前駆物質414に更に多くの熱を伝達させるために用いることができる。固体粒子810は、前駆物質414と非反応性であり、不溶であり、前駆物質414と比較して無視できるほどの蒸気圧を有するという点で液体416と同じ特性を有する。そういうものとして、固体粒子810はキャニスタ800の側壁からキャニスタ800の中心部に熱を効率的に伝達するよう構成され、それにより昇華又は気化中に更に多くの前駆物質が用いられる。固体粒子810は、また、キャニスタ800に堆積される前に、汚染物質、水蒸気等を脱ガスし洗浄することができる。   [0052] According to yet another embodiment of the present invention, a large number of highly thermally conductive solid beads or particles 810, such as aluminum nitride or boron nitride, are substituted for liquid 416, as shown in FIG. Can be used. Such solid particles 810 can be used to transfer more heat from the sidewalls of the canister 800 to the precursor 414 than the liquid 416. Solid particles 810 have the same characteristics as liquid 416 in that they are non-reactive with precursor 414, insoluble, and have negligible vapor pressure compared to precursor 414. As such, the solid particles 810 are configured to efficiently transfer heat from the sidewalls of the canister 800 to the center of the canister 800, thereby using more precursors during sublimation or vaporization. The solid particles 810 can also be degassed and cleaned of contaminants, water vapor, etc. before being deposited on the canister 800.

[0053]動作の一例示的方法においては、ソースキャニスタ300の下部領域434は、タングステンヘキサカルボニルと拡散ポンプオイルの混合物で少なくとも部分的に充填されてスラリー412を形成する。スラリー412は約5Torrの圧力に保たれ、ソースキャニスタ300の近くにある抵抗ヒータ430によって摂氏約40度〜摂氏約50度の範囲の温度に加熱される。アルゴンの形でのキャリアガスは、約400標準cc/minの速度で上部領域418に流入ポート406を通って流れる。アルゴンは、流出ポート408によってソースキャニスタ300を出る前に、バッフル410によって捻じれた流路により画成された伸長した平均流路に流れ、有利にはソースキャニスタ300の上部領域418におけるアルゴンの平均滞留時間が長くなる。ソースキャニスタ300内の長い滞留時間によって、有利には、キャリアガス内の昇華されたタングステンヘキサカルボニル蒸気の飽和レベルが高くなる。更に、バッフル410を通る捻じれた流路は、有利には、前駆物質414の均一な消費と前駆物質ガスの生成のために前駆物質414の晒された表面積のほぼ全部がキャリアガスフローに晒される。   [0053] In one exemplary method of operation, the lower region 434 of the source canister 300 is at least partially filled with a mixture of tungsten hexacarbonyl and diffusion pump oil to form a slurry 412. The slurry 412 is maintained at a pressure of about 5 Torr and is heated to a temperature in the range of about 40 degrees Celsius to about 50 degrees Celsius by a resistance heater 430 near the source canister 300. Carrier gas in the form of argon flows through the inlet port 406 into the upper region 418 at a rate of about 400 standard cc / min. Prior to leaving the source canister 300 by the outflow port 408, the argon flows into an elongated average channel defined by the channel twisted by the baffle 410, preferably the average of argon in the upper region 418 of the source canister 300. Residence time is increased. The long residence time in the source canister 300 advantageously increases the saturation level of the sublimated tungsten hexacarbonyl vapor in the carrier gas. Further, the twisted flow path through the baffle 410 advantageously allows substantially all of the exposed surface area of the precursor 414 to be exposed to the carrier gas flow for uniform consumption of the precursor 414 and generation of precursor gas. It is.

[0054]図7は、前駆物質414を加熱するための他の実施形態を示すものである。更に詳しくは、図7はキャニスタヒータ730で取り囲まれたキャニスタ700を示す断面図であり、キャニスタ700の下部領域434とキャニスタ700の上部領域418との間に温度勾配を生じるように構成され、下部領域434は最も低温の領域であり、上部領域418は最も高温の領域である。温度勾配は摂氏約5度〜摂氏約15度の範囲にあってもよい。固体前駆物質が通常はキャニスタ700の最も低温領域で蓄積又は凝縮する傾向があるので、キャニスタヒータ730は固体前駆物質414がキャニスタ700の下部領域434に蓄積することを確実にするように構成され、それにより固体前駆物質414が凝縮するという予測性と固体前駆物質414の温度が高くなる。キャニスタヒータ730は、上部領域418及び下部領域434を含むキャニスタ700全体がキャニスタヒータ730により加熱されるようにキャニスタヒータ730の内部に配置された加熱素子750を含んでいる。上部領域418近傍の加熱素子750は、下部領域434近傍の加熱素子750より高い熱を生成するように構成することができ、それによりキャニスタヒータ730が下部領域434と上部領域418間の温度勾配を生じることを可能にする。一実施形態においては、加熱素子750は上部領域418の温度が下部領域434の温度より摂氏約5度〜摂氏約15度高いように構成される。他の実施形態においては、加熱素子750は上部領域418の温度が摂氏約70度、下部領域434の温度が摂氏約60度、キャニスタ700の側壁の温度が摂氏約65度であるように構成される。加熱素子730の電力は、208VAC入力で約600ワットとすることができる。   [0054] FIG. 7 illustrates another embodiment for heating the precursor 414. FIG. More specifically, FIG. 7 is a cross-sectional view showing a canister 700 surrounded by a canister heater 730, which is configured to generate a temperature gradient between a lower region 434 of the canister 700 and an upper region 418 of the canister 700. Region 434 is the coldest region and upper region 418 is the hottest region. The temperature gradient may be in the range of about 5 degrees Celsius to about 15 degrees Celsius. Since the solid precursor typically tends to accumulate or condense in the coldest region of the canister 700, the canister heater 730 is configured to ensure that the solid precursor 414 accumulates in the lower region 434 of the canister 700; This increases the predictability that the solid precursor 414 will condense and the temperature of the solid precursor 414. The canister heater 730 includes a heating element 750 disposed inside the canister heater 730 so that the entire canister 700 including the upper region 418 and the lower region 434 is heated by the canister heater 730. The heating element 750 near the upper region 418 can be configured to generate higher heat than the heating element 750 near the lower region 434 so that the canister heater 730 creates a temperature gradient between the lower region 434 and the upper region 418. Allows to occur. In one embodiment, the heating element 750 is configured such that the temperature of the upper region 418 is about 5 degrees Celsius to about 15 degrees Celsius above the temperature of the lower region 434. In other embodiments, the heating element 750 is configured such that the temperature of the upper region 418 is about 70 degrees Celsius, the temperature of the lower region 434 is about 60 degrees Celsius, and the temperature of the canister 700 sidewalls is about 65 degrees Celsius. The The power of the heating element 730 can be about 600 watts at 208 VAC input.

[0055]キャニスタヒータ730は、キャニスタ700の最も低温の領域が下部領域434であることを更に確実にするためにキャニスタヒータ730の底面に位置する冷却プレート720を更に含み、それにより固体前駆物質414が下部領域434で凝縮することが確実である。冷却プレート720は、また、形が環状であってもよい。更に、バルブ312、314、オイルトラップ450、流入ポート460、流出ポート408は、抵抗加熱テープで加熱することができる。上部領域418は下部領域434より温度が高いように構成されるので、バッフル410は上部領域418から下部領域434に熱を伝達するために用いることができ、それによりキャニスタヒータ730が所望の温度勾配を維持することができる。   [0055] The canister heater 730 further includes a cooling plate 720 located on the bottom surface of the canister heater 730 to further ensure that the coldest region of the canister 700 is the lower region 434, thereby providing a solid precursor 414. Is surely condensed in the lower region 434. The cooling plate 720 may also be annular in shape. Further, the valves 312 and 314, the oil trap 450, the inflow port 460, and the outflow port 408 can be heated with resistance heating tape. Since the upper region 418 is configured to have a higher temperature than the lower region 434, the baffle 410 can be used to transfer heat from the upper region 418 to the lower region 434 so that the canister heater 730 can have a desired temperature gradient. Can be maintained.

[0056]図9は、キャニスタ700の底部432から上部領域418まで伸びている複数のサイロ910を示す断面図である。図10は、キャニスタ700の底部432から上部領域418まで伸長したサイロ910を示す正面図である。サイロ910は前駆物質414の温度勾配を低減させるように構成され、それにより前駆物質内部の温度がほぼ均一になるように維持される。サイロ910は、底部432から前駆物質414と液体416の上面の少し上まで伸長することができる。サイロ910はポスト又はフィンの形であってもよい。サイロ910は、ステンレス鋼、アルミニウム等の熱伝導性物質から製造することができる。   FIG. 9 is a cross-sectional view illustrating a plurality of silos 910 extending from the bottom 432 of the canister 700 to the top region 418. FIG. 10 is a front view showing the silo 910 extending from the bottom 432 of the canister 700 to the upper region 418. The silo 910 is configured to reduce the temperature gradient of the precursor 414, thereby maintaining the temperature within the precursor substantially uniform. The silo 910 can extend from the bottom 432 to slightly above the top surfaces of the precursor 414 and the liquid 416. The silo 910 may be in the form of a post or fin. The silo 910 can be manufactured from a thermally conductive material such as stainless steel or aluminum.

[0057]図9は、更にソースキャニスタ700の内容積438に配置される流入チューブ422を示す図である。チューブ422は、ソースキャニスタ700の流入ポート406に第一端424で結合し、ソースキャニスタ700の上部領域418における第二端426で終わる。チューブ422は、前駆物質414又はスラリー412の近い位置でソースキャニスタ700の上部領域418にキャリアガスを注入する。第二端426は、更に、側壁402に向かってガスフローを送るように適合することができるので、ポート406、408間のキャニスタ700を通ってガスの直接(直線又は透視線)フローを防止し、伸長した平均流路が生じる。   [0057] FIG. 9 further illustrates the inflow tube 422 disposed in the inner volume 438 of the source canister 700. FIG. Tube 422 is coupled to inflow port 406 of source canister 700 at first end 424 and ends at second end 426 in upper region 418 of source canister 700. The tube 422 injects a carrier gas into the upper region 418 of the source canister 700 near the precursor 414 or slurry 412. The second end 426 can further be adapted to direct gas flow toward the sidewall 402 to prevent direct (straight or perspective) flow of gas through the canister 700 between the ports 406, 408. An elongated average flow path results.

[0058]図5は、プロセスガスを生成するためのキャニスタ500の他の実施形態を示す断面図である。キャニスタ500は、内容積438を封入する側壁402、リッド404、底面432を含んでいる。リッド404又は側壁402の少なくとも1つが、ガス流入と流出のための流入ポート406と流出ポート408を含んでいる。キャニスタ500の内容積438は上部領域418と下部領域434で分けられる。前駆物質414は少なくとも部分的に下部領域434を充填する。前駆物質414は固体、液体又はスラリーの形であってもよく、昇華又は気化によりプロセスガスを生成するよう適合されている。   [0058] FIG. 5 is a cross-sectional view illustrating another embodiment of a canister 500 for generating process gas. The canister 500 includes a side wall 402 that encloses an internal volume 438, a lid 404, and a bottom surface 432. At least one of the lid 404 or the side wall 402 includes an inflow port 406 and an outflow port 408 for gas inflow and outflow. The internal volume 438 of the canister 500 is divided into an upper region 418 and a lower region 434. Precursor 414 at least partially fills lower region 434. The precursor 414 may be in the form of a solid, liquid or slurry and is adapted to produce a process gas by sublimation or vaporization.

[0059]チューブ502はキャニスタ500の内容積438内に配置され、前駆物質からキャニスタ500内にガスフローを送るように適合され、有利にはチューブ502から流れるガスが前駆物質414に直接衝突することや微粒子が空気によって運ばれることを防止し、流出ポート408を通ってプロセスチャンバ306に運ばれる。チューブ502は第一端504で流入ポート406に結合されている。チューブ502は、第一端504から前駆物質414の上の上部領域418に位置する第二端526Aに伸びている。第二端526Aは側壁402に向かってガスフローを送るように適合されてもよいので、ポート406、408間のキャニスタ500を通ってガスの直接フロー(直線又は透視線)を防止し、伸長した平均流路が生じる。   [0059] The tube 502 is disposed within the interior volume 438 of the canister 500 and is adapted to send a gas flow from the precursor into the canister 500, preferably the gas flowing from the tube 502 impinges directly on the precursor 414. And particulates are prevented from being carried by the air and are carried through the outflow port 408 to the process chamber 306. Tube 502 is coupled to inflow port 406 at a first end 504. Tube 502 extends from a first end 504 to a second end 526A located in the upper region 418 above the precursor 414. The second end 526A may be adapted to route gas flow toward the side wall 402, thus preventing direct flow of gas (straight line or perspective) through the canister 500 between the ports 406, 408 and extended. An average flow path is created.

[0060]一実施形態においては、チューブ502の第二端526Aの流出口506は、キャニスタ500の中心軸508に相対して約15〜90度の角度に向いている。他の実施形態においては、チューブ502は流出口506を出るガスフローをキャニスタ500のリッド404に送る、‘J’型の第二端526Bを有する。他の実施形態においては、チューブ502はチューブ502の端の近くにプラグ又はキャップ510を有する、キャップ構造の第二端526Cを有する。キャップ構造の第二端526Cは、キャップ510の近くのチューブ502の側面に形成される少なくとも一つの開口528を有する。開口528を出るガスは、典型的に中心軸508に対して垂直に、キャニスタ500の下部領域434に配置される前駆物質414から送られる。任意に、上記のような少なくとも1つのバッフル410(想像線で示されている)はチャンバ500内に配置することができ、上記チューブ502の実施形態のいずれかと縦に並べて用いることができる。   [0060] In one embodiment, the outlet 506 of the second end 526A of the tube 502 is oriented at an angle of about 15-90 degrees relative to the central axis 508 of the canister 500. In other embodiments, the tube 502 has a 'J' shaped second end 526B that directs the gas flow exiting the outlet 506 to the lid 404 of the canister 500. In other embodiments, the tube 502 has a second end 526C of the cap structure having a plug or cap 510 near the end of the tube 502. The second end 526C of the cap structure has at least one opening 528 formed in the side of the tube 502 near the cap 510. Gas exiting the opening 528 is delivered from a precursor 414 disposed in the lower region 434 of the canister 500, typically perpendicular to the central axis 508. Optionally, at least one baffle 410 (shown in phantom) as described above can be placed in chamber 500 and used in tandem with any of the embodiments of tube 502 described above.

[0061]一例示的動作方式においては、キャニスタ500の下部領域434は、スラリー412を形成するためにタングステンヘキサカルボニルと拡散ポンプオイルの混合物で少なくとも部分的に充填される。スラリー412は約5Torrの圧力に保たれ、キャニスタ500近くにある抵抗ヒータ430によって約40〜摂氏約50度の範囲の温度に加熱される。アルゴンの形のキャリアガスは、約200標準cc/minの速度で上部領域418に流入ポート406とチューブ502によって流れる。チューブ502の第二端526Aは、流出ポート408から離れた伸長された平均流路にキャリアガスのフローを送り、有利にはキャニスタ500の上部領域418におけるアルゴンの平均滞留時間が長くなり、微粒子生成を最少にするために前駆物質414上のキャリアガスの直接フローを防止する。微粒子生成の低減により製品歩留まりが改善され、供給源固形物を保存し、下流での汚染が減少するが、キャニスタ500における滞留時間の増加は、有利には、キャリアガス内の昇華したタングステンヘキサカルボニルガスの飽和レベルを増大させる。   [0061] In one exemplary mode of operation, the lower region 434 of the canister 500 is at least partially filled with a mixture of tungsten hexacarbonyl and diffusion pump oil to form a slurry 412. The slurry 412 is maintained at a pressure of about 5 Torr and is heated to a temperature in the range of about 40 to about 50 degrees Celsius by a resistance heater 430 near the canister 500. A carrier gas in the form of argon flows into the upper region 418 through the inlet port 406 and the tube 502 at a rate of about 200 standard cc / min. The second end 526A of the tube 502 directs the carrier gas flow to an elongated average flow path away from the outflow port 408, advantageously increasing the average residence time of argon in the upper region 418 of the canister 500 and generating particulates. To prevent direct flow of carrier gas over precursor 414. Although reduced product formation improves product yield and preserves source solids and reduces downstream contamination, increased residence time in the canister 500 is advantageously sublimated tungsten hexacarbonyl in the carrier gas. Increase gas saturation level.

[0062]図6は、前駆物質ガスを生成するためのキャニスタ600の他の実施形態を示す断面図である。キャニスタ600は内容積438を封入する側壁402、リッド404、底面432を含んでいる。リッド404又は側壁402の少なくとも1つは、ガス流入と流出のために流入ポート406と流出ポート408を有する。流入ポートと流出ポート406、408は、ガス分配システム304からキャニスタ600の取り外しを容易にするために一対の切断取付け具436A、436Bを備えたバルブ312、314に結合されている。任意に、プロセスチャンバに流れているガスに存在することができるあらゆるオイル粒子を捕捉するために、流出ポート408とバルブ314間にオイルトラップ450が結合されている。   [0062] FIG. 6 is a cross-sectional view illustrating another embodiment of a canister 600 for generating a precursor gas. The canister 600 includes a side wall 402 that encloses an internal volume 438, a lid 404, and a bottom surface 432. At least one of the lid 404 or the side wall 402 has an inflow port 406 and an outflow port 408 for gas inflow and outflow. Inlet and outlet ports 406, 408 are coupled to valves 312, 314 with a pair of cutting fixtures 436 A, 436 B to facilitate removal of canister 600 from gas distribution system 304. Optionally, an oil trap 450 is coupled between the outflow port 408 and the valve 314 to trap any oil particles that may be present in the gas flowing into the process chamber.

[0063]キャニスタ600の内容積438は、上部領域418と下部領域434に分けられる。前駆物質414と液体416は、少なくとも部分的に下部領域434を充填する。チューブ602はキャニスタ600の内容積438に配置され、前駆物質と液体混合体から離れてキャニスタ600内に第一ガスフローF1を送るように、また、混合物によって第二ガスフローF2を送るように適合されている。フローF1はフローF2よりかなり大きい。フローF2はバブラーとして作用するよう構成され、前駆物質と液体混合物を攪拌するのに十分であるが、前駆物質414又は液体416の微粒子又は小滴が空気によって運ばせるには十分でない。従って、この実施形態は、有利には、チューブ602から流れるガスの直接の衝突のために生じ流出ポート408を通って処理チャンバ306に運ばれる微粒子を最少にしつつ前駆物質と液体混合物を攪拌する。 [0063] The internal volume 438 of the canister 600 is divided into an upper region 418 and a lower region 434. Precursor 414 and liquid 416 at least partially fill lower region 434. The tube 602 is disposed in the internal volume 438 of the canister 600 to send the first gas flow F 1 into the canister 600 away from the precursor and liquid mixture, and to send the second gas flow F 2 through the mixture. It is adapted to. Flow F 1 is much larger than flow F 2 . Flow F 2 is configured to act as a bubbler and is sufficient to agitate the precursor and liquid mixture, but not enough to cause particulates or droplets of precursor 414 or liquid 416 to be carried by the air. Thus, this embodiment advantageously stirs the precursor and liquid mixture while minimizing particulates that are generated by direct impingement of the gas flowing from the tube 602 and carried through the outflow port 408 to the processing chamber 306.

[0064]チューブ602は第一端604で流入ポート406に結合される。チューブ602は、第一端604から、前駆物質と液体混合体内の、キャニスタ600の下部領域434に位置する第二端606まで伸びている。チューブ602は、第一ガスフローF1をキャニスタ600の側壁402に対して送るキャニスタ600の上部領域418に配置された開口608を有する。チューブ600は、開口部608の下にあるキャニスタ600の上の領域438に配置された制限部610を有する。制限部610は、チューブ602の第二端606からスラリー412に対して流れる第二ガスフローF2を減少させるように働く。制限部の量を調節することにより、第一ガスフローと第二ガスフローF1とF2の相対的な速度が調節され得る。この調節は少なくとも2つのためにある。第一に、プロセスチャンバ306の微粒子生成や潜在的な汚染を最少にしつつ、第二ガスフローF2が液体416中の前駆物質414の懸濁又は混合を維持するのに十分なだけ攪拌するように最少にされ得る。第二に、第一ガスフローF1が必要とされる量の昇華及び/又は蒸気を前駆物質414からプロセスチャンバ306へ供給するのに必要な全体のフロー体積を維持するために調節され得る。 [0064] Tube 602 is coupled to inflow port 406 at a first end 604. The tube 602 extends from a first end 604 to a second end 606 located in the lower region 434 of the canister 600 in the precursor and liquid mixture. The tube 602 has an opening 608 disposed in the upper region 418 of the canister 600 that sends the first gas flow F 1 to the side wall 402 of the canister 600. Tube 600 has a restriction 610 located in region 438 above canister 600 below opening 608. The restrictor 610 serves to reduce the second gas flow F 2 flowing from the second end 606 of the tube 602 to the slurry 412. By adjusting the amount of the restriction, the relative speeds of the first gas flow and the second gas flows F 1 and F 2 can be adjusted. This adjustment is for at least two. First, the second gas flow F 2 is agitated enough to maintain the suspension or mixing of the precursor 414 in the liquid 416 while minimizing particulate formation and potential contamination in the process chamber 306. Can be minimized. Second, the first gas flow F 1 can be adjusted to maintain the overall flow volume required to supply the required amount of sublimation and / or vapor from the precursor 414 to the process chamber 306.

[0065]任意に、上記のような少なくとも1つのバッフル410はキャニスタ600内に配置されてもよく、上記チューブ602の実施形態のいずれかと縦に用いてもよい。   [0065] Optionally, at least one baffle 410 as described above may be disposed within the canister 600 and may be used vertically with any of the embodiments of the tube 602 described above.

[0066]前述は本発明の好適実施形態に関するが、更に多くの本発明の実施形態が本発明の基本的な範囲から逸脱することなく構成されてもよく、その範囲は以下の特許請求の範囲によって決定される。   [0066] While the foregoing relates to preferred embodiments of the invention, many more embodiments of the invention may be made without departing from the basic scope of the invention, the scope of which is set forth in the following claims Determined by.

図1は、原子層堆積(ALD)により基板上に形成されたバリヤ層の一実施形態の概略断面図である。FIG. 1 is a schematic cross-sectional view of one embodiment of a barrier layer formed on a substrate by atomic layer deposition (ALD). 図2Aは、基板の例示的な部分にタンタル含有化合物の単層の化学吸着を示す一実施形態である。FIG. 2A is an embodiment showing monolayer chemisorption of a tantalum-containing compound on an exemplary portion of the substrate. 図2Bは、基板の例示的な部分にタンタル含有化合物と窒素含有化合物の単層の化学吸着を示す一実施形態である。FIG. 2B is one embodiment showing a single layer chemisorption of a tantalum-containing compound and a nitrogen-containing compound on an exemplary portion of the substrate. 図2Cは、基板の例示的な部分にタンタル含有化合物と窒素含有化合物の単層の交互化学吸着を示す一実施形態である。FIG. 2C is an embodiment showing alternating chemisorption of monolayers of tantalum-containing and nitrogen-containing compounds on an exemplary portion of the substrate. 図3は、原子層堆積によって1以上のバリヤ層を形成するために用いることができる処理システムの例示的な一実施形態の概略断面図である。FIG. 3 is a schematic cross-sectional view of an exemplary embodiment of a processing system that can be used to form one or more barrier layers by atomic layer deposition. 図4Aは、ガス生成キャニスタの一実施形態の側断面図である。FIG. 4A is a side cross-sectional view of one embodiment of a gas generation canister. 図4Bは、図4Aのガス生成キャニスタの正面断面図である。4B is a front cross-sectional view of the gas generating canister of FIG. 4A. 図5は、ガス生成キャニスタの他の実施形態の断面図である。FIG. 5 is a cross-sectional view of another embodiment of a gas generating canister. 図6は、ガス生成キャニスタの他の実施形態の側断面図である。FIG. 6 is a side cross-sectional view of another embodiment of a gas generating canister. 図7は、本発明の一実施形態のキャニスタヒータに取り囲まれたキャニスタを示す断面図である。FIG. 7 is a cross-sectional view showing a canister surrounded by a canister heater according to an embodiment of the present invention. 図8は、本発明の一実施形態の複数の固体粒子を含有するキャニスタを示す断面図である。FIG. 8 is a cross-sectional view showing a canister containing a plurality of solid particles according to an embodiment of the present invention. 図9は、本発明の一実施形態のキャニスタの底部から上の領域に伸びている複数のサイロを示す断面図である。FIG. 9 is a cross-sectional view showing a plurality of silos extending from the bottom to the upper region of the canister according to one embodiment of the present invention. 図10は、本発明の一実施形態のキャニスタの底部から上の領域に伸びている複数のサイロを示す正面図である。FIG. 10 is a front view showing a plurality of silos extending from a bottom portion to an upper region of a canister according to an embodiment of the present invention.

符号の説明Explanation of symbols

100…基板、102…誘電体層、104…バリヤ層、150…基板構造、200…基板、205…タンタル含有化合物、210…タンタル原子、215…反応性化学種、225…窒素含有化合物、235…反応性化学種、300…ソースキャニスタ、302…キャリアガス源、304…ガス分配システム、306…処理チャンバ、310…フローコントローラ、312、314…バルブ、320…処理システム、402…側壁、404…リッド、406…流入ポート、408…流出ポート、410…バッフル、412…スラリー、414…前駆物質、416…液体、418…上の領域、420…ハウジング、422…チューブ、432…底部、434…下の領域、436…切断取付け具、438…内容積、440…磁気スターラー、444…磁気ピル、450…オイルトラップ、452…本体、500…キャニスタ、528…開口、600…キャニスタ、700…キャニスタ、720…冷却プレート、730…キャニスタヒータ、750…加熱素子、800…キャニスタ、810…固体粒子。   DESCRIPTION OF SYMBOLS 100 ... Substrate, 102 ... Dielectric layer, 104 ... Barrier layer, 150 ... Substrate structure, 200 ... Substrate, 205 ... Tantalum-containing compound, 210 ... Tantalum atom, 215 ... Reactive chemical species, 225 ... Nitrogen-containing compound, 235 ... Reactive species, 300 ... Source canister, 302 ... Carrier gas source, 304 ... Gas distribution system, 306 ... Processing chamber, 310 ... Flow controller, 312, 314 ... Valve, 320 ... Processing system, 402 ... Side wall, 404 ... Lid 406 ... Inlet port, 408 ... Outlet port, 410 ... Baffle, 412 ... Slurry, 414 ... Precursor, 416 ... Liquid, 418 ... Upper region, 420 ... Housing, 422 ... Tube, 432 ... Bottom, 434 ... Below Area 436 ... Cutting fixture 438 ... Internal volume 440 ... Magnetic stirrer 444 Magnetic pill, 450 ... Oil trap, 452 ... Main body, 500 ... Canister, 528 ... Opening, 600 ... Canister, 700 ... Canister, 720 ... Cooling plate, 730 ... Canister heater, 750 ... Heating element, 800 ... Canister, 810 ... Solid particle.

Claims (17)

基板上の1以上の特徴部を充填する方法であって、
ソースキャニスタを、ソースキャニスタの上側部分と、精製ペンタキス(ジメチルアミド)タンタルを部分的に充填された該ソースキャニスタの下側部分との間で、上側部分が下側部分よりも高温となる温度勾配を生じるように加熱素子で加熱し、底部から伸びている複数のサイロにより前記下側部分に充填されている精製ペンタキス(ジメチルアミド)タンタルの温度勾配を低減することで該精製ペンタキス(ジメチルアミド)タンタルの内部温度をほぼ均一になるように維持するステップと、
ソースキャニスタから精製ペンタキス(ジメチルアミド)タンタルを前記加熱することにより生成した前駆物質ガスを基板に送り、さらに窒素含有化合物を基板へ送って該基板上に窒化タンタルバリヤ層を堆積させるステップであって、該バリヤ層が全不純物の合計が5ppm未満である精製ペンタキス(ジメチルアミド)タンタル及び窒素含有化合物の熱分解生成物である窒化タンタルから形成され、前記ステップと、
該バリヤ層上にシード層を堆積させるステップと、
該シード層上に導電層を堆積させるステップと、
を含む、前記方法。
A method of filling one or more features on a substrate, comprising:
A temperature gradient between the source canister and the upper part of the source canister and the lower part of the source canister partially filled with purified pentakis (dimethylamido) tantalum, the upper part being hotter than the lower part The purified pentakis (dimethylamide) is heated by a heating element so as to produce a temperature gradient of the purified pentakis (dimethylamido) tantalum filled in the lower portion by a plurality of silos extending from the bottom. Maintaining the internal temperature of tantalum to be substantially uniform;
There in depositing the generated precursor gas feed into the substrate, a tantalum nitride barrier layer on the substrate further sending a nitrogen-containing compound to the substrate by the heating purified pentakis (dimethylamido) tantalum from said source canister Te, the barrier layer is Ru is formed from tantalum nitride is thermal decomposition product of the sum is less than 5ppm purified pentakis (dimethylamido) tantalum and nitrogen-containing compounds of all impurities, and the step,
Depositing a seed layer on the barrier layer;
Depositing a conductive layer on the seed layer;
Said method.
前記精製ペンタキス(ジメチルアミド)タンタルは、ペンタキス(ジメチルアミド)タンタルを昇華してタンタルオキソアミドの少なくとも一部が除去されたものである、請求項1記載の方法。 The method according to claim 1 , wherein the purified pentakis (dimethylamido) tantalum is obtained by sublimating pentakis (dimethylamido) tantalum to remove at least a part of tantalum oxoamide . 該導電層が銅を含んでいる、請求項1記載の方法。   The method of claim 1, wherein the conductive layer comprises copper. 該バリヤ層が原子層堆積により形成される、請求項1記載の方法。   The method of claim 1, wherein the barrier layer is formed by atomic layer deposition. 該不純物が、塩素、リチウム、鉄、フッ素、臭素、ヨウ素、及びその組合わせからなる群より選ばれる、請求項1記載の方法。   The method of claim 1, wherein the impurity is selected from the group consisting of chlorine, lithium, iron, fluorine, bromine, iodine, and combinations thereof. 精製ペンタキス(ジメチルアミド)タンタルを用いてバリヤ層を堆積させることにより、未精製ペンタキス(ジメチルアミド)タンタルを用いて形成されたバリヤ層上に形成された導電層より欠陥が少ない導電層が得られる、請求項1記載の方法。 Depositing a barrier layer using purified pentakis (dimethylamido) tantalum provides a conductive layer with fewer defects than the conductive layer formed on the barrier layer formed using unpurified pentakis (dimethylamido) tantalum. The method of claim 1. 基板上に窒化タンタルバリヤ層を堆積させる方法であって、
基板がその中に配置された処理チャンバに、ソースキャニスタを加熱して生成した前駆体ガスを流して、該基板上にタンタル含有層を形成するステップであって、該精製ペンタキス(ジメチルアミド)タンタルが全不純物の合計が5ppm以下であり、前記ソースキャニスタその上側部分と精製ペンタキス(ジメチルアミド)タンタルが部分的に充填された該ソースキャニスタの下側部分との間で、上側部分が下側部分よりも高温となる温度勾配を生じるように加熱素子により加熱し、該ソースキャニスタの底部から伸びている複数のサイロにより前記下側部分に充填されている精製ペンタキス(ジメチルアミド)タンタルの温度勾配を低減することで該精製ペンタキス(ジメチルアミド)タンタルの内部温度をほぼ均一になるように維持する前記ステップと、
該処理チャンバに窒素含有化合物を導入して該基板上に窒素含有層を形成するステップと、
を含む、前記方法。
A method of depositing a tantalum nitride barrier layer on a substrate, comprising:
Flowing a precursor gas generated by heating a source canister into a processing chamber in which the substrate is disposed to form a tantalum-containing layer on the substrate, the purified pentakis (dimethylamido) tantalum The total impurity is 5 ppm or less, and the source canister is placed between its upper part and the lower part of the source canister partially filled with purified pentakis (dimethylamido) tantalum, The temperature of purified pentakis (dimethylamido) tantalum that is heated by a heating element so as to generate a temperature gradient that is higher than that of the side portion, and is filled in the lower portion by a plurality of silos extending from the bottom of the source canister Maintaining the internal temperature of the purified pentakis (dimethylamido) tantalum to be almost uniform by reducing the gradient Said the step that,
Introducing a nitrogen-containing compound into the processing chamber to form a nitrogen-containing layer on the substrate;
Said method.
該基板の温度が100℃〜300℃である、請求項7記載の方法。 The method according to claim 7, wherein the temperature of the substrate is 100 ° C. to 300 ° C. 該処理チャンバの圧力が1.0〜5.0Torrである、請求項7記載の方法。 The method of claim 7, wherein the pressure in the processing chamber is 1.0 to 5.0 Torr . 該不純物が、本質的に、塩素、リチウム、鉄、フッ素、臭素、ヨウ素、及びその組合わせからなる群より選ばれる、請求項7記載の方法。   The method of claim 7, wherein the impurities are selected from the group consisting essentially of chlorine, lithium, iron, fluorine, bromine, iodine, and combinations thereof. 該窒素含有化合物がアンモニアガスを含んでいる、請求項7記載の方法。   The method of claim 7 wherein the nitrogen-containing compound comprises ammonia gas. 該窒素含有化合物が、アンモニア、ヒドラジン、ジメチルヒドラジン、t-ブチルヒドラジン、フェニルヒドラジン、2,2-アゾイソブタン、エチルアジド、その誘導体、及びその組合わせからなる群より選ばれる、請求項7記載の方法。   8. The method of claim 7, wherein the nitrogen-containing compound is selected from the group consisting of ammonia, hydrazine, dimethyl hydrazine, t-butyl hydrazine, phenyl hydrazine, 2,2-azoisobutane, ethyl azide, derivatives thereof, and combinations thereof. . 該バリヤ層が原子層堆積によって形成される、請求項7記載の方法。   The method of claim 7, wherein the barrier layer is formed by atomic layer deposition. 該基板の温度が、該バリヤ層堆積の50%以上が化学吸着によるように選ばれる、請求項7記載の方法。   The method of claim 7, wherein the temperature of the substrate is selected such that 50% or more of the barrier layer deposition is due to chemisorption. 該基板上に該タンタル含有層を形成するステップにおいて、該処理チャンバに導入する前に該精製ペンタキス(ジメチルアミド)タンタルが前記ソースキャニスタ内で昇華される、請求項7記載の方法。 8. The method of claim 7 , wherein in the step of forming the tantalum-containing layer on the substrate , the purified pentakis (dimethylamido) tantalum is sublimed in the source canister prior to introduction into the processing chamber. 該基板上に該タンタル含有層を形成する際に、該精製ペンタキス(ジメチルアミド)タンタルが基板上に化学吸着された後、チャンバー内の過剰な該ペンタキス(ジメチルアミド)タンタルを除去するステップを更に含む、請求項7記載の方法。 In forming the tantalum-containing layer on the substrate, after the purified pentakis (dimethylamido) tantalum is chemisorbed on the substrate, the step of removing excess pentakis (dimethylamido) tantalum in the chamber is further included. 8. The method of claim 7, comprising. 請求項1或いは請求項2に記載の方法に用いられる、全不純物の合計が5ppm以下である精製ペンタキス(ジメチルアミド)タンタルを含有する前駆物質を含むソースキャニスタであって、
該不純物が、タンタルオキソアミド、塩素、リチウム、鉄、フッ素、臭素、ヨウ素、及びその組合わせからなる群より選ばれ、
前記ソースキャニスタを加熱素子により加熱してその内部に上側部分が下側部分よりも高温となる温度勾配を生じさせ、
前記ソースキャニスタが、前記下側部分に充填される前駆物質の温度勾配を低減することで該精製ペンタキス(ジメチルアミド)タンタルの内部温度をほぼ均一になるように維持するために該ソースキャニスタの底部から伸びている複数のサイロを備えている、前記ソースキャニスタ。
A source canister comprising a precursor containing purified pentakis (dimethylamido) tantalum , used in the method according to claim 1 or claim 2, wherein the sum of all impurities is 5 ppm or less,
The impurities are selected from the group consisting of tantalum oxoamide, chlorine, lithium, iron, fluorine, bromine, iodine, and combinations thereof;
The source canister is heated by a heating element to generate a temperature gradient in which the upper part is hotter than the lower part .
The source canister has a bottom portion of the source canister to maintain a substantially uniform internal temperature of the purified pentakis (dimethylamido) tantalum by reducing the temperature gradient of the precursor filling the lower portion. The source canister comprising a plurality of silos extending from the source canister.
JP2006533450A 2003-05-27 2004-05-27 Source canister containing precursor and method for filling features using the same Active JP5342110B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/447,255 2003-05-27
US10/447,255 US6905541B2 (en) 2002-07-17 2003-05-27 Method and apparatus of generating PDMAT precursor
US47747803P 2003-06-05 2003-06-05
US60/477,478 2003-06-05
PCT/US2004/016715 WO2004106584A1 (en) 2003-05-27 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011125256A Division JP5583078B2 (en) 2003-05-27 2011-06-03 Method and apparatus for generating precursors for semiconductor processing systems

Publications (2)

Publication Number Publication Date
JP2007501536A JP2007501536A (en) 2007-01-25
JP5342110B2 true JP5342110B2 (en) 2013-11-13

Family

ID=33493044

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006533450A Active JP5342110B2 (en) 2003-05-27 2004-05-27 Source canister containing precursor and method for filling features using the same

Country Status (5)

Country Link
EP (1) EP1636400A1 (en)
JP (1) JP5342110B2 (en)
KR (1) KR101104058B1 (en)
CN (1) CN1795290B (en)
WO (1) WO2004106584A1 (en)

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20070119371A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US9109287B2 (en) * 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5761067B2 (en) * 2012-02-13 2015-08-12 東京エレクトロン株式会社 Gas supply device and heat treatment device
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI615497B (en) * 2013-02-28 2018-02-21 應用材料股份有限公司 Metal amide deposition precursors and their stabilization with an inert ampoule liner
TWI504774B (en) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd Preparing method of high purity pdmat precursor vapor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN105132886B (en) * 2015-09-11 2018-03-23 兰州空间技术物理研究所 A kind of method for improving tubular substrate inner surface deposition film uniformity
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000252269A (en) * 1992-09-21 2000-09-14 Mitsubishi Electric Corp Equipment and method for liquid vaporization
US6274195B1 (en) * 1999-04-30 2001-08-14 Postech Foundation Organometallic complex process for the preparation thereof and metal organic chemical vapor deposition using same
JP2001049434A (en) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk METHOD FOR FORMATION OF TiN FILM AND PRODUCTION OF ELECTRONIC PARTS
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
JP4672897B2 (en) * 2001-04-13 2011-04-20 田中貴金属工業株式会社 Process for producing bis (cyclopentadienyl) ruthenium derivative
JP4757403B2 (en) * 2001-06-01 2011-08-24 東京エレクトロン株式会社 Solid material vaporizer
WO2003028090A2 (en) * 2001-09-26 2003-04-03 Applied Materials, Inc. Integration of barrier layer and seed layer
TW563176B (en) * 2001-10-26 2003-11-21 Applied Materials Inc Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition

Also Published As

Publication number Publication date
KR20060052683A (en) 2006-05-19
JP2007501536A (en) 2007-01-25
EP1636400A1 (en) 2006-03-22
CN1795290B (en) 2010-06-16
CN1795290A (en) 2006-06-28
WO2004106584A1 (en) 2004-12-09
WO2004106584B1 (en) 2005-02-17
KR101104058B1 (en) 2012-01-06

Similar Documents

Publication Publication Date Title
JP5342110B2 (en) Source canister containing precursor and method for filling features using the same
US7270709B2 (en) Method and apparatus of generating PDMAT precursor
US7524374B2 (en) Method and apparatus for generating a precursor for a semiconductor processing system
TWI615497B (en) Metal amide deposition precursors and their stabilization with an inert ampoule liner
US6265311B1 (en) PECVD of TaN films from tantalum halide precursors
US6410433B1 (en) Thermal CVD of TaN films from tantalum halide precursors
US7438949B2 (en) Ruthenium containing layer deposition method
US6410432B1 (en) CVD of integrated Ta and TaNx films from tantalum halide precursors
US20060162658A1 (en) Ruthenium layer deposition apparatus and method
EP1192292A1 (en) Plasma treatment of thermal cvd tan films from tantalum halide precursors
JP5583078B2 (en) Method and apparatus for generating precursors for semiconductor processing systems
KR20000066128A (en) Method of forming a copper wiring in a semiconductor device
KR100358045B1 (en) Method of forming a copper wiring in a semiconductor device
US6413860B1 (en) PECVD of Ta films from tanatalum halide precursors
WO2000065126A1 (en) Cvd tantalum nitride plug formation from tantalum halide precursors

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070509

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101124

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110630

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110912

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111031

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111130

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120625

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120629

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120720

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121126

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130701

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130809

R150 Certificate of patent or registration of utility model

Ref document number: 5342110

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250