WO1999036588A1 - Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors - Google Patents

Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors Download PDF

Info

Publication number
WO1999036588A1
WO1999036588A1 PCT/US1999/000874 US9900874W WO9936588A1 WO 1999036588 A1 WO1999036588 A1 WO 1999036588A1 US 9900874 W US9900874 W US 9900874W WO 9936588 A1 WO9936588 A1 WO 9936588A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
wafer
injector
chamber
deposition
Prior art date
Application number
PCT/US1999/000874
Other languages
French (fr)
Inventor
Robert C. Cook
Daniel L. Brors
Original Assignee
Torrex Equipment Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Torrex Equipment Corporation filed Critical Torrex Equipment Corporation
Publication of WO1999036588A1 publication Critical patent/WO1999036588A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • This invention relates to a method and associated apparatus for accelerating deposition rates and improving other film properties of a variety of materials deposited via chemical vapor deposition onto semiconductor wafers and other substrates.
  • amorphous, polycrystalline and epitaxial silicon is typically deposited onto silicon wafers by injecting silane or dichlorosilane , with or without other gases, into an enclosed vessel where the temperatures, pressure, gas flow, RF plasma intensity (when used) and wafer motion (when employed) are precisely controlled.
  • Such processes are carried out in a wide variety of commercially available hot wall and cold wall reactors. Some of these process a single wafer at a time while others process a batch of two or more wafers at a time.
  • the operating regime of the process chamber is often chosen to be completely dominated either by the chemical reaction at the wafer surface (surface reaction rate limited) or by mass transport of the reactant to the wafer surface (mass transport limited) to make the overall deposition process least sensitive to variables which are poorly controlled in a given reactor.
  • surface reaction rate limited surface reaction rate limited
  • mass transport limited mass transport limited
  • the diffusion rate of the reactant species through the boundary layer that exists between the wafer's surface and the bulk gas, and the relative local concentration of the desired species at the surface have major impact upon the rate of reaction at the surface and hence upon the deposition rate.
  • methods to increase the velocity of the gas over the wafer surface have been employed to effectively decrease the thickness of the boundary layer and increase the relative concentration of the desired species at the surface for a given temperature, pressure and relative concentration of the desired species in the bulk gas.
  • a tube (or tubes) of high temperature material such as quartz having holes along its length is inserted into the main quartz envelope as shown in Figs. 1 and 1A.
  • the gas(es) is injected via the tube(s) and directed toward the wafers resulting in an increased velocity of gas(es) across the wafers and a more uniform concentration of the desired reactant (s) in the bulk gas flow up and down the boat load.
  • deposition rates can thereby be improved, this technique has its problems and limitations. Because the injection tube(s) is contained within an isothermal chamber, the injection tube(s) is at the same temperature as the wafers. Thus, unwanted deposition occurs on the tube(s), especially at the injection holes.
  • the localized velocity and pressure at the holes can increase to the point where both excessive deposition at the holes and excessive gas phase reaction within the chamber occurs.
  • the excessive gas phase reaction causes particles to be generated which can fall onto the wafers' surfaces causing defects. Also, the excessive deposition on the injection tube flakes off and these particles can also fall onto the wafers. Thus, the maximum deposition rate which yields acceptable results on the wafers is limited.
  • the technique of rotating the wafer at high speed 500 to 1500 RPM
  • a gas injection means directs the reactant gas perpendicularly toward the spinning wafer surface, thereby thinning the boundary layer for the gas flowing radially outwardly from a stagnation point at the wafer center.
  • the deposition rate does increase, but this technique also has its problems and limitations.
  • First is the problem of holding the wafer on the susceptor while rotating at such high speeds and the complexity of design for achieving such high rates of rotation in an evacuated chamber.
  • Second is the problem of heating the wafer uniformly while allowing for the injection of the gas perpendicular to the rotating wafer's surface which limits the maximum temperature.
  • the process gases are injected at relatively close proximity to the wafer (s) via independently temperature controlled injectors for both the case where the reactor is capable of processing one wafer at a time and for the case of a reactor where two or more wafers can be processed simultaneously.
  • the reactors are such that the temperature, pressure, flow of gases, and the application of RF energy (when employed for PECVD processes) can be controlled to produce the desired uniform and consistent process results at accelerated deposition rates.
  • Fig. 1 shows a gas injection system in a prior art vertical furnace
  • Fig. 1A is a detail view of Fig. 1
  • Fig. 2 illustrates a single wafer CVD reactor with a showerhead injector and rotating susceptor
  • Fig. 3 is a cross-sectional view of a single wafer reaction chamber suited to use with the present invention
  • Fig. 4 is a detailed view of the gas injection system of Fig. 3
  • Fig. 5 provides additional detail on the gas injection system and wafer rotation system illustrated by the apparatus of Fig. 3
  • Fig. 6 is a cross-sectional view of a CVD reactor capable of processing more than one wafer simultaneously
  • Fig. 7 shows the reactor of Fig.
  • Fig. 6 provides an elongated injector
  • Fig. 8 provides a detailed view of the elongated injector shown in Fig. 7
  • Fig. 8A shows a detailed view of the liquid cooling of the injector
  • Fig. 9 shows use of individual metering values for tuning gas flow through injectors in a multiple wafer process chamber
  • Figs. 10A and 10B show alternative designs for a widened outlet face for the injector.
  • This invention relates to tunable temperature controlled gas injectors which allow improved chemical vapor deposition processes.
  • the disclosure of U.S. Patent Application Serial No. 08/909,461 is incorporated herein by reference.
  • the features of this invention are advantageous not only in deposition processes such as CVD and PECVD, but also in etching processes and other processes where gases must efficiently be brought to the wafer surface and/or efficiently removed from surface, such as annealing and degassing processes and other heat treating processes.
  • CVD and/or PECVD processes with the understanding that the features of this invention would apply to reactive ion etching, photo-resist ashing and other processes as well.
  • the very high gas flow provides an enhanced source of fresh gas with highest concentration (s) of the desired species of reactant to the water's surface.
  • this high velocity flow of the gas(es) results in a very low residence time of the gas in the area of interest (that is, over the wafer surface) which sweeps out unwanted reaction by-products resulting in further increase in the relative concentration of the desired species. All these factors contribute to enhanced deposition rates. As a result, deposition rates on the order of 10 to 50 times faster than the prior art can be realized.
  • the very high rates of deposition enabled by this invention at relatively low overall chamber pressures e.g.
  • each layer of the film can be deposited in an amorphous form and crystallize during continued deposition because of the lower energy of the polycrystalline structure. Nucleation of crystallites is most likely to occur by heterogeneous nucleation at the lower silicon-silicon dioxide interface. Crystallization of the amorphous silicon then continues on these initial nuclei, with the crystalline region propagating upward into the film by solid-phase epitaxial growth. When the crystallization rate is less than the deposition rate, only the lower portion of the film crystallizes during deposition, although the crystallization continues during the heat cycle after the deposition itself is terminated by stopping the silane flow.
  • the film can be crystalline near the bottom and amorphous near the top resulting in a very smooth surface texture.
  • Results in the single wafer process chamber of this invention show surface roughness to be five to ten times less than typical for conventional polysilicon depositions carried out in presently available commercial equipment. For example, a mean surface roughness of 6.5 nm has been demonstrated in this reactor for films of 2,500 angstrom thickness deposited at greater than 2,000 angstroms per minute at a temperature above 650 C and at a pressure of 250 millitorr. Since these very high deposition rates can be realized at very low pressures it has the effect of enhancing the deposited films' conformality over the wafer's topography.
  • the CVD films produced with this invention are of higher quality in terms of a reduced incorporation of unwanted impurities within the film.
  • All CVD reactors have some level of impurity molecules, such as water vapor, oxygen, nitrogen, etc., within the chamber. This is particularly true of cold wall reactors for impurities such as H 2 0 (moisture) and others which tend to adhere to the cooled chamber walls and are released from the walls when the chamber is evacuated and when the interior surface of the walls are warmed from the heat of the wafer, the susceptor, and other heated surfaces within the chamber's interior.
  • impurities such as H 2 0 (moisture) and others which tend to adhere to the cooled chamber walls and are released from the walls when the chamber is evacuated and when the interior surface of the walls are warmed from the heat of the wafer, the susceptor, and other heated surfaces within the chamber's interior.
  • the levels of such unwanted molecules can be reduced through heating of the chamber's walls to elevated temperatures (above 100 C) while evacuating the chamber with turbo or cryo pumps to very low pressures on the order of 10E-6 to 10E-7 Torr while alternating such evacuation cycles with high flow purge cycles of impurity free inert gas such as nitrogen, these procedures are costly, time consuming, and there still remains some level of such unwanted impurities.
  • the amount of incorporation of unwanted impurities in CVD films is proportional to the partial pressure of such impurity molecules, the rate of reaction or entrapment of the impurities as the desired film is deposited, and the deposition time.
  • the reduction of impurities in the films produced with this invention is due to (a) the reduced partial pressure of the impurities in the gas stream above the wafer's surface resulting from the increased concentration of the desired reactant species in the gas stream, (b) the enhanced rate of removal of the unwanted impurities due to the very high gas velocities and resultant low residence time, and (c) the greatly reduced process time resulting from the high deposition rates that are achieved.
  • Fig. 3 shows a cross-section view of the single wafer reaction chamber described in U.S. Patent No. 5,551,985.
  • the chamber provides: (a) a method of heating a wafer uniformly in a cold wall chamber to minimize wall deposits for ease of cleaning (e.g.
  • the gas injector (150) extends inward toward the chamber's center such that the outlet (or outlets) of the gas injector is in relatively close proximity to the rotating susceptor (74) and hence the wafer's (12) edge.
  • One embodiment of the injector as shown in Fig. 4 has multiple outlets and is designed such that both the rate of flow through each outlet and the direction of flow out of each outlet can be varied along the length of the injector's outlet side which faces the rotating susceptor and the wafer.
  • this chamber design has a thermal diffuser plate (54) (Fig. 4) that is generally parallel to the wafer surface and is held in close proximity (about 16.5 mm in the prototype) above the wafer. This has the effect of containing the flowing gas(es) within a volume having a relatively small cross-sectional area in the direction of the flow, further enhancing the gas velocity above the wafer surface.
  • the effective average gas velocity was in the range of approximately 100 to 200 cm/sec equaling a gas residence time above an 8 inch wafer of about 100 to 200 milli-seconds .
  • this very high velocity gas flowing generally in parallel to the surface is believed to be, in part, responsible for the low quantity of particles on the wafers processed in this fashion.
  • thermal diffuser plate (54) facing the wafer surface made from materials such as graphite or silicon carbide that promote very high adhesion of most common CVD deposits results in a great reduction of the flaking off of particles which can land on the wafer surface and is most a major reason for the decreased particle contamination.
  • inert gas e.g. argon
  • PECVD plasma enhanced CVD
  • Fig. 6 shows a cross-sectional top view of a small batch cold wall reactor of the type more fully described in U.S. Patent Application Serial No. 08/909,461, which is capable of processing more than one wafer simultaneously.
  • This chamber provides: (a) the ability to process one or more wafers at a time; (b) a method of heating the wafers uniformly in a cold wall chamber to minimize wall deposits for ease of cleaning (e.g.
  • the gas (es) is injected toward the wafers via a temperature controlled injection plate which bolts onto and is vacuum sealed to one of the shorter width sides of the eight sided prototype chamber.
  • the injection plate may be elongated within the chamber in the direction toward the wafers as shown in Fig. 7.
  • Fig. 8 shows one type of the injector design which allows for the elongation of the injector toward the wafers such that the outlet face of the injector can be held in close proximity to the wafers while at the same time permitting the flow of liquid through passages in the injector to maintain the injector at a uniform and constant temperature.
  • the injector is maintained at a low temperature (typically 25 to 100 C) while the wafers are maintained at a temperature in excess of 600 C for the deposition of polysilicon.
  • This reduced injector temperature prevents the deposition along the outlet face and at the outlets of the injector.
  • the temperature of the injector can be maintained at higher temperatures (e.g. 100 C or above) to prevent the condensation of the vapor in and on the injector while still preventing the deposition on the injector or at its outlets.
  • FIG. 8 shows such an injector which allows for the separation of gases to preclude premature reaction of, for example, oxidizers and reducers before they enter the reaction chamber.
  • gas channels are milled from the atmospheric side of the injector toward the outlet face.
  • a series of holes are drilled from the outlet face through to the milled channels (in this depiction, the hole spacing is shown to differ for the two channels which may be desired for some processes) .
  • Plates are welded to the input side of the injector for gas tight sealing of the channels and are tapped to accept gas fittings .
  • holes are drilled at angles such that they intersect to form a continuous passage as more clearly shown in Fig. 8A.
  • Bosses are welded at the ends of the uppermost and bottom most holes and tapped to accept fluid fittings. Cover plates are welded over the outside intersecting ends of the holes to produce the leak tight passage way.
  • Alternative designs of high velocity gas injectors adaptable to the reactor described in U.S. Patent Application No. 08/909,461 can be such that the quantity of gas(es) flowing out of the injector's face can be varied along the vertical axis (up and down the load of wafers) to overcome any non- symmetrical gas flow dynamics in the vicinity of the upper and lower regions of the batch of wafers to achieve desired velocities and concentration of reactant (s) above the surfaces of the individual wafers in the load for uniform process results on the individual wafers.
  • such alternative designs can employ, for example: (a) a variation of the outlet hole size along the vertical axis of the injector's outlet face; (b) a variation of the spacing of the outlet holes drilled into the axis of the outlet face; (c) the use of a multitude of individual holes drilled into the injector from the outside to provide the variation of gas flow along the vertical axis via the use of individual metering valves or mass flow controllers as shown in Fig. 9; or (d) a variation of the type of tunable injector of U.S. Patent No. 5,551,985 where the flow from each outlet can be adjusted and/or the injection angle from each outlet can be adjusted along the vertical axis.
  • FIG. 10A and 10B Another type of injector which provides for the horizontal widening of the outlet near the wafers is shown in Figs. 10A and 10B.
  • the widened outlet face can be bolted onto the injector body from the inside of the chamber as in Fig. 10A or the widened face and the elongated body can be fashioned from a single piece of material and o-ring sealed to the injector's mounting plate with the liquid cooling inlet and outlet pipes protruding through holes in the mounting plate for more efficient cooling of the widened face as in Fig. 10B.
  • the two side heater lamps that face toward the widened injector can be removed or disconnected to reduce heat transfer to the injector.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A method and apparatus for improved CVD process results uses tunable temperature controlled gas injectors. The design is suited to single wafer and multiple wafer CVD process chambers.

Description

Specification
METHOD AND APPARATUS FOR IMPROVED CHEMICAL VAPOR DEPOSITION PROCESSES USING TUNABLE TEMPERATURE CONTROLLED GAS INJECTORS
BACKGROUND OF THE INVENTION
Field of the Invention This invention relates to a method and associated apparatus for accelerating deposition rates and improving other film properties of a variety of materials deposited via chemical vapor deposition onto semiconductor wafers and other substrates.
Brief Description of the Prior Art There are a large number of CVD processes that are performed inside of enclosed chambers wherein the pressure, temperature, composition of gases and other factors are controlled to produce the desired thin film deposition of various materials onto substrates such as semiconductor wafers and flat panel displays. For convenience the term wafer (s) will be used with the understanding that the following would apply to the manufacture of flat panel displays and other types of substrates. For instance, amorphous, polycrystalline and epitaxial silicon is typically deposited onto silicon wafers by injecting silane or dichlorosilane , with or without other gases, into an enclosed vessel where the temperatures, pressure, gas flow, RF plasma intensity (when used) and wafer motion (when employed) are precisely controlled. Such processes are carried out in a wide variety of commercially available hot wall and cold wall reactors. Some of these process a single wafer at a time while others process a batch of two or more wafers at a time. To obtain the best thickness uniformity, the operating regime of the process chamber is often chosen to be completely dominated either by the chemical reaction at the wafer surface (surface reaction rate limited) or by mass transport of the reactant to the wafer surface (mass transport limited) to make the overall deposition process least sensitive to variables which are poorly controlled in a given reactor. However, other factors such as the need for high deposition rate, high wafer capacity, or small grain size sometimes make operation near the transition region (between surface reaction-rate limited and mass transport limited) desirable. In the case of operation in either the mass transport limited regime or the transition region for a given temperature and pressure, the diffusion rate of the reactant species through the boundary layer that exists between the wafer's surface and the bulk gas, and the relative local concentration of the desired species at the surface, have major impact upon the rate of reaction at the surface and hence upon the deposition rate. In the prior art, methods to increase the velocity of the gas over the wafer surface have been employed to effectively decrease the thickness of the boundary layer and increase the relative concentration of the desired species at the surface for a given temperature, pressure and relative concentration of the desired species in the bulk gas. For example, in a batch reactor such as a convention vertical furnace, a tube (or tubes) of high temperature material such as quartz having holes along its length is inserted into the main quartz envelope as shown in Figs. 1 and 1A. The gas(es) is injected via the tube(s) and directed toward the wafers resulting in an increased velocity of gas(es) across the wafers and a more uniform concentration of the desired reactant (s) in the bulk gas flow up and down the boat load. Although deposition rates can thereby be improved, this technique has its problems and limitations. Because the injection tube(s) is contained within an isothermal chamber, the injection tube(s) is at the same temperature as the wafers. Thus, unwanted deposition occurs on the tube(s), especially at the injection holes. As the gas flow rate (velocity) is increased to achieve higher deposition rates, the localized velocity and pressure at the holes can increase to the point where both excessive deposition at the holes and excessive gas phase reaction within the chamber occurs. The excessive gas phase reaction causes particles to be generated which can fall onto the wafers' surfaces causing defects. Also, the excessive deposition on the injection tube flakes off and these particles can also fall onto the wafers. Thus, the maximum deposition rate which yields acceptable results on the wafers is limited. In a single wafer cold wall CVD reactor, the technique of rotating the wafer at high speed (500 to 1500 RPM) has been described as shown in Fig. 2. Here, a gas injection means (showerhead) directs the reactant gas perpendicularly toward the spinning wafer surface, thereby thinning the boundary layer for the gas flowing radially outwardly from a stagnation point at the wafer center. Again the deposition rate does increase, but this technique also has its problems and limitations. First is the problem of holding the wafer on the susceptor while rotating at such high speeds and the complexity of design for achieving such high rates of rotation in an evacuated chamber. Second is the problem of heating the wafer uniformly while allowing for the injection of the gas perpendicular to the rotating wafer's surface which limits the maximum temperature. In addition, there is the problem of minimizing the gas turbulence to achieve the laminar type gas flow toward the wafer as required by this technique to achieve the desired uniformity. This can limit the maximum flow rate and hence the deposition rate.
SUMMARY OF THE INVENTION An improved method for the injection of high velocity reactant gas(es) and associated apparatus are disclosed which overcomes problems in the prior art. In accordance with the present invention, the process gases are injected at relatively close proximity to the wafer (s) via independently temperature controlled injectors for both the case where the reactor is capable of processing one wafer at a time and for the case of a reactor where two or more wafers can be processed simultaneously. In both cases the reactors are such that the temperature, pressure, flow of gases, and the application of RF energy (when employed for PECVD processes) can be controlled to produce the desired uniform and consistent process results at accelerated deposition rates.
IN THE DRAWING Fig. 1 shows a gas injection system in a prior art vertical furnace; Fig. 1A is a detail view of Fig. 1; Fig. 2 illustrates a single wafer CVD reactor with a showerhead injector and rotating susceptor; Fig. 3 is a cross-sectional view of a single wafer reaction chamber suited to use with the present invention; Fig. 4 is a detailed view of the gas injection system of Fig. 3; Fig. 5 provides additional detail on the gas injection system and wafer rotation system illustrated by the apparatus of Fig. 3; Fig. 6 is a cross-sectional view of a CVD reactor capable of processing more than one wafer simultaneously; Fig. 7 shows the reactor of Fig. 6 with an elongated injector; Fig. 8 provides a detailed view of the elongated injector shown in Fig. 7 ; Fig. 8A shows a detailed view of the liquid cooling of the injector; Fig. 9 shows use of individual metering values for tuning gas flow through injectors in a multiple wafer process chamber; and Figs. 10A and 10B show alternative designs for a widened outlet face for the injector.
DESCRIPTION OF THE PREFERRED EMBODIMENT This invention relates to tunable temperature controlled gas injectors which allow improved chemical vapor deposition processes. The disclosure of U.S. Patent Application Serial No. 08/909,461 is incorporated herein by reference. The features of this invention are advantageous not only in deposition processes such as CVD and PECVD, but also in etching processes and other processes where gases must efficiently be brought to the wafer surface and/or efficiently removed from surface, such as annealing and degassing processes and other heat treating processes. For convenience, the following will refer to CVD and/or PECVD processes with the understanding that the features of this invention would apply to reactive ion etching, photo-resist ashing and other processes as well. In addition to the enhanced CVD and PECVD deposition rates, other advantageous properties of many of the films so deposited include: smoother surface texture of the films, enhanced conformity of the films over the device structures at lower pressures and at the higher deposition rates, enhanced film purity via reduced concentration of unwanted impurities, and reduction of particles generated that end up on the wafer surface. The greatly accelerated deposition rates realized with this invention are a result of a number of factors influenced by the ability to inject the reactant gas(es) at very high velocities without the above mentioned deleterious side effects found in the prior art. First, this high velocity gas stream passing across the wafer has the effect of thinning the boundary layer resulting in the faster delivery of the desired reactant (s) to the surface. Second, the very high gas flow provides an enhanced source of fresh gas with highest concentration (s) of the desired species of reactant to the water's surface. Third, this high velocity flow of the gas(es) results in a very low residence time of the gas in the area of interest (that is, over the wafer surface) which sweeps out unwanted reaction by-products resulting in further increase in the relative concentration of the desired species. All these factors contribute to enhanced deposition rates. As a result, deposition rates on the order of 10 to 50 times faster than the prior art can be realized. The very high rates of deposition enabled by this invention at relatively low overall chamber pressures (e.g. 3,000 Angstroms/minute for polysilicon at 250 millitorr at typical process temperatures) moves the reaction into the regime where the deposition rate exceeds the crystallization rate. CVD depositions of, for example, polysilicon, in this regime can result in a much smoother surface, caused by the deposited film having a two layered structure with amorphous silicon at the top. It is well known in the art that for the CVD deposition of silicon at a given pressure, there is a transition temperature above which a polycrystalline structure develops in each layer as that layer is deposited, and the atoms are unlikely to continue rearranging after they have been covered by other silicon atoms. However, in films deposited slightly below the transition temperature, each layer of the film can be deposited in an amorphous form and crystallize during continued deposition because of the lower energy of the polycrystalline structure. Nucleation of crystallites is most likely to occur by heterogeneous nucleation at the lower silicon-silicon dioxide interface. Crystallization of the amorphous silicon then continues on these initial nuclei, with the crystalline region propagating upward into the film by solid-phase epitaxial growth. When the crystallization rate is less than the deposition rate, only the lower portion of the film crystallizes during deposition, although the crystallization continues during the heat cycle after the deposition itself is terminated by stopping the silane flow. Thus, the film can be crystalline near the bottom and amorphous near the top resulting in a very smooth surface texture. Results in the single wafer process chamber of this invention show surface roughness to be five to ten times less than typical for conventional polysilicon depositions carried out in presently available commercial equipment. For example, a mean surface roughness of 6.5 nm has been demonstrated in this reactor for films of 2,500 angstrom thickness deposited at greater than 2,000 angstroms per minute at a temperature above 650 C and at a pressure of 250 millitorr. Since these very high deposition rates can be realized at very low pressures it has the effect of enhancing the deposited films' conformality over the wafer's topography. This is a result of the ability to provide a higher concentration of the desired reactant (s) on all surfaces of the wafer including sidewalls and bottoms of vias . The accelerated deposition rate achievable via this invention at reduced pressures results in an effective increase of the mean free path in the gas phase which allows more penetration of the reactant to the bottom and along the walls of device features. The very low gas residence times achieved via this invention and the resultant sweeping of unwanted reaction by- products (e.g. hydrogen) out of the gas phase has the effect of increasing the diffusion of the desired silicon producing species in both the gas phase and on the surface which enhances the deposited films while, at the same time, providing more absorption sites available for the desired silicon producing species such as SiH4 and SiH2 since less of the absorption sites are occupied by the unwanted by-products, thereby increasing the rate of deposition on all surfaces. SEM photomicrographs of polysilicon depositions (2,000 angstroms per minute at 250 milli-torr and 650 C) in the single wafer chamber of this invention into 0.25 micron vias exhibit very uniform thickness conforming to the vias' walls and bottom without cusping at the top edges of the vias . In addition, the CVD films produced with this invention are of higher quality in terms of a reduced incorporation of unwanted impurities within the film. All CVD reactors have some level of impurity molecules, such as water vapor, oxygen, nitrogen, etc., within the chamber. This is particularly true of cold wall reactors for impurities such as H20 (moisture) and others which tend to adhere to the cooled chamber walls and are released from the walls when the chamber is evacuated and when the interior surface of the walls are warmed from the heat of the wafer, the susceptor, and other heated surfaces within the chamber's interior. Although the levels of such unwanted molecules can be reduced through heating of the chamber's walls to elevated temperatures (above 100 C) while evacuating the chamber with turbo or cryo pumps to very low pressures on the order of 10E-6 to 10E-7 Torr while alternating such evacuation cycles with high flow purge cycles of impurity free inert gas such as nitrogen, these procedures are costly, time consuming, and there still remains some level of such unwanted impurities. The amount of incorporation of unwanted impurities in CVD films is proportional to the partial pressure of such impurity molecules, the rate of reaction or entrapment of the impurities as the desired film is deposited, and the deposition time. The reduction of impurities in the films produced with this invention is due to (a) the reduced partial pressure of the impurities in the gas stream above the wafer's surface resulting from the increased concentration of the desired reactant species in the gas stream, (b) the enhanced rate of removal of the unwanted impurities due to the very high gas velocities and resultant low residence time, and (c) the greatly reduced process time resulting from the high deposition rates that are achieved. Fig. 3 shows a cross-section view of the single wafer reaction chamber described in U.S. Patent No. 5,551,985. The chamber provides: (a) a method of heating a wafer uniformly in a cold wall chamber to minimize wall deposits for ease of cleaning (e.g. via plasma enhanced reaction of NF3, other such etchant gases, or via the injection of etchant gases such as HC1 at high temperatures without plasma) ; (b) the positioning of a temperature controlled gas injector at close proximity to the subject wafer within the chamber to produce the desired localized and controlled high velocity gas flow; (c) the ability to rotate the wafer while the gas is being swept into the chamber on one side and exhausted out the other side, which causes a near mono-directional flow path which, without rotation, would result in a very non-uniform deposition across the surface topography of the wafer; (d) the ability to induce a very uniform plasma (glow discharge) in close proximity to the wafer surface for PECVD processing; (e) the capability for depositing CVD films on one side of the wafer and not the other; and (f) the ability to load and unload wafers via robotics permitting automatic operation and the capability for clustering one or more such chambers around a central transfer chamber for enhanced process control, higher throughputs, the minimization of particles, and the capability for sequential processing. In Fig. 4, the gas injector (150) extends inward toward the chamber's center such that the outlet (or outlets) of the gas injector is in relatively close proximity to the rotating susceptor (74) and hence the wafer's (12) edge. One embodiment of the injector as shown in Fig. 4 has multiple outlets and is designed such that both the rate of flow through each outlet and the direction of flow out of each outlet can be varied along the length of the injector's outlet side which faces the rotating susceptor and the wafer. Once the desired flow rates and angles are determined for a particular process and set of conditions, such a tunable injector can be replaced with less expensive ones having a simpler non-tunable design. In addition to the injector which dispenses the gas in close proximity to the rotating wafer (see Fig. 5), this chamber design has a thermal diffuser plate (54) (Fig. 4) that is generally parallel to the wafer surface and is held in close proximity (about 16.5 mm in the prototype) above the wafer. This has the effect of containing the flowing gas(es) within a volume having a relatively small cross-sectional area in the direction of the flow, further enhancing the gas velocity above the wafer surface. For polysilicon depositions in the prototype having deposition rates of from 1,500 to over 3,000 angstroms per minute at pressures of about 200 milli-Torr, it is calculated that the effective average gas velocity was in the range of approximately 100 to 200 cm/sec equaling a gas residence time above an 8 inch wafer of about 100 to 200 milli-seconds . In addition to supplying an enhanced concentration of the desired reactant (s) to the surface and the accelerated sweeping away of unwanted reaction by-products and impurity molecules, this very high velocity gas flowing generally in parallel to the surface is believed to be, in part, responsible for the low quantity of particles on the wafers processed in this fashion. Of course, the use of the thermal diffuser plate (54) facing the wafer surface made from materials such as graphite or silicon carbide that promote very high adhesion of most common CVD deposits results in a great reduction of the flaking off of particles which can land on the wafer surface and is most a major reason for the decreased particle contamination. Also contributing to the reduced particulates is the injection of a small flow in inert gas (e.g. argon) into the space between the quartz window and the thermal diffuser plate which prevents deposition on the window which would otherwise easily flake off due to the relatively poor adhesion of such CVD materials on quartz surfaces. The same type of enhanced CVD deposition rates will occur for plasma enhanced CVD (PECVD) processes with this chamber design. In this case, the RF energy is coupled to either the thermal diffusing plate above the wafer, to the thermal heat block which surrounds the susceptor, or to the susceptor itself via a rotating RF power feedthrough to produce the plasma. Fig. 6 shows a cross-sectional top view of a small batch cold wall reactor of the type more fully described in U.S. Patent Application Serial No. 08/909,461, which is capable of processing more than one wafer simultaneously. This chamber provides: (a) the ability to process one or more wafers at a time; (b) a method of heating the wafers uniformly in a cold wall chamber to minimize wall deposits for ease of cleaning (e.g. via plasma enhanced reaction of NF3, other such etchant gases, or via the injection of etchant gases such as HC1 at high temperatures without plasma) ; (c) the positioning of a temperature controlled gas injector at relatively close proximity to the subject wafers within the chamber to produce the desired and controlled localized high velocity gas flow; (d) the ability to rotate the wafers while the gas is being swept into the chamber on one side and exhausted out the other side which causes a near mono-directional flow which, without rotation, would result in a very non uniform deposition across the surface topography of the wafers; (e) the ability to induce a very uniform plasma (glow discharge) in close proximity to the wafers' surfaces for PECVD processing (see the U.S. non- provisional patent application filed January 12, 1999, titled " Vertical Plasma Enhanced Process Apparatus and Method" based on U.S. Provisional Patent Application No. 60/071,571, the disclosures of both are incorporated herein by reference) ; (f) the capability to deposit CVD films on one side of the wafer and not the other and the ability to exclude edge deposition around the periphery of the wafer which is desired for certain films such as tungsten, and (g) the ability to load and unload wafers via robotics permitting automatic operation and the capability for clustering one or more such chambers around a central transfer chamber or enhanced process control, higher throughputs, the minimization of particles and the capability for sequential processing. Referring to Fig. 6, the gas (es) is injected toward the wafers via a temperature controlled injection plate which bolts onto and is vacuum sealed to one of the shorter width sides of the eight sided prototype chamber. The injection plate may be elongated within the chamber in the direction toward the wafers as shown in Fig. 7. Fig. 8 shows one type of the injector design which allows for the elongation of the injector toward the wafers such that the outlet face of the injector can be held in close proximity to the wafers while at the same time permitting the flow of liquid through passages in the injector to maintain the injector at a uniform and constant temperature. Usually, for gaseous sources such as silane, it is desired to maintain the injector at a low temperature (typically 25 to 100 C) while the wafers are maintained at a temperature in excess of 600 C for the deposition of polysilicon. This reduced injector temperature prevents the deposition along the outlet face and at the outlets of the injector. For CVD depositions using a vaporized liquid precursor (such as TEOS) , the temperature of the injector can be maintained at higher temperatures (e.g. 100 C or above) to prevent the condensation of the vapor in and on the injector while still preventing the deposition on the injector or at its outlets. Fig. 8 shows such an injector which allows for the separation of gases to preclude premature reaction of, for example, oxidizers and reducers before they enter the reaction chamber. In this case, gas channels are milled from the atmospheric side of the injector toward the outlet face. A series of holes are drilled from the outlet face through to the milled channels (in this depiction, the hole spacing is shown to differ for the two channels which may be desired for some processes) . Plates are welded to the input side of the injector for gas tight sealing of the channels and are tapped to accept gas fittings . To provide the flow of temperature controlled liquid through the injector, holes are drilled at angles such that they intersect to form a continuous passage as more clearly shown in Fig. 8A. Bosses are welded at the ends of the uppermost and bottom most holes and tapped to accept fluid fittings. Cover plates are welded over the outside intersecting ends of the holes to produce the leak tight passage way. Alternative designs of high velocity gas injectors adaptable to the reactor described in U.S. Patent Application No. 08/909,461 can be such that the quantity of gas(es) flowing out of the injector's face can be varied along the vertical axis (up and down the load of wafers) to overcome any non- symmetrical gas flow dynamics in the vicinity of the upper and lower regions of the batch of wafers to achieve desired velocities and concentration of reactant (s) above the surfaces of the individual wafers in the load for uniform process results on the individual wafers. To achieve this, such alternative designs can employ, for example: (a) a variation of the outlet hole size along the vertical axis of the injector's outlet face; (b) a variation of the spacing of the outlet holes drilled into the axis of the outlet face; (c) the use of a multitude of individual holes drilled into the injector from the outside to provide the variation of gas flow along the vertical axis via the use of individual metering valves or mass flow controllers as shown in Fig. 9; or (d) a variation of the type of tunable injector of U.S. Patent No. 5,551,985 where the flow from each outlet can be adjusted and/or the injection angle from each outlet can be adjusted along the vertical axis. Another type of injector which provides for the horizontal widening of the outlet near the wafers is shown in Figs. 10A and 10B. Here, the widened outlet face can be bolted onto the injector body from the inside of the chamber as in Fig. 10A or the widened face and the elongated body can be fashioned from a single piece of material and o-ring sealed to the injector's mounting plate with the liquid cooling inlet and outlet pipes protruding through holes in the mounting plate for more efficient cooling of the widened face as in Fig. 10B. If necessary, the two side heater lamps that face toward the widened injector can be removed or disconnected to reduce heat transfer to the injector. While particular embodiments of the present invention have been shown and described, it will be obvious to those skilled in the art that changes and modifications may be made without departing from this invention in its broader aspects and, therefore, the appended claims are to encompass within their scope all such changes and modifications as fall within the true spirit and scope of this invention.
What is claimed is:

Claims

CLAIMS CVD reactor comprising: (a) a chamber; (b) means for positioning a wafer boat in the chamber, the wafer boat capable of holding a plurality of wafers in a vertical stack arrangement; (c) means for rotating the wafer boat; and (d) means for introducing a reactant gas mixture to the chamber, the introduction means including a gas injector that is temperature controlled with circulation of liquid through the body of the injector; and (e) an exhaust manifold for exhausting the gas mixture from the first chamber.
PCT/US1999/000874 1998-01-15 1999-01-14 Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors WO1999036588A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7157098P 1998-01-15 1998-01-15
US60/071,570 1998-01-15

Publications (1)

Publication Number Publication Date
WO1999036588A1 true WO1999036588A1 (en) 1999-07-22

Family

ID=22102178

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/000874 WO1999036588A1 (en) 1998-01-15 1999-01-14 Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors

Country Status (1)

Country Link
WO (1) WO1999036588A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002069365A2 (en) * 2001-02-27 2002-09-06 Semitool, Inc. Vessel for processing microelectronic workpieces
CN102560636A (en) * 2010-12-14 2012-07-11 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate loading device and substrate processing device applying same
CN103060774A (en) * 2011-10-24 2013-04-24 北京北方微电子基地设备工艺研究中心有限责任公司 Chamber device and substrate processing equipment with same
CN104195629A (en) * 2014-08-20 2014-12-10 中国科学院半导体研究所 Tower-type multichip epitaxial growth device
CN106191990A (en) * 2016-08-30 2016-12-07 上海华力微电子有限公司 A kind of air intake installation of boiler tube
CN111088526A (en) * 2019-12-27 2020-05-01 季华实验室 Multi-piece loaded silicon carbide epitaxial growth equipment

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4745088A (en) * 1985-02-20 1988-05-17 Hitachi, Ltd. Vapor phase growth on semiconductor wafers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4745088A (en) * 1985-02-20 1988-05-17 Hitachi, Ltd. Vapor phase growth on semiconductor wafers

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002069365A2 (en) * 2001-02-27 2002-09-06 Semitool, Inc. Vessel for processing microelectronic workpieces
WO2002069365A3 (en) * 2001-02-27 2002-12-19 Semitool Inc Vessel for processing microelectronic workpieces
CN102560636A (en) * 2010-12-14 2012-07-11 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate loading device and substrate processing device applying same
CN103060774A (en) * 2011-10-24 2013-04-24 北京北方微电子基地设备工艺研究中心有限责任公司 Chamber device and substrate processing equipment with same
CN104195629A (en) * 2014-08-20 2014-12-10 中国科学院半导体研究所 Tower-type multichip epitaxial growth device
CN106191990A (en) * 2016-08-30 2016-12-07 上海华力微电子有限公司 A kind of air intake installation of boiler tube
CN111088526A (en) * 2019-12-27 2020-05-01 季华实验室 Multi-piece loaded silicon carbide epitaxial growth equipment
CN111088526B (en) * 2019-12-27 2021-05-11 季华实验室 Multi-piece loaded silicon carbide epitaxial growth equipment

Similar Documents

Publication Publication Date Title
US20010047764A1 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6506691B2 (en) High rate silicon nitride deposition method at low pressures
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US5015330A (en) Film forming method and film forming device
US5525157A (en) Gas injectors for reaction chambers in CVD systems
US6486083B1 (en) Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US7833352B2 (en) Apparatus for fabrication of thin films
EP1386981B1 (en) A thin film-forming apparatus
KR950012910B1 (en) Vapor phase growth apparatus
US5695819A (en) Method of enhancing step coverage of polysilicon deposits
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
US8450220B2 (en) Substrate processing apparatus , method of manufacturing semiconductor device, and method of manufacturing substrate
US20120315767A1 (en) Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP3414018B2 (en) Substrate surface treatment equipment
US6287635B1 (en) High rate silicon deposition method at low pressures
JPS612321A (en) Vertical hot wall type cvd reactor
US4696833A (en) Method for applying a uniform coating to integrated circuit wafers by means of chemical deposition
US5677235A (en) Method for forming silicon film
JPS61101020A (en) Treating apparatus
US20020028290A1 (en) Thermal gradient enhanced CVD deposition at low pressure
WO1999036588A1 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
EP1123423A1 (en) High rate silicon deposition method at low pressures
JPH0565652A (en) Apparatus for plasma-intensified chemical vapor deposition
JPH05251359A (en) Vapor silicon epitaxial growth device
JPH05198520A (en) Film formation device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CA CN IL JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
NENP Non-entry into the national phase

Ref country code: KR

122 Ep: pct application non-entry in european phase