US20180301552A1 - Semiconductor device having compressively strained channel region and method of making same - Google Patents

Semiconductor device having compressively strained channel region and method of making same Download PDF

Info

Publication number
US20180301552A1
US20180301552A1 US16/008,590 US201816008590A US2018301552A1 US 20180301552 A1 US20180301552 A1 US 20180301552A1 US 201816008590 A US201816008590 A US 201816008590A US 2018301552 A1 US2018301552 A1 US 2018301552A1
Authority
US
United States
Prior art keywords
region
semiconductor material
semiconductor
semiconductor device
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/008,590
Inventor
Toshiharu NAGUMO
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to US16/008,590 priority Critical patent/US20180301552A1/en
Assigned to RENESAS ELECTRONICS CORPORATION reassignment RENESAS ELECTRONICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NAGUMO, TOSHIHARU
Publication of US20180301552A1 publication Critical patent/US20180301552A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the invention relates to semiconductor devices and methods of making the same, and more particularly relates to such devices and methods in which a transistor channel region is compressively strained.
  • One such design configuration is referred to variously as a FinFET or tri-gate transistor, in which the source, drain and channel region of each transistor is elevated relative to a semiconductor substrate.
  • the elevated portion has the shape of a ridge or fin, and may be formed integrally with the underlying substrate or may be formed on an insulating layer in the case of SOI type devices.
  • the gate wraps around the three projecting sides of the fin, and so the available channel area is increased by the gate contacting not only the top part of the fin but also its side walls.
  • Previous designs for FinFETs have also utilized a strained lattice configuration, for example by replacing all or part of the silicon fin with a silicon germanium epitaxial layer.
  • the wider lattice constant of SiGe relative to silicon causes a compressive strain to be imparted to the SiGe layer formed epitaxially on silicon, which enhances hole mobility in the channel region and thus enhances pFET drive current relative to an unstrained Si channel. See, Smith et al., “Dual Channel FinFETs as a Single High-k/Metal Gate Solution Beyond 22 nm Node,” 2009 IEDM, pp. 309-312.
  • the present invention relates to a semiconductor device, comprising a three-dimensional channel region comprising a core of a first semiconductor material and an epitaxial covering of a second semiconductor material.
  • the first and second semiconductor materials have respectively different lattice constants, thereby to create a strain in the epitaxial covering.
  • a source region is positioned adjacent one end of the three dimensional channel region, and a drain region is positioned adjacent an opposite end of the three dimensional channel region.
  • a gate electrode is superposed on the three dimensional channel region.
  • the second semiconductor material is present only in a region underlying the gate electrode.
  • each of the core and the epitaxial covering projects upwardly relative to an underlying substrate.
  • the core is formed integrally with an underlying substrate of the first semiconductor material.
  • the core is formed on an insulating layer of a semiconductor on insulator (SOI) substrate.
  • SOI semiconductor on insulator
  • each of the three-dimensional channel region, the source region, the drain region and the gate electrode are separated from an underlying substrate by the insulating layer, thereby to form a transistor that is full isolated from the underlying substrate.
  • the second semiconductor material has a larger lattice constant than the first semiconductor material, thereby to create a compressive strain in the epitaxial covering.
  • the first semiconductor material comprises silicon and the second semiconductor material comprises silicon and germanium.
  • the second semiconductor material has a smaller lattice constant than the first semiconductor material, thereby to create a tensile strain in the epitaxial covering.
  • the first semiconductor material comprises silicon and germanium and the second semiconductor material comprises silicon.
  • the present invention relates to a semiconductor device, comprising a three-dimensional channel region comprising a core of a first semiconductor material and an epitaxial covering of a second semiconductor material.
  • the first and second semiconductor materials have respectively different lattice constants, thereby to create a strain in the epitaxial covering.
  • a source region is positioned adjacent one end of the three dimensional channel region, and a drain region is positioned adjacent an opposite end of the three dimensional channel region.
  • a gate electrode is superposed on the three dimensional channel region.
  • a hollow three-dimensional gate dielectric layer is positioned between the gate electrode and the three-dimensional channel region.
  • each of the core and the epitaxial covering projects upwardly relative to an underlying substrate.
  • the core is formed integrally with an underlying substrate of the first semiconductor material.
  • the core is formed on an insulating layer of a semiconductor on insulator (SOI) substrate.
  • SOI semiconductor on insulator
  • each of the three-dimensional channel region, the source region, the drain region and the gate electrode are separated from an underlying substrate by the insulating layer, thereby to form a transistor that is full isolated from the underlying substrate.
  • the second semiconductor material has a larger lattice constant than the first semiconductor material, thereby to create a compressive strain in the epitaxial covering.
  • the first semiconductor material comprises silicon and the second semiconductor material comprises silicon and germanium.
  • the second semiconductor material has a smaller lattice constant than the first semiconductor material, thereby to create a tensile strain in the epitaxial covering.
  • the first semiconductor material comprises silicon and germanium and the second semiconductor material comprises silicon.
  • the hollow three-dimensional gate dielectric layer extends upwardly from the three-dimensional channel region, between the gate electrode and each of a pair of sidewall spacers.
  • the three-dimensional channel region is repeated as a series of the channel regions, and wherein the gate electrode overlies plural channel regions within the series.
  • the hollow three-dimensional gate dielectric layer extends downwardly between adjacent channel regions within the series.
  • the present invention relates to a method of making a semiconductor device, comprising removing a dummy gate from an intermediate transistor structure comprising a three-dimensional channel region of a first semiconductor material underlying the dummy gate, forming an epitaxial covering of a second semiconductor material on a portion of the three-dimensional channel region exposed by removal of the dummy gate, and forming a gate structure contacting the covering of the second semiconductor material.
  • the three-dimensional channel region projects upwardly relative to an underlying substrate.
  • the three-dimensional channel region is formed integrally with an underlying substrate of the first semiconductor material.
  • the three-dimensional channel region is formed on an insulating layer of a semiconductor on insulator (SOI) substrate.
  • SOI semiconductor on insulator
  • the method additionally includes forming the three-dimensional channel region on a sacrificial layer of a semiconductor material that can be etched under conditions that do not substantially etch the first semiconductor material, forming the dummy gate on the three-dimensional channel region, removing the sacrificial layer to create a void underlying the three-dimensional channel region, and filling the void with a dielectric material prior to removal of the dummy gate.
  • each of the three-dimensional channel region, a source region, a drain region and the gate electrode are separated from an underlying substrate by the insulating layer, thereby to form a transistor that is full isolated from the underlying substrate.
  • the second semiconductor material has a larger lattice constant than the first semiconductor material, thereby to create a compressive strain in the epitaxial covering.
  • the first semiconductor material comprises silicon and the second semiconductor material comprises silicon and germanium.
  • the second semiconductor material has a smaller lattice constant than the first semiconductor material, thereby to create a tensile strain in the epitaxial covering.
  • the first semiconductor material comprises silicon and germanium and wherein the second semiconductor material comprises silicon.
  • FIG. 1 is a plan view of a FinFET according to a first embodiment of the methods and devices according to the present invention
  • FIG. 2 a is a cross-sectional view along the line II-II of FIG. 1 ;
  • FIG. 2 b is a cross-sectional view like that of FIG. 2 a , showing the corresponding structure for an SOI substrate;
  • FIG. 3 a is a cross-sectional view along the line III-III of FIG. 1 ;
  • FIG. 3 b is a cross-sectional view like that of FIG. 3 a , showing the corresponding structure for an SOI substrate;
  • FIG. 4 is a plan view of a FinFET according to a further embodiment of the methods and devices according to the present invention.
  • FIG. 5 is a cross-sectional view along the line V-V of FIG. 4 ;
  • FIG. 6 is a cross-sectional view along the line VI-VI of FIG. 4 ;
  • FIG. 7 is a plan view of an intermediate structure in a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 8 is a cross-sectional view along the line VIII-VIII of FIG. 7 ;
  • FIG. 9 is a cross-sectional view along the line IX-IX of FIG. 7 ;
  • FIG. 10 is a cross-sectional view along the line X-X of FIG. 7 ;
  • FIG. 11 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 12 is a cross-sectional view along the line XII-XII of FIG. 11 ;
  • FIG. 13 is a cross-sectional view along the line XIII-XIII of FIG. 11 ;
  • FIG. 14 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 15 is a cross-sectional view along the line XV-XV of FIG. 14 ;
  • FIG. 16 is a cross-sectional view along the line XVI-XVI of FIG. 14 ;
  • FIG. 17 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 18 is a cross-sectional view along the line XVIII-XVIII of FIG. 17 ;
  • FIG. 19 is a cross-sectional view along the line XIX-XIX of FIG. 17 ;
  • FIG. 20 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 21 is a cross-sectional view along the line XXI-XXI of FIG. 20 ;
  • FIG. 22 is a cross-sectional view along the line XXII-XXII of FIG. 20 ;
  • FIG. 23 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 24 is a cross-sectional view along the line XXIV-XXIV of FIG. 23 ;
  • FIG. 25 is a cross-sectional view along the line XXV-XXV of FIG. 23 ;
  • FIG. 26 is a plan view of an intermediate structure in a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 27 is a cross-sectional view along the line XXVII-XXVII of FIG. 26 ;
  • FIG. 28 is a cross-sectional view along the line XXVIII-XXVIII of FIG. 26 ;
  • FIG. 29 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 30 is a cross-sectional view along the line XXX-XXX of FIG. 29 ;
  • FIG. 31 is a cross-sectional view along the line XXXI-XXXI of FIG. 29 ;
  • FIG. 32 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 33 is a cross-sectional view along the line XXXIII-XXXIII of FIG. 32 ;
  • FIG. 34 is a cross-sectional view along the line XXXIV-XXXIV of FIG. 32 ;
  • FIG. 35 is a cross-sectional view along the line XXXV-XXXV of FIG. 32 ;
  • FIG. 36 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 37 is a cross-sectional view along the line XXXVII-XXXVII of FIG. 36 ;
  • FIG. 38 is a cross-sectional view along the line XXXVIII-XXXVIII of FIG. 36 ;
  • FIG. 39 is a cross-sectional view along the line XXXIX-XXXIX of FIG. 36 ;
  • FIG. 40 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 41 is a cross-sectional view along the line XLI-XLI of FIG. 40 ;
  • FIG. 42 is a cross-sectional view along the line XLII-XLII of FIG. 40 ;
  • FIG. 43 is a cross-sectional view along the line XLIII-XLIII of FIG. 40 ;
  • FIG. 44 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 45 is a cross-sectional view along the line XLV-XLV of FIG. 44 ;
  • FIG. 46 is a cross-sectional view along the line XLVI-XLVI of FIG. 44 ;
  • FIG. 47 is a cross-sectional view along the line XLVII-XLVII of FIG. 44 ;
  • FIG. 48 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 49 is a cross-sectional view along the line XLIX-XLIX of FIG. 48 ;
  • FIG. 50 is a cross-sectional view along the line L-L of FIG. 48 ;
  • FIG. 51 is a cross-sectional view along the line LI-LI of FIG. 48 ;
  • FIG. 52 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 53 is a cross-sectional view along the line XLIX-XLIX of FIG. 52 ;
  • FIG. 54 is a cross-sectional view along the line L-L of FIG. 52 ;
  • FIG. 55 is a cross-sectional view along the line LI-LI of FIG. 52 ;
  • FIG. 56 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 57 is a cross-sectional view along the line LVII-LVII of FIG. 56 ;
  • FIG. 58 is a cross-sectional view along the line LVIII-LVIII of FIG. 56 ;
  • FIG. 59 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6 ;
  • FIG. 60 is a cross-sectional view along the line LX-LX of FIG. 59 ;
  • FIG. 61 is a cross-sectional view along the line LXI-LXI of FIG. 59 ;
  • FIG. 62 schematically depicts operational advantages arising from the use of semiconductor devices according to embodiments of the present invention.
  • FIG. 63 schematically depicts a corresponding lack of operational advantages arising from the use of conventional semiconductor devices
  • FIG. 64 schematically depicts an energy band profile of semiconductor devices according to embodiments of the present invention.
  • FIG. 65 schematically depicts usage phenomena associated with the embodiment of FIGS. 1, 2 a and 3 a ;
  • FIG. 66 schematically depicts usage phenomena associated with the embodiment of FIGS. 4-6 .
  • a first embodiment of the present invention is a FinFET in which a series of silicon fins 24 has been formed integrally with an underlying bulk silicon substrate 10 .
  • Agate 22 extends across plural transistors as shown in FIG. 1 , and is clad with a gate dielectric film 20 . Source and drain regions of each transistor are designated 12 and 14 , respectively.
  • a gate dielectric film 20 is positioned between the gate 22 and sidewall spacers 18 , as shown in FIG. 2 , and is also positioned between the gate electrode 22 and channel regions 26 as well as dielectric 16 , as shown in FIGS. 2 and 3 .
  • the gate dielectric film 20 thus has a hollow, three-dimensional structure.
  • Si fin 24 is clad with a layer of epitaxial silicon-germanium 26 , as is best seen in FIGS. 2 and 3 .
  • SiGe has a larger lattice constant than Si
  • the channel regions of the illustrated FinFET will be compressively strained.
  • compressive strain is preferred for the devices according to the present invention, it is also within the scope of the invention to provide materials for the core and cladding of the fin such that the cladding material has a smaller lattice constant than the core, which results in a tensile strain for the channel regions.
  • the SiGe epitaxial layer is confined to the region beneath the gate electrode 22 , by which is meant the region including the gate electrode 22 itself, as well as the surrounding gate dielectric film 20 .
  • FIGS. 2 b and 3 b a structure like that of the preceding figures is shown, however, the bulk substrate has been replaced by a silicon-on-insulator or SOI substrate, including insulating layer 11 .
  • a further embodiment of the present invention is a FinFET in which a series of silicon fins 44 are separated from an underlying bulk silicon substrate 30 by layer 48 of refilled dielectric.
  • the device of this embodiment is of the silicon-on-nothing or SON type, as will be described hereinafter.
  • a gate 42 extends across plural transistors as shown in FIG. 4 , and is clad with a gate dielectric film 40 . Source and drain regions of each transistor are designated 32 and 34 , respectively.
  • the gate dielectric film 40 is positioned between the gate 42 and sidewall spacers 38 , as shown in FIG. 5 , and is also positioned between the gate electrode 42 and channel regions 46 as well as dielectric 36 , as shown in FIGS. 5 and 6 .
  • the gate dielectric film 40 thus has a hollow, three-dimensional structure.
  • the Si fins 44 are clad with a layer of epitaxial silicon-germanium 46 , as is best seen in FIGS. 5 and 6 .
  • the channel regions of the illustrated FinFET will be compressively strained.
  • the SiGe epitaxial layer 46 is again confined to the region beneath the gate electrode 42 , by which is meant the region including the gate electrode 42 itself, as well as the surrounding gate dielectric film 40 .
  • the compressive strain is desirable as it promotes hole mobility in the channel region, as is known.
  • the lattice strain is substantially relaxed by the high temperature processing that occurs after the strain is created.
  • a device as described above in connection with FIGS. 1, 2 a and 3 a is advantageously made by a gate-last process, in which a dummy FinFET is made with fins 24 that are initially of Si only, and with a dummy gate 62 in place of the as yet unformed actual gate.
  • the dummy gate 62 may for example be polysilicon, and the sidewall spacers 18 may for example be silicon nitride, although other materials may be selected according to the knowledge of those skilled in the art.
  • the process stage shown in FIGS. 7-10 will typically also include formation of an interlayer dielectric to cover the source and drain regions, followed by palanarization of the same, although this is not shown in the figures for ease of understanding.
  • FIGS. 1, 2 a and 3 a Although this discussion focuses on the manufacture of a device according to FIGS. 1, 2 a and 3 a , it will be appreciated that the corresponding device formed on an SOI substrate as shown in FIGS. 2 b and 3 b would be made in the same way, with the exception that the bulk silicon substrate is replaced by an SOI substrate.
  • the dummy gate 62 is removed by a conventional technique such as wet etching, which thereby exposes the silicon fins 24 between sidewall spacers 18 .
  • a conventional technique such as wet etching, which thereby exposes the silicon fins 24 between sidewall spacers 18 .
  • successive fins 24 are separated from one another by dielectric layer 16 , which layer 16 however does not extend upwardly the full height of fins 24 .
  • FIGS. 11-13 The structure illustrated in FIGS. 11-13 is then subjected to further etching, for example by RIE, to recess the fins 24 somewhat.
  • the fins 24 become narrower in the horizontal direction perpendicular to the source-drain direction, and also become shorter, as shown in FIG. 15 .
  • this recessing of the fins is preferred, it is not essential and may be omitted. It is also noted that this recessing may additional remove part of the fin structure underlying the sidewalls 18 .
  • an epitaxial layer of silicon-germanium 26 is formed on the fins 24 . Because the sidewalls 18 previously formed by the gate-last process act as a mask, the SiGe film 26 is formed only in the regions that will eventually be covered by the gate dielectric layer and the gate itself. Additionally, if the fins 24 have been recessed as described in connection with FIGS. 14-16 , then the SiGe film 26 may also extend slightly underneath the sidewalls 18 . In forming the SiGe film 26 , the formation conditions are preferably selected so that the film will have a Ge content of at least 20%.
  • the gate dielectric layer 20 is formed so as to line the volume that will be filled by the gate.
  • the sidewalls 18 again serve as a mask for deposition of the gate dielectric layer 20 , which is preferably a high-k material.
  • the gate dielectric layer 20 extends upwardly from the fins 24 along the sidewalls 18 ( FIG. 21 ), and extends downwardly between adjacent fins ( FIG. 22 ).
  • the gate dielectric layer 20 therefore has a hollow, three-dimensional shape as a result of the gate-last process used.
  • the gate 22 is then formed, as shown in FIGS. 23-25 .
  • SiGe intrinsically has larger lattice constant than Si; however, for an epitaxial layer of SiGe, the crystal lattice follows that of the template Si. Therefore, this SiGe layer 26 on Si fin 24 is compressively strained.
  • the hole mobility in a compressively-strained SiGe channel is known to be higher than that in neutral Si.
  • the strain in an SiGe channel is relaxed during high-temperature processes, such that the hole mobility benefit is greatly reduced or lost altogether.
  • the high temperature processes (such as isolation dielectric densify anneal and source/drain activation anneal) are done prior to formation of the SiGe epitaxial layer, and thus the favorable compressive strain in the SiGe channel is preserved.
  • the methods for making devices as described above in connection with FIGS. 4-6 proceeds from a substrate as shown in FIGS. 26-28 , including a bulk silicon substrate 30 that is separated from an upper thin silicon layer 35 by a sacrificial layer 33 of SiGe that will be removed during subsequent processing.
  • a dummy FinFET is formed similarly to that described in the preceding embodiment, with a dummy gate 82 for example of polysilicon being formed between the sidewall spacers 38 .
  • the fin structures 44 are fully isolated from the bulk Si substrate 30 by the sacrificial SiGe layer 33 .
  • These fully-isolated fins 44 can be formed by known “silicon-on-nothing” (SON) processes based on elective etching of the sacrificial SiGe layer 33 , as described for example Jurczak et. al., “Silicon-on-Nothing (SON)—an innovative Process for Advanced CMOS”, IEEE Trans. Elec. Dev ., vol. 47, no. 11 (November 2000).
  • SON silicon-on-nothing
  • the SiGe layer 33 and Si layer 35 are sequentially grown on the bulk-Si substrate 30 to produce the structure shown in FIGS. 26-28 , followed by formation of the Si/SiGe/Si stacked fin structures 30 , 33 , 44 as shown in FIGS. 29-31 .
  • the sacrificial SiGe layer 33 is removed by selective etching (for example HCl gas etching), to produce a structure as shown in FIGS. 32-35 .
  • the fins 44 at this stage lack subjacent support they are nevertheless supported from above by the dummy gate 82 and sidewall spacers 38 , as shown in FIGS. 33 and 34 .
  • the void underlying fins 44 serves to isolate them fully from the bulk Si substrate 30 .
  • FIGS. 48-51 illustrate the optional recessing of the Si fins 44 , as also described in connection with the preceding embodiments.
  • the strained SiGe channel 46 is then formed, as illustrated in FIGS. 52-55 and as described in connection with the preceding embodiments.
  • a layer 40 of a preferably high-k material is deposited as a gate dielectric layer, as shown in FIGS. 56-58 and as also described in connection with the preceding embodiments.
  • the actual device gate 62 is deposited and planarized, as shown in FIGS. 59-61 and also as described in connection with the preceding embodiments.
  • the fin body has a Si core and a SiGe cladding.
  • the compressive strain weakens, and at the same the time band offset between cladding and core gets smaller. This phenomenon results in a loss of off-state leakage suppression.
  • the high-temperature processes are performed prior to SiGe channel formation, and thus a relatively abrupt Ge profile is preserved and Ge diffusion into the Si core is minimized.
  • FIG. 65 when the FinFET is formed directly on a bulk Si substrate, there are several off-state leakage current paths, as indicated by the solid arrows in FIG. 65 .
  • the silicon on nothing (SON) process provides full-isolation of fin structure from substrate. As shown in FIG. 66 , these leakage paths are completely eliminated and off-state leakage current can be significantly reduced. However, since full-isolation of fin structure from substrate is achieved by selective etching of the sacrificial SiGe layer 33 beneath the Si fin, if the SiGe channel 46 is formed on fin structure prior to SiGe sacrificial film 33 selective etching step, the SiGe channel region 46 would also be etched.
  • the SiGe channel is formed only after the fins have been fully isolated. Consequently, both high pFET performance by compressively-strained SiGe channel and low-leakage current by fully-isolated fin can be achieved simultaneously on bulk-Si substrate.

Abstract

A semiconductor device and method making it utilize a three-dimensional channel region comprising a core of a first semiconductor material and an epitaxial covering of a second semiconductor material. The first and second semiconductor materials have respectively different lattice constants, thereby to create a strain in the epitaxial covering. The devices are formed by a gate-last process, so that the second semiconductor material is deposited only after the high temperature processes have been performed. Consequently, the lattice strain is not substantially relaxed, and the improved performance benefits of the lattice strained channel region are not compromised.

Description

    BACKGROUND OF THE INVENTION 1. Field of the Invention
  • The invention relates to semiconductor devices and methods of making the same, and more particularly relates to such devices and methods in which a transistor channel region is compressively strained.
  • 2. Description of Related Art
  • As the gate length of transistors continues to decrease with successive generations of semiconductor devices, new transistor configurations have been needed to counteract the diminished response that would otherwise occur with shrinking gate lengths. One such design configuration is referred to variously as a FinFET or tri-gate transistor, in which the source, drain and channel region of each transistor is elevated relative to a semiconductor substrate. The elevated portion has the shape of a ridge or fin, and may be formed integrally with the underlying substrate or may be formed on an insulating layer in the case of SOI type devices. The gate wraps around the three projecting sides of the fin, and so the available channel area is increased by the gate contacting not only the top part of the fin but also its side walls.
  • Previous designs for FinFETs have also utilized a strained lattice configuration, for example by replacing all or part of the silicon fin with a silicon germanium epitaxial layer. The wider lattice constant of SiGe relative to silicon causes a compressive strain to be imparted to the SiGe layer formed epitaxially on silicon, which enhances hole mobility in the channel region and thus enhances pFET drive current relative to an unstrained Si channel. See, Smith et al., “Dual Channel FinFETs as a Single High-k/Metal Gate Solution Beyond 22 nm Node,” 2009 IEDM, pp. 309-312.
  • However, previous design efforts will likely not meet the demands of future generations of semiconductor devices with respect to minimizing off-current while maximizing on-current and switching speed, especially as gate lengths decrease to 14 nm and below.
  • SUMMARY OF THE INVENTION
  • Thus, in one aspect, the present invention relates to a semiconductor device, comprising a three-dimensional channel region comprising a core of a first semiconductor material and an epitaxial covering of a second semiconductor material. The first and second semiconductor materials have respectively different lattice constants, thereby to create a strain in the epitaxial covering. A source region is positioned adjacent one end of the three dimensional channel region, and a drain region is positioned adjacent an opposite end of the three dimensional channel region. A gate electrode is superposed on the three dimensional channel region. The second semiconductor material is present only in a region underlying the gate electrode.
  • In preferred embodiments of the semiconductor device according to the present invention, each of the core and the epitaxial covering projects upwardly relative to an underlying substrate.
  • In preferred embodiments of the semiconductor device according to the present invention, the core is formed integrally with an underlying substrate of the first semiconductor material.
  • In preferred embodiments of the semiconductor device according to the present invention, the core is formed on an insulating layer of a semiconductor on insulator (SOI) substrate.
  • In preferred embodiments of the semiconductor device according to the present invention, each of the three-dimensional channel region, the source region, the drain region and the gate electrode are separated from an underlying substrate by the insulating layer, thereby to form a transistor that is full isolated from the underlying substrate.
  • In preferred embodiments of the semiconductor device according to the present invention, the second semiconductor material has a larger lattice constant than the first semiconductor material, thereby to create a compressive strain in the epitaxial covering.
  • In preferred embodiments of the semiconductor device according to the present invention, the first semiconductor material comprises silicon and the second semiconductor material comprises silicon and germanium.
  • In preferred embodiments of the semiconductor device according to the present invention, the second semiconductor material has a smaller lattice constant than the first semiconductor material, thereby to create a tensile strain in the epitaxial covering.
  • In preferred embodiments of the semiconductor device according to the present invention, the first semiconductor material comprises silicon and germanium and the second semiconductor material comprises silicon.
  • In another aspect, the present invention relates to a semiconductor device, comprising a three-dimensional channel region comprising a core of a first semiconductor material and an epitaxial covering of a second semiconductor material. The first and second semiconductor materials have respectively different lattice constants, thereby to create a strain in the epitaxial covering. A source region is positioned adjacent one end of the three dimensional channel region, and a drain region is positioned adjacent an opposite end of the three dimensional channel region. A gate electrode is superposed on the three dimensional channel region. A hollow three-dimensional gate dielectric layer is positioned between the gate electrode and the three-dimensional channel region.
  • In preferred embodiments of the semiconductor device according to the present invention, each of the core and the epitaxial covering projects upwardly relative to an underlying substrate.
  • In preferred embodiments of the semiconductor device according to the present invention, the core is formed integrally with an underlying substrate of the first semiconductor material.
  • In preferred embodiments of the semiconductor device according to the present invention, the core is formed on an insulating layer of a semiconductor on insulator (SOI) substrate.
  • In preferred embodiments of the semiconductor device according to the present invention, each of the three-dimensional channel region, the source region, the drain region and the gate electrode are separated from an underlying substrate by the insulating layer, thereby to form a transistor that is full isolated from the underlying substrate.
  • In preferred embodiments of the semiconductor device according to the present invention, the second semiconductor material has a larger lattice constant than the first semiconductor material, thereby to create a compressive strain in the epitaxial covering.
  • In preferred embodiments of the semiconductor device according to the present invention, the first semiconductor material comprises silicon and the second semiconductor material comprises silicon and germanium.
  • In preferred embodiments of the semiconductor device according to the present invention, the second semiconductor material has a smaller lattice constant than the first semiconductor material, thereby to create a tensile strain in the epitaxial covering.
  • In preferred embodiments of the semiconductor device according to the present invention, the first semiconductor material comprises silicon and germanium and the second semiconductor material comprises silicon.
  • In preferred embodiments of the semiconductor device according to the present invention, the hollow three-dimensional gate dielectric layer extends upwardly from the three-dimensional channel region, between the gate electrode and each of a pair of sidewall spacers.
  • In preferred embodiments of the semiconductor device according to the present invention, the three-dimensional channel region is repeated as a series of the channel regions, and wherein the gate electrode overlies plural channel regions within the series.
  • In preferred embodiments of the semiconductor device according to the present invention, the hollow three-dimensional gate dielectric layer extends downwardly between adjacent channel regions within the series.
  • In yet another aspect, the present invention relates to a method of making a semiconductor device, comprising removing a dummy gate from an intermediate transistor structure comprising a three-dimensional channel region of a first semiconductor material underlying the dummy gate, forming an epitaxial covering of a second semiconductor material on a portion of the three-dimensional channel region exposed by removal of the dummy gate, and forming a gate structure contacting the covering of the second semiconductor material.
  • In preferred embodiments of the method according to the present invention, the three-dimensional channel region projects upwardly relative to an underlying substrate.
  • In preferred embodiments of the method according to the present invention, the three-dimensional channel region is formed integrally with an underlying substrate of the first semiconductor material.
  • In preferred embodiments of the method according to the present invention, the three-dimensional channel region is formed on an insulating layer of a semiconductor on insulator (SOI) substrate.
  • In preferred embodiments of the method according to the present invention, the method additionally includes forming the three-dimensional channel region on a sacrificial layer of a semiconductor material that can be etched under conditions that do not substantially etch the first semiconductor material, forming the dummy gate on the three-dimensional channel region, removing the sacrificial layer to create a void underlying the three-dimensional channel region, and filling the void with a dielectric material prior to removal of the dummy gate.
  • In preferred embodiments of the method according to the present invention, each of the three-dimensional channel region, a source region, a drain region and the gate electrode are separated from an underlying substrate by the insulating layer, thereby to form a transistor that is full isolated from the underlying substrate.
  • In preferred embodiments of the method according to the present invention, the second semiconductor material has a larger lattice constant than the first semiconductor material, thereby to create a compressive strain in the epitaxial covering.
  • In preferred embodiments of the method according to the present invention, the first semiconductor material comprises silicon and the second semiconductor material comprises silicon and germanium.
  • In preferred embodiments of the method according to the present invention, the second semiconductor material has a smaller lattice constant than the first semiconductor material, thereby to create a tensile strain in the epitaxial covering.
  • In preferred embodiments of the method according to the present invention, the first semiconductor material comprises silicon and germanium and wherein the second semiconductor material comprises silicon.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Other objects, features and advantages of the invention will become more apparent after reading the following detailed description of preferred embodiments of the invention, given with reference to the accompanying drawings, in which:
  • FIG. 1 is a plan view of a FinFET according to a first embodiment of the methods and devices according to the present invention;
  • FIG. 2a is a cross-sectional view along the line II-II of FIG. 1;
  • FIG. 2b is a cross-sectional view like that of FIG. 2a , showing the corresponding structure for an SOI substrate;
  • FIG. 3a is a cross-sectional view along the line III-III of FIG. 1;
  • FIG. 3b is a cross-sectional view like that of FIG. 3a , showing the corresponding structure for an SOI substrate;
  • FIG. 4 is a plan view of a FinFET according to a further embodiment of the methods and devices according to the present invention;
  • FIG. 5 is a cross-sectional view along the line V-V of FIG. 4;
  • FIG. 6 is a cross-sectional view along the line VI-VI of FIG. 4;
  • FIG. 7 is a plan view of an intermediate structure in a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 8 is a cross-sectional view along the line VIII-VIII of FIG. 7;
  • FIG. 9 is a cross-sectional view along the line IX-IX of FIG. 7;
  • FIG. 10 is a cross-sectional view along the line X-X of FIG. 7;
  • FIG. 11 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 12 is a cross-sectional view along the line XII-XII of FIG. 11;
  • FIG. 13 is a cross-sectional view along the line XIII-XIII of FIG. 11;
  • FIG. 14 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 15 is a cross-sectional view along the line XV-XV of FIG. 14;
  • FIG. 16 is a cross-sectional view along the line XVI-XVI of FIG. 14;
  • FIG. 17 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 18 is a cross-sectional view along the line XVIII-XVIII of FIG. 17;
  • FIG. 19 is a cross-sectional view along the line XIX-XIX of FIG. 17;
  • FIG. 20 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 21 is a cross-sectional view along the line XXI-XXI of FIG. 20;
  • FIG. 22 is a cross-sectional view along the line XXII-XXII of FIG. 20;
  • FIG. 23 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 1, 2 a and 3 a;
  • FIG. 24 is a cross-sectional view along the line XXIV-XXIV of FIG. 23;
  • FIG. 25 is a cross-sectional view along the line XXV-XXV of FIG. 23;
  • FIG. 26 is a plan view of an intermediate structure in a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 27 is a cross-sectional view along the line XXVII-XXVII of FIG. 26;
  • FIG. 28 is a cross-sectional view along the line XXVIII-XXVIII of FIG. 26;
  • FIG. 29 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 30 is a cross-sectional view along the line XXX-XXX of FIG. 29;
  • FIG. 31 is a cross-sectional view along the line XXXI-XXXI of FIG. 29;
  • FIG. 32 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 33 is a cross-sectional view along the line XXXIII-XXXIII of FIG. 32;
  • FIG. 34 is a cross-sectional view along the line XXXIV-XXXIV of FIG. 32;
  • FIG. 35 is a cross-sectional view along the line XXXV-XXXV of FIG. 32;
  • FIG. 36 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 37 is a cross-sectional view along the line XXXVII-XXXVII of FIG. 36;
  • FIG. 38 is a cross-sectional view along the line XXXVIII-XXXVIII of FIG. 36;
  • FIG. 39 is a cross-sectional view along the line XXXIX-XXXIX of FIG. 36;
  • FIG. 40 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 41 is a cross-sectional view along the line XLI-XLI of FIG. 40;
  • FIG. 42 is a cross-sectional view along the line XLII-XLII of FIG. 40;
  • FIG. 43 is a cross-sectional view along the line XLIII-XLIII of FIG. 40;
  • FIG. 44 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 45 is a cross-sectional view along the line XLV-XLV of FIG. 44;
  • FIG. 46 is a cross-sectional view along the line XLVI-XLVI of FIG. 44;
  • FIG. 47 is a cross-sectional view along the line XLVII-XLVII of FIG. 44;
  • FIG. 48 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 49 is a cross-sectional view along the line XLIX-XLIX of FIG. 48;
  • FIG. 50 is a cross-sectional view along the line L-L of FIG. 48;
  • FIG. 51 is a cross-sectional view along the line LI-LI of FIG. 48;
  • FIG. 52 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 53 is a cross-sectional view along the line XLIX-XLIX of FIG. 52;
  • FIG. 54 is a cross-sectional view along the line L-L of FIG. 52;
  • FIG. 55 is a cross-sectional view along the line LI-LI of FIG. 52;
  • FIG. 56 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 57 is a cross-sectional view along the line LVII-LVII of FIG. 56;
  • FIG. 58 is a cross-sectional view along the line LVIII-LVIII of FIG. 56;
  • FIG. 59 is a plan view of the intermediate structure in a succeeding state of a manufacturing process of making the device of FIGS. 4-6;
  • FIG. 60 is a cross-sectional view along the line LX-LX of FIG. 59;
  • FIG. 61 is a cross-sectional view along the line LXI-LXI of FIG. 59;
  • FIG. 62 schematically depicts operational advantages arising from the use of semiconductor devices according to embodiments of the present invention;
  • FIG. 63 schematically depicts a corresponding lack of operational advantages arising from the use of conventional semiconductor devices;
  • FIG. 64 schematically depicts an energy band profile of semiconductor devices according to embodiments of the present invention;
  • FIG. 65 schematically depicts usage phenomena associated with the embodiment of FIGS. 1, 2 a and 3 a; and
  • FIG. 66 schematically depicts usage phenomena associated with the embodiment of FIGS. 4-6.
  • DETAILED DESCRIPTION
  • In FIGS. 1, 2 a and 3 a, a first embodiment of the present invention is a FinFET in which a series of silicon fins 24 has been formed integrally with an underlying bulk silicon substrate 10. Agate 22 extends across plural transistors as shown in FIG. 1, and is clad with a gate dielectric film 20. Source and drain regions of each transistor are designated 12 and 14, respectively.
  • A gate dielectric film 20 is positioned between the gate 22 and sidewall spacers 18, as shown in FIG. 2, and is also positioned between the gate electrode 22 and channel regions 26 as well as dielectric 16, as shown in FIGS. 2 and 3. The gate dielectric film 20 thus has a hollow, three-dimensional structure.
  • The upper part of Si fin 24 is clad with a layer of epitaxial silicon-germanium 26, as is best seen in FIGS. 2 and 3. As SiGe has a larger lattice constant than Si, the channel regions of the illustrated FinFET will be compressively strained. Although compressive strain is preferred for the devices according to the present invention, it is also within the scope of the invention to provide materials for the core and cladding of the fin such that the cladding material has a smaller lattice constant than the core, which results in a tensile strain for the channel regions.
  • The SiGe epitaxial layer is confined to the region beneath the gate electrode 22, by which is meant the region including the gate electrode 22 itself, as well as the surrounding gate dielectric film 20.
  • In FIGS. 2b and 3b , a structure like that of the preceding figures is shown, however, the bulk substrate has been replaced by a silicon-on-insulator or SOI substrate, including insulating layer 11.
  • In FIGS. 4-6, a further embodiment of the present invention is a FinFET in which a series of silicon fins 44 are separated from an underlying bulk silicon substrate 30 by layer 48 of refilled dielectric. The device of this embodiment is of the silicon-on-nothing or SON type, as will be described hereinafter. A gate 42 extends across plural transistors as shown in FIG. 4, and is clad with a gate dielectric film 40. Source and drain regions of each transistor are designated 32 and 34, respectively.
  • The gate dielectric film 40 is positioned between the gate 42 and sidewall spacers 38, as shown in FIG. 5, and is also positioned between the gate electrode 42 and channel regions 46 as well as dielectric 36, as shown in FIGS. 5 and 6. The gate dielectric film 40 thus has a hollow, three-dimensional structure.
  • The Si fins 44 are clad with a layer of epitaxial silicon-germanium 46, as is best seen in FIGS. 5 and 6. Again, as SiGe has a larger lattice constant than Si, the channel regions of the illustrated FinFET will be compressively strained. However, it is also again within the scope of the invention to provide materials for the core and cladding of the fin such that the cladding material has a smaller lattice constant than the core, which results in a tensile strain for the channel regions.
  • The SiGe epitaxial layer 46 is again confined to the region beneath the gate electrode 42, by which is meant the region including the gate electrode 42 itself, as well as the surrounding gate dielectric film 40.
  • In both of the above embodiments, the compressive strain is desirable as it promotes hole mobility in the channel region, as is known. However, in conventional devices utilizing strained channels for increased hole mobility, the lattice strain is substantially relaxed by the high temperature processing that occurs after the strain is created. The devices and methods of the present invention avoid that disadvantage, as will be better understood from the following explanation of preferred manufacturing techniques for the embodiments described above.
  • As shown in FIGS. 7-10, a device as described above in connection with FIGS. 1, 2 a and 3 a is advantageously made by a gate-last process, in which a dummy FinFET is made with fins 24 that are initially of Si only, and with a dummy gate 62 in place of the as yet unformed actual gate. The dummy gate 62 may for example be polysilicon, and the sidewall spacers 18 may for example be silicon nitride, although other materials may be selected according to the knowledge of those skilled in the art.
  • The process stage shown in FIGS. 7-10 will typically also include formation of an interlayer dielectric to cover the source and drain regions, followed by palanarization of the same, although this is not shown in the figures for ease of understanding.
  • Although this discussion focuses on the manufacture of a device according to FIGS. 1, 2 a and 3 a, it will be appreciated that the corresponding device formed on an SOI substrate as shown in FIGS. 2b and 3b would be made in the same way, with the exception that the bulk silicon substrate is replaced by an SOI substrate.
  • Next, as shown in FIGS. 11-13, the dummy gate 62 is removed by a conventional technique such as wet etching, which thereby exposes the silicon fins 24 between sidewall spacers 18. As can be seen in FIG. 13, successive fins 24 are separated from one another by dielectric layer 16, which layer 16 however does not extend upwardly the full height of fins 24.
  • The structure illustrated in FIGS. 11-13 is then subjected to further etching, for example by RIE, to recess the fins 24 somewhat. Thus, as shown in FIGS. 14 and 16, the fins 24 become narrower in the horizontal direction perpendicular to the source-drain direction, and also become shorter, as shown in FIG. 15. Although this recessing of the fins is preferred, it is not essential and may be omitted. It is also noted that this recessing may additional remove part of the fin structure underlying the sidewalls 18.
  • Then, as shown in FIGS. 17-19, an epitaxial layer of silicon-germanium 26 is formed on the fins 24. Because the sidewalls 18 previously formed by the gate-last process act as a mask, the SiGe film 26 is formed only in the regions that will eventually be covered by the gate dielectric layer and the gate itself. Additionally, if the fins 24 have been recessed as described in connection with FIGS. 14-16, then the SiGe film 26 may also extend slightly underneath the sidewalls 18. In forming the SiGe film 26, the formation conditions are preferably selected so that the film will have a Ge content of at least 20%.
  • Next, as shown in FIGS. 20-22, the gate dielectric layer 20 is formed so as to line the volume that will be filled by the gate. The sidewalls 18 again serve as a mask for deposition of the gate dielectric layer 20, which is preferably a high-k material. As can be seen in FIGS. 20-22, the gate dielectric layer 20 extends upwardly from the fins 24 along the sidewalls 18 (FIG. 21), and extends downwardly between adjacent fins (FIG. 22). The gate dielectric layer 20 therefore has a hollow, three-dimensional shape as a result of the gate-last process used.
  • The gate 22 is then formed, as shown in FIGS. 23-25.
  • As discussed above, SiGe intrinsically has larger lattice constant than Si; however, for an epitaxial layer of SiGe, the crystal lattice follows that of the template Si. Therefore, this SiGe layer 26 on Si fin 24 is compressively strained. The hole mobility in a compressively-strained SiGe channel is known to be higher than that in neutral Si. However, in conventional devices, the strain in an SiGe channel is relaxed during high-temperature processes, such that the hole mobility benefit is greatly reduced or lost altogether.
  • By contrast, in the devices and methods as described above, the high temperature processes (such as isolation dielectric densify anneal and source/drain activation anneal) are done prior to formation of the SiGe epitaxial layer, and thus the favorable compressive strain in the SiGe channel is preserved.
  • The methods for making devices as described above in connection with FIGS. 4-6 proceeds from a substrate as shown in FIGS. 26-28, including a bulk silicon substrate 30 that is separated from an upper thin silicon layer 35 by a sacrificial layer 33 of SiGe that will be removed during subsequent processing.
  • As shown in FIGS. 29-31, a dummy FinFET is formed similarly to that described in the preceding embodiment, with a dummy gate 82 for example of polysilicon being formed between the sidewall spacers 38. In these embodiments, the fin structures 44 are fully isolated from the bulk Si substrate 30 by the sacrificial SiGe layer 33. These fully-isolated fins 44 can be formed by known “silicon-on-nothing” (SON) processes based on elective etching of the sacrificial SiGe layer 33, as described for example Jurczak et. al., “Silicon-on-Nothing (SON)—an Innovative Process for Advanced CMOS”, IEEE Trans. Elec. Dev., vol. 47, no. 11 (November 2000).
  • In particular, the SiGe layer 33 and Si layer 35 are sequentially grown on the bulk-Si substrate 30 to produce the structure shown in FIGS. 26-28, followed by formation of the Si/SiGe/Si stacked fin structures 30, 33, 44 as shown in FIGS. 29-31. After formation of the dummy gate 82, the sacrificial SiGe layer 33 is removed by selective etching (for example HCl gas etching), to produce a structure as shown in FIGS. 32-35. Although the fins 44 at this stage lack subjacent support they are nevertheless supported from above by the dummy gate 82 and sidewall spacers 38, as shown in FIGS. 33 and 34. The void underlying fins 44 serves to isolate them fully from the bulk Si substrate 30.
  • Next, these voids are refilled with dielectric 48, as shown in FIGS. 36-39. Then, after formation of source and drain regions 32, 34 as shown in FIGS. 40-43, dummy gate 82 is removed as shown in FIGS. 44-47, similarly to the process described in connection with the preceding embodiments. FIGS. 48-51 illustrate the optional recessing of the Si fins 44, as also described in connection with the preceding embodiments.
  • The strained SiGe channel 46 is then formed, as illustrated in FIGS. 52-55 and as described in connection with the preceding embodiments. Next, a layer 40 of a preferably high-k material is deposited as a gate dielectric layer, as shown in FIGS. 56-58 and as also described in connection with the preceding embodiments. Lastly, the actual device gate 62 is deposited and planarized, as shown in FIGS. 59-61 and also as described in connection with the preceding embodiments.
  • By using fin structures in which both sides of a narrow fin body are covered by the gate electrode, the potential profile in the fin body is well controlled by the gate electrode. Consequently, off-state leakage current can be suppressed compared to a planar device. Still further, in devices according to certain preferred embodiments of the present invention, the fin body has a Si core and a SiGe cladding. As illustrated in FIGS. 62-64, there is a valence band energy offset between the Si core 24 and the SiGe cladding 26 (FIG. 64), such that on-state performance is determined by the cladding region while off-state leakage current is determined by the core region. Because of this valence band offset, the hole population in the core region is lower than for a fin made entirely of SiGe (FIGS. 62 and 63), and thus off-state leakage current can be suppressed.
  • If Ge is diffused into the core region, the compressive strain weakens, and at the same the time band offset between cladding and core gets smaller. This phenomenon results in a loss of off-state leakage suppression. However, in the preferred embodiments of the present invention, the high-temperature processes are performed prior to SiGe channel formation, and thus a relatively abrupt Ge profile is preserved and Ge diffusion into the Si core is minimized.
  • Furthermore, as illustrated in FIG. 65, when the FinFET is formed directly on a bulk Si substrate, there are several off-state leakage current paths, as indicated by the solid arrows in FIG. 65. In particular, there is a source/drain punch-through current below the fin region and also a drain/substrate junction leakage current.
  • By contrast, the silicon on nothing (SON) process provides full-isolation of fin structure from substrate. As shown in FIG. 66, these leakage paths are completely eliminated and off-state leakage current can be significantly reduced. However, since full-isolation of fin structure from substrate is achieved by selective etching of the sacrificial SiGe layer 33 beneath the Si fin, if the SiGe channel 46 is formed on fin structure prior to SiGe sacrificial film 33 selective etching step, the SiGe channel region 46 would also be etched.
  • Therefore full-isolation of fin structure and use of strained SiGe channel are not compatible on bulk-Si substrate. However, in preferred embodiments of the present invention, the SiGe channel is formed only after the fins have been fully isolated. Consequently, both high pFET performance by compressively-strained SiGe channel and low-leakage current by fully-isolated fin can be achieved simultaneously on bulk-Si substrate.
  • While the present invention has been described in connection with various preferred embodiments thereof, it is to be understood that those embodiments are provided merely to illustrate the invention, and should not be used as a pretext to limit the scope of protection conferred by the true scope and spirit of the appended claims.

Claims (19)

What is claimed is:
1. A semiconductor device, comprising:
a semiconductor substrate;
a protrusion part formed on the semiconductor substrate such that the protrusion part extends along a first direction;
a gate dielectric layer covering the protrusion part;
a gate electrode formed on the gate dielectric layer such that the gate electrode covers the protrusion part through the gate dielectric layer;
a source region formed next to a part covered with the gate electrode in the protrusion part; and
a drain region formed at a position opposed to the source region, the portion covered with the gate electrode sandwiched between the source region and the drain region in the protrusion part,
wherein the protrusion part comprising:
a first region composed of a first semiconductor material; and
a second region formed next to the first region, the second region composed of a second semiconductor material having a lattice constant different from a lattice constant of the first semiconductor material, and
wherein the second region is formed between the source region and the drain region in a first cross-sectional view through the source region, the drain region and the gate electrode.
2. The semiconductor device according to claim 1,
wherein the semiconductor substrate is comprised of the first semiconductor material, and
wherein the first region is formed integrally with the semiconductor substrate.
3. The semiconductor device according to claim 1, wherein the semiconductor substrate is a SOI substrate comprising a substrate, an insulating layer formed on the substrate and a semiconductor layer formed on the insulating layer.
4. The semiconductor device according to claim 3, wherein the source region, the drain region and the gate electrode are electrically separated from the substrate, respectively.
5. The semiconductor device according to claim 1, wherein the lattice constant of the second semiconductor material is greater than the lattice constant of the first semiconductor material.
6. The semiconductor device according to claim 5,
wherein the first semiconductor material comprises silicon, and
wherein the first semiconductor material comprises silicon and germanium.
7. The semiconductor device according to claim 1,
wherein the lattice constant of the second semiconductor material is smaller than the lattice constant of the first semiconductor material.
8. The semiconductor device according to claim 7,
wherein the first semiconductor material comprises silicon and germanium, and
wherein the first semiconductor material comprises silicon.
9. The semiconductor device according to claim 1, wherein the gate dielectric layer further covers a side surface of the gate electrode in the first cross-sectional view.
10. The semiconductor device according to claim 1, wherein the second region is epitaxial film.
11. The semiconductor device according to claim 1, wherein the second region covers the first region in a second cross-sectional view perpendicular to the first direction and through the gate electrode.
12. The semiconductor device according to claim 1, wherein the gate electrode extends along a second direction perpendicular to the first direction in plan view.
13. A method of manufacturing a semiconductor device, comprising;
forming an intermediate transistor; the intermediate transistor comprising;
a semiconductor substrate;
a core region formed on the semiconductor substrate such that the core region extends along a first direction, the core region comprised of a first semiconductor material;
a dummy gate covering the core region;
a source region formed next to a part covered with the dummy gate in the core region; and
a drain region formed at a position opposed to the source region, the portion covered with the gate electrode sandwiched between the source region and the drain region in the core region,
removing the dummy gate from the intermediate transistor to expose a part of the core region;
forming an epitaxial film on a part of the core region, the epitaxial film composed of a second semiconductor material having a lattice constant different from a lattice constant of the first semiconductor material;
forming a gate dielectric layer so as to cover the core region through the epitaxial film, and
forming a gate electrode so as to cover the core region through the gate dielectric layer.
14. The method according to claim 13, wherein the core region and the epitaxial film constitute a protrusion part protruding from the semiconductor substrate.
15. The method according to claim 13, wherein a step of the forming the intermediate transistor comprises a step of activating the source region and the drain region by heat treatment.
16. The method according to claim 13,
wherein the core region is formed on a sacrificial layer, and
wherein the method further comprising:
removing the sacrificial layer to make space positioned bellow the core region; and
burying a dielectric material in the space before the removing the sacrificial layer.
17. The method according to claim 13,
wherein the first semiconductor material comprises silicon, and
wherein the first semiconductor material comprises silicon and germanium.
18. The method according to claim 13,
wherein the first semiconductor material comprises silicon and germanium, and
wherein the first semiconductor material comprises silicon.
19. The method according to claim 13,
wherein the epitaxial film covers in a second cross-sectional view perpendicular to the first direction and through the gate electrode.
US16/008,590 2013-02-27 2018-06-14 Semiconductor device having compressively strained channel region and method of making same Abandoned US20180301552A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/008,590 US20180301552A1 (en) 2013-02-27 2018-06-14 Semiconductor device having compressively strained channel region and method of making same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361770173P 2013-02-27 2013-02-27
US14/188,835 US10069010B2 (en) 2013-02-27 2014-02-25 Semiconductor device having compressively strained channel region and method of making same
US16/008,590 US20180301552A1 (en) 2013-02-27 2018-06-14 Semiconductor device having compressively strained channel region and method of making same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/188,835 Continuation US10069010B2 (en) 2013-02-27 2014-02-25 Semiconductor device having compressively strained channel region and method of making same

Publications (1)

Publication Number Publication Date
US20180301552A1 true US20180301552A1 (en) 2018-10-18

Family

ID=51369669

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/188,835 Active US10069010B2 (en) 2013-02-27 2014-02-25 Semiconductor device having compressively strained channel region and method of making same
US16/008,590 Abandoned US20180301552A1 (en) 2013-02-27 2018-06-14 Semiconductor device having compressively strained channel region and method of making same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/188,835 Active US10069010B2 (en) 2013-02-27 2014-02-25 Semiconductor device having compressively strained channel region and method of making same

Country Status (3)

Country Link
US (2) US10069010B2 (en)
JP (2) JP6309299B2 (en)
CN (1) CN104009086B (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6309299B2 (en) * 2013-02-27 2018-04-11 ルネサスエレクトロニクス株式会社 Semiconductor device having compressive strain channel region and manufacturing method thereof
DE112013006645B4 (en) 2013-03-30 2020-12-03 Intel Corporation PLANAR DEVICE ON FIN-BASED TRANSISTOR ARCHITECTURE
US20150340468A1 (en) * 2014-05-21 2015-11-26 Globalfoundries Inc. Recessed channel fin device with raised source and drain regions
KR101611337B1 (en) * 2014-11-14 2016-04-12 울산과학기술원 Method of high-performance and low-power field-effect transistor fabrication without or minimizing surface roughness scattering
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US9373624B1 (en) 2015-06-11 2016-06-21 International Business Machines Corporation FinFET devices including epitaxially grown device isolation regions, and a method of manufacturing same
US9391065B1 (en) 2015-06-29 2016-07-12 Globalfoundries Inc. Electrostatic discharge and passive structures integrated in a vertical gate fin-type field effect diode
US9443953B1 (en) 2015-08-24 2016-09-13 International Business Machines Corporation Sacrificial silicon germanium channel for inversion oxide thickness scaling with mitigated work function roll-off and improved negative bias temperature instability
KR102463918B1 (en) * 2015-11-04 2022-11-08 에스케이하이닉스 주식회사 Semiconductor device and the method for fabricating of the same
US9761720B2 (en) 2015-11-30 2017-09-12 Globalfoundries Inc. Replacement body FinFET for improved junction profile with gate self-aligned junctions
US9818875B1 (en) 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors
WO2019005059A1 (en) * 2017-06-29 2019-01-03 Intel Corporation Sub-fin leakage control in semiconductor devices
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108010968B (en) * 2017-12-14 2020-08-28 南京溧水高新创业投资管理有限公司 Fin type field effect transistor and manufacturing method thereof
CN110047926B (en) * 2018-01-15 2023-08-29 联华电子股份有限公司 Semiconductor device and method for manufacturing the same
US11031291B2 (en) * 2018-11-28 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050263795A1 (en) * 2004-05-25 2005-12-01 Jeong-Dong Choi Semiconductor device having a channel layer and method of manufacturing the same
US20120025312A1 (en) * 2010-07-30 2012-02-02 Globalfoundries Inc. Strain Engineering in Three-Dimensional Transistors Based on a Strained Channel Semiconductor Material
US20130270628A1 (en) * 2012-04-13 2013-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement Channels
US20140145242A1 (en) * 2012-11-29 2014-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-Last FinFET and Methods of Forming Same
US10069010B2 (en) * 2013-02-27 2018-09-04 Renesas Electronics Corporation Semiconductor device having compressively strained channel region and method of making same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
JP2005051241A (en) * 2003-07-25 2005-02-24 Interuniv Micro Electronica Centrum Vzw Multilayer gate semiconductor device and manufacturing method therefor
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100604870B1 (en) * 2004-06-16 2006-07-31 삼성전자주식회사 Field effect transistor improvable junction abruptness and method for manufacturing the same
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
JP5434365B2 (en) * 2009-08-24 2014-03-05 ソニー株式会社 Semiconductor device and manufacturing method thereof
CN102117829B (en) * 2009-12-30 2012-11-21 中国科学院微电子研究所 Fin type transistor structure and manufacturing method thereof
US8513107B2 (en) * 2010-01-26 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement gate FinFET devices and methods for forming the same
CN102347234B (en) * 2010-07-29 2013-09-18 中国科学院微电子研究所 Structure of semiconductor device and manufacturing method thereof
GB2487113B (en) * 2010-08-04 2014-10-15 Inst Of Microelectronics Cas Method of forming strained semiconductor channel and semiconductor device
CN102347235B (en) * 2010-08-04 2014-02-12 中国科学院微电子研究所 Strain semiconductor channel formation method and semiconductor device
KR20120058962A (en) * 2010-11-30 2012-06-08 삼성전자주식회사 Fabricating method of semiconductor device
DE102011003232B4 (en) * 2011-01-27 2013-03-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A manufacturing method for large-sized metal gate electrode structures made by an exchange gate method based on improved flatness of dummy materials
KR101797961B1 (en) * 2011-06-09 2017-11-16 삼성전자주식회사 Method for fabricating semiconductor device
US8647937B2 (en) * 2012-06-26 2014-02-11 Globalfoundries Singapore Pte. Ltd. Deep depleted channel MOSFET with minimized dopant fluctuation and diffusion levels
US8847281B2 (en) * 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US9276087B2 (en) * 2013-05-10 2016-03-01 Samsung Electronics Co., Ltd. Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin
US9012276B2 (en) * 2013-07-05 2015-04-21 Gold Standard Simulations Ltd. Variation resistant MOSFETs with superior epitaxial properties

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050263795A1 (en) * 2004-05-25 2005-12-01 Jeong-Dong Choi Semiconductor device having a channel layer and method of manufacturing the same
US20120025312A1 (en) * 2010-07-30 2012-02-02 Globalfoundries Inc. Strain Engineering in Three-Dimensional Transistors Based on a Strained Channel Semiconductor Material
US20130270628A1 (en) * 2012-04-13 2013-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement Channels
US20140145242A1 (en) * 2012-11-29 2014-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-Last FinFET and Methods of Forming Same
US10069010B2 (en) * 2013-02-27 2018-09-04 Renesas Electronics Corporation Semiconductor device having compressively strained channel region and method of making same

Also Published As

Publication number Publication date
JP6535116B2 (en) 2019-06-26
CN104009086A (en) 2014-08-27
CN104009086B (en) 2019-12-13
US20140239399A1 (en) 2014-08-28
JP6309299B2 (en) 2018-04-11
JP2014165495A (en) 2014-09-08
JP2018113468A (en) 2018-07-19
US10069010B2 (en) 2018-09-04

Similar Documents

Publication Publication Date Title
US20180301552A1 (en) Semiconductor device having compressively strained channel region and method of making same
US8778766B2 (en) Field effect transistor, integrated circuit element, and method for manufacturing the same
US9893186B2 (en) Methods of fabricating semiconductor devices
US7265418B2 (en) Semiconductor devices having field effect transistors
US9252274B2 (en) Fin field effect transistors including multiple lattice constants and methods of fabricating the same
CN106340455B (en) Semiconductor element and manufacturing method thereof
US9362308B2 (en) Semiconductor device having finFET structures and method of making same
JP5464850B2 (en) Method for manufacturing a multi-gate semiconductor device having improved carrier mobility
KR100819643B1 (en) Semiconductor device and method of fabricating the same
US7390701B2 (en) Method of forming a digitalized semiconductor structure
US7700446B2 (en) Virtual body-contacted trigate
US9224840B2 (en) Replacement gate FinFET structures with high mobility channel
US7514325B2 (en) Fin-FET having GAA structure and methods of fabricating the same
US9318609B2 (en) Semiconductor device with epitaxial structure
KR20180123422A (en) Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
US20060076625A1 (en) Field effect transistors having a strained silicon channel and methods of fabricating same
CN106033769B (en) Nanowire structure and manufacturing method thereof
JP2009200471A5 (en)
CN105261645B (en) Semiconductor device and method for fabricating the same
KR102211638B1 (en) semiconductor device
CN204257647U (en) Semiconductor device
CN115714133A (en) Semiconductor structure and forming method thereof
KR20050037770A (en) Fin field effect transistors of semiconductor devices and method of the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: RENESAS ELECTRONICS CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NAGUMO, TOSHIHARU;REEL/FRAME:046143/0229

Effective date: 20140212

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION