US20160153085A1 - Substrate processing apparatus - Google Patents

Substrate processing apparatus Download PDF

Info

Publication number
US20160153085A1
US20160153085A1 US14/674,016 US201514674016A US2016153085A1 US 20160153085 A1 US20160153085 A1 US 20160153085A1 US 201514674016 A US201514674016 A US 201514674016A US 2016153085 A1 US2016153085 A1 US 2016153085A1
Authority
US
United States
Prior art keywords
gas
gas supply
process chamber
cleaning
mounting stand
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/674,016
Other languages
English (en)
Inventor
Tatsushi Ueda
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Assigned to HITACHI KOKUSAI ELECTRIC INC. reassignment HITACHI KOKUSAI ELECTRIC INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UEDA, TATSUSHI
Publication of US20160153085A1 publication Critical patent/US20160153085A1/en
Priority to US15/591,806 priority Critical patent/US10546761B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Definitions

  • the present disclosure relates to a substrate processing apparatus.
  • a substrate processing apparatus for performing processes such as a film forming process on a substrate, e.g., a wafer, is used in a semiconductor device manufacturing process.
  • a film forming process using an alternate supply method a film is formed on a processing target substrate by repeating a predetermined number of cycles (n cycles), each cycle including a precursor gas supply step, a purge step, a reaction gas supply step and a purge step for the processing target substrate.
  • the substrate processing apparatus for performing the film forming process there is available a substrate processing apparatus configured to supply various kinds of gases (a precursor gas, a reaction gas, a purge gas, etc.) onto a surface of the processing target substrate from an upper side thereof and to exhaust the various kinds of gases supplied onto the surface of the processing target substrate toward the upper side of the substrate.
  • gases a precursor gas, a reaction gas, a purge gas, etc.
  • the type of substrate processing apparatus includes a substrate mounting stand having a substrate mounting surface which supports a plurality of substrates along a circumferential direction, and a gas supplier installed at a position to face the substrate mounting surface.
  • the gas supplier has a structure in which gases are alternately supplied with respect to the rotation direction of the substrate mounting stand. In the film forming process, films are formed on the substrates as the substrate mounting stand rotates at a lower side of the gas supplier.
  • a cleaning process is periodically performed in the film forming apparatus.
  • a cleaning gas in a plasma state may be supplied into a process chamber.
  • the specified space may be, e.g., a space positioned below gas supply holes. If the cleaning gas is supplied in this structure, the cleaning gas is hardly diffused to spaces other than the specified space. Therefore, the cleaning process is performed unevenly on the substrate mounting stand. If the cleaning process is performed unevenly, over-etching by the cleaning gas may be incurred or a cleaning target is not sufficiently cleaned.
  • the present disclosure provides some embodiments of a substrate processing apparatus, a semiconductor device manufacturing method and a program, which are capable of realizing an even and uniform cleaning.
  • the substrate processing apparatus includes a process chamber configured to process substrates; a substrate mounting stand installed in the process chamber and configured to support the substrates along a circumferential direction; a rotating unit configured to rotate the substrate mounting stand; a first gas supplier configured to supply a first gas from above the substrate mounting stand; a second gas supplier configured to supply a second gas from above the substrate mounting stand; a third gas supplier configured to supply a cleaning gas from above the substrate mounting stand; and an elevator configured to maintain the substrate mounting stand at a substrate processing position while supplying the first gas and the second gas and also configured to maintain the substrate mounting stand at a cleaning position while supplying the cleaning gas.
  • FIG. 1 is a horizontal sectional schematic view of a cluster-type substrate processing apparatus according to a first embodiment of the present disclosure.
  • FIG. 2 is a vertical sectional schematic view of the cluster-type substrate processing apparatus according to the first embodiment of the present disclosure.
  • FIG. 3 is a vertical sectional schematic view of a process chamber employed in the substrate processing apparatus according to the first embodiment of the present disclosure.
  • FIG. 4 is a horizontal sectional schematic view of the process chamber employed in the substrate processing apparatus according to the first embodiment of the present disclosure, which is taken along line B-B′ in the process chamber shown in FIG. 3 .
  • FIG. 5 is a horizontal sectional schematic view of the process chamber employed in the substrate processing apparatus according to the first embodiment of the present disclosure, which is taken along line C-C′ in the process chamber shown in FIG. 3 .
  • FIG. 6 is a vertical sectional schematic view of the process chamber employed in the substrate processing apparatus according to the first embodiment of the present disclosure, which is taken along line D-D′ in the process chamber shown in FIG. 4 .
  • FIGS. 7A, 7B and 7C are explanatory views of gas suppliers according to the first embodiment of the present disclosure.
  • FIG. 8 is an explanatory view of a gas exhauster according to the first embodiment of the present disclosure.
  • FIG. 9 is a flowchart showing a substrate processing process according to the first embodiment of the present disclosure.
  • FIG. 10 is a flowchart showing a film forming process according to the first embodiment of the present disclosure.
  • FIG. 11 is a flowchart explaining movement of wafers in the film forming process according to the first embodiment of the present disclosure.
  • FIG. 12 is an explanatory view explaining a flow of a cleaning gas according to the first embodiment of the present disclosure.
  • FIG. 13 is a vertical sectional schematic view of a process chamber employed in a substrate processing apparatus according to a second embodiment of the present disclosure.
  • FIG. 14 is a horizontal sectional schematic view of the process chamber employed in the substrate processing apparatus according to the second embodiment of the present disclosure, which is taken along line B-B′ in the process chamber shown in FIG. 13 .
  • FIG. 15 is a vertical sectional schematic view of a process chamber employed in a substrate processing apparatus according to a third embodiment of the present disclosure.
  • FIG. 16 is a vertical sectional schematic view of a process chamber employed in a substrate processing apparatus according to a fourth embodiment of the present disclosure.
  • FIG. 17 is a horizontal sectional schematic view of the process chamber employed in the substrate processing apparatus according to the fourth embodiment of the present disclosure, which is taken along line B-B′ in the process chamber shown in FIG. 16 .
  • FIGS. 18A, 18B, 18C and 18D are explanatory views explaining gas suppliers according to the fourth embodiment of the present disclosure.
  • FIG. 1 is a horizontal sectional view of the cluster-type substrate processing apparatus 10 according to the present embodiment.
  • FIG. 2 is a vertical sectional schematic view of the cluster-type substrate processing apparatus 10 according to the present embodiment.
  • a FOUP Front Opening Unified Pod, which will be hereinafter referred to as “pod” 100 is used as a carrier for transferring wafers 200 as substrates.
  • a transfer device of the cluster-type substrate processing apparatus 10 according to the present embodiment includes a vacuum side and an atmosphere side.
  • the front, rear, left and right sides are defined on the basis of the indications provided in FIG. 1 .
  • the direction of X 1 is the right side
  • the direction of X 2 is the left side
  • the direction of Y 1 is the front side
  • the direction of Y 2 is the rear side.
  • the substrate processing apparatus 10 includes a first transfer chamber 103 capable of resisting a pressure (negative pressure) lower than an atmospheric pressure, such as a vacuum state or the like.
  • a housing 101 of the first transfer chamber 103 has a box shape that is, e.g., a pentagonal shape, when viewed from a plane, with its upper and lower ends closed.
  • the term “viewed from a plane” used herein means that the substrate processing apparatus 100 is viewed from a vertical upper side toward a vertical lower side.
  • first wafer transfer machine 112 that is configured to simultaneously transfer two sheets of wafers 200 under the negative pressure.
  • the first wafer transfer machine 112 may be configured to transfer one sheet of the wafer 200 .
  • the first wafer transfer machine 112 is configured to be elevated by a first wafer transfer machine elevator 115 while maintaining the airtightness of the first transfer chamber 103 .
  • Pre-chambers (load lock chambers) 122 and 123 are connected via gate valves 126 and 127 to a front sidewall of five sidewalls of the housing 101 .
  • the pre-chambers 122 and 123 are configured to be used in combination for carrying-in and carrying-out the wafers 200 to/from the pre-chambers 122 and 123 and to resist the negative pressure.
  • two sheets of the wafers 200 may be stacked by a substrate support 140 .
  • a partitioning plate (intermediate plate) 141 between the wafers 200 is installed in each of the pre-chambers 122 and 123 .
  • a first process module 202 a , a second process module 202 b , a third process module 202 c and a fourth process module 202 d in which desired processes are performed on the substrates, are respectively connected through gate valves 150 , 151 , 152 and 153 to four rear sidewalls of the five sidewalls of the housing 101 of the first transfer chamber 103 in a mutually adjoining relationship and arranged adjacent to each other.
  • the first process module 202 a , the second process module 202 b , the third process module 202 c and the fourth process module 202 d will be described later in detail.
  • a second transfer chamber 121 in which the wafers 200 are transferred under a vacuum pressure and an atmospheric pressure is connected through gate valves 128 and 129 to the front sides of the pre-chambers 122 and 123 .
  • a second substrate transfer machine 124 for transferring the wafers 200 is installed in the second transfer chamber 121 .
  • the second substrate transfer machine 124 is configured to be elevated by a second substrate transfer machine elevator 131 installed within the second transfer chamber 121 and to be enabled to reciprocate in a left-right direction by a linear actuator 132 .
  • a notch aligning device 106 is installed on the left side of the second transfer chamber 121 .
  • the notch aligning device 106 may be an orientation flat aligning device.
  • a clean unit 118 for supplying a clean air is installed at the top of the second transfer chamber 121 .
  • Substrate carrying-in/out gates 134 for carrying the wafers 200 into/out of the second transfer chamber 121 , and pod openers 108 are installed in the front side of a housing 125 of the second transfer chamber 121 .
  • a load port (JO stage) 105 is installed in the opposite side of the pod openers 108 , that is, in the outside of the housing 125 , with the substrate carrying-in/out gates 134 interposed therebetween.
  • Each of the pod openers 108 includes a closure 142 that is capable of opening/closing a cap 100 a of a pod 100 and blocking the substrate carry-in/out gates 134 , and a drive mechanism 136 for driving the closure 142 .
  • the pod 100 may be supplied in and discharged from the load port 105 by an intra-process transfer device (e.g., an OHT) not shown in the drawings.
  • an intra-process transfer device e.g., an OHT
  • FIG. 3 is a vertical sectional schematic view of the process chamber employed in the substrate processing apparatus 10 according to the present embodiment, which is taken along line A-A′ in FIGS. 4 and 5 .
  • FIG. 4 is a horizontal sectional schematic view of the process chamber employed in the substrate processing apparatus 10 according to the present embodiment, which is taken along line B-B′ in the process chamber shown in FIG. 3 .
  • FIG. 5 is a horizontal sectional schematic view of the process chamber employed in the substrate processing apparatus 10 according to the present embodiment, which is taken along line C-C′ in the process chamber shown in FIG. 3 .
  • FIG. 6 is a sectional view taken along line D-D′ in FIG. 4 .
  • FIGS. 7A, 7B and 7C are explanatory views explaining gas suppliers.
  • FIG. 8 is an explanatory view explaining a gas exhauster.
  • a gas supply structure 261 a is shown to have an exhaust hole 272 a connected to an exhaust pipe 292 .
  • the present disclosure is not limited thereto. Exhaust holes of other gas supply structures are also connected to the exhaust pipe 292 .
  • a gas supply hole 242 a and a gas exhaust hole 251 a are formed in a gas supply structure 241 a .
  • the present disclosure is not limited thereto.
  • a gas supply hole 242 b and a gas exhaust hole 251 b are also formed in a gas supply structure 241 b having the same configuration as the gas supply structure 241 a .
  • a gas supply hole 242 c and a gas exhaust hole 251 c are formed in a gas supply structure 241 c.
  • a gas supply hole 262 a and a gas exhaust hole 272 a are formed in a gas supply structure 261 a .
  • the present disclosure is not limited thereto.
  • a gas supply hole 262 b and a gas exhaust hole 272 b are also formed in a gas supply structure 261 b having the same configuration as the gas supply structure 261 a .
  • a gas supply hole 262 c and a gas exhaust hole 272 c are formed in a gas supply structure 261 c.
  • a gas supply hole 282 a is formed in a gas supply structure 281 a .
  • the present disclosure is not limited thereto.
  • a gas supply hole 282 b is also formed in a gas supply structure 281 b having the same configuration as the gas supply structure 281 a . The same is also applied to gas supply structures 281 b to 281 f.
  • the first process module 202 a , the second process module 202 b , the third process module 202 c and the fourth process module 202 d are similarly configured.
  • the first process module 202 a , the second process module 202 b , the third process module 202 c and the fourth process module 202 d will be generically referred to as the “process module 202 ”.
  • the process module 202 as a process furnace is provided with a cylindrical sealed reaction container 203 .
  • the reaction container 203 is provided with a process chamber 201 for processing the wafers 200 .
  • the gas supply structure 241 for supplying the first gas
  • the gas supply structure 261 for supplying the second gas
  • the gas supply structure 281 for supplying the inert gas.
  • the gas supply structure 241 , the gas supply structure 281 , the gas supply structure 261 and the gas supply structure 281 are alternately arranged along the rotational direction R of a susceptor (substrate mounting stand) 220 (i.e., along the circumferential direction) which will be described.
  • the gas supply structure 241 , the gas supply structure 241 a , the gas supply structure 241 b and the gas supply structure 241 c are sequentially disposed along the circumferential direction.
  • the gas supply structure 261 a , the gas supply structure 261 b and the gas supply structure 261 c are sequentially disposed along the circumferential direction.
  • the gas supply structures 281 the gas supply structure 281 a , the gas supply structure 281 b , the gas supply structure 281 c , the gas supply structure 281 d , the gas supply structure 281 e , and the gas supply structure 281 f are sequentially disposed along the circumferential direction.
  • a first gas supply hole 242 for supplying a first gas is installed in each of the gas supply structures 241 .
  • an exhaust hole 251 is installed in the horizontal-direction outer periphery of the first gas supply hole 242 .
  • a second gas supply hole 262 for supplying a second gas is installed in each of the gas supply structures 261 .
  • an exhaust hole 272 is installed in the horizontal-direction outer periphery of the second gas supply hole 262 .
  • an inert gas supply hole 282 for supplying an inert gas is installed in each of the gas supply structures 281 .
  • the exhaust hole 251 , the first gas supply hole 242 , the exhaust hole 251 , the inert gas supply hole 282 , the exhaust hole 272 , the second gas supply hole 262 , the exhaust hole 272 and the inert gas supply hole 282 are disposed in this order.
  • the lower ends of the respective gas supply holes are disposed as closely to the susceptor 220 as possible so as not to interfere with the wafers 200 . This makes it possible to increase the exposure amount of gases to the wafers 200 , thereby realizing film thickness uniformity of the films formed on the wafers and an increase of use efficiency of gases.
  • a pressure may be increased.
  • the area of a bottom wall of a gas supply structure may be allowed to be large to prevent the gases from escaping.
  • a susceptor 220 as a rotatable substrate mounting stand is installed at the lower side of the gas supply holes, namely at the bottom-side center of the interior of the reaction container 203 .
  • the susceptor 220 has its center of rotation at the center of the reaction container 203 .
  • the susceptor 220 is made of a nonmetallic material such as, e.g., aluminum nitride (AlN), ceramics or quartz, so as to reduce metal contamination of the wafers 200 .
  • AlN aluminum nitride
  • the susceptor 220 is electrically insulated from the reaction container 203 .
  • the susceptor 220 is configured to support a plurality of (e.g., five, in the present embodiment) wafers 200 arranged on the same plane along the same circumference within the reaction container 203 .
  • the term “same plane” used herein is not limited to the completely same plane.
  • the plurality of wafers 200 are allowed to be arranged in a non-overlapping manner when viewed from above the susceptor 220 .
  • the susceptor 220 is configured to allow the wafers 200 to be arranged side by side along the rotational direction.
  • Wafer mounting members 221 are installed at supporting positions of the wafers 200 on the surface of the susceptor 220 .
  • the same number of wafer mounting members 221 as the number of wafers 200 to be processed are arranged at the positions on the same circumference from the center of the susceptor 220 with an equal interval (e.g., at an interval of 72 degrees).
  • Each of the wafer mounting members 221 has, e.g., a circular shape when viewed from the upper surface of the susceptor 220 and has, e.g., a concave shape when viewed from the side surface of the susceptor 220 .
  • the diameter of each wafer mounting member 221 may be slightly larger than that of the wafers 200 . Mounting the wafer 200 in the wafer mounting member 221 facilitates positioning of the wafer 200 and can prevent any dislocation of the wafer 200 which may occur, for example, when the wafer 200 is dislocated from the susceptor 217 due to a centrifugal force caused by the rotation of the susceptor 217 .
  • the susceptor 220 is provided with an elevating instrument 222 to elevate the susceptor 220 up and down.
  • the elevating instrument 222 is connected to a controller 300 that will be described later and elevate the susceptor 220 up and down according to instructions from the controller 300 .
  • the controller 300 controls the susceptor 220 to change its position among three positions, namely a substrate processing position, a cleaning position and a wafer transfer position so that the relative distance between the respective gas supply holes and the susceptor is changed.
  • Each of the wafer mounting members 221 of the susceptor 220 is provided with a plurality of through-holes 223 .
  • Wafer lift pins 224 are installed in the respective through-holes 223 .
  • the susceptor 220 In a substrate loading/unloading, the susceptor 220 is descended to a transfer position so that the lower ends of the wafer lift pins 224 are in contact with the bottom surface of the reaction container 203 .
  • the wafer lift pins 224 are pushed upward to a position higher than the surfaces of the wafer mounting members 221 . In this way, the wafers 200 are raised from the surfaces of the wafer mounting members 221 to be loaded/unloaded.
  • a rotating mechanism 225 for rotating the susceptor 220 is installed in the shaft of the susceptor 220 .
  • the rotating mechanism 225 has a rotary shaft connected to the susceptor 220 . It is possible to rotate the susceptor 220 by operating the rotating mechanism 225 .
  • the wafer mounting members 221 are configured to simultaneously rotate as the susceptor 220 is rotated.
  • the controller 300 to be described later is connected to the rotating mechanism 225 through a coupling unit 226 .
  • the coupling unit 226 is formed as, e.g., a slip ring mechanism to electrically interconnect a rotating side and a fixed side using a metal brush or the like. Thus, the rotation of the susceptor 220 is not disturbed.
  • the controller 300 is configured to control a state of electrical conduction to the rotating mechanism 225 so as to rotate the susceptor 220 at a predetermined speed for a predetermined time.
  • a heater 228 as a heater is unitarily embedded in the susceptor 220 to heat the wafers 200 .
  • the surfaces of the wafers 200 are heated to a predetermined temperature (e.g., room temperature to 1,000 degrees C.).
  • a plurality of (e.g., five) heaters 228 may be installed on the same plane to independently heat the respective wafers 200 mounted on the susceptor 220 .
  • a temperature sensor 227 is installed in the susceptor 220 .
  • the heater 228 and the temperature sensor 227 are electrically connected to a power adjuster 230 , a heater power source 231 and a temperature regulator 232 through a power supply line 229 .
  • a state of the power supply to the heater 228 is controlled based on the temperature information detected by the temperature sensor 227 .
  • the gas supply structure 241 , the gas supply structure 261 and the gas supply structure 281 are installed to have a radial pattern when viewed from a center of a ceiling portion.
  • the gas supply structure 241 , the gas supply structure 261 and the gas supply structure 281 are configured to protrude from the ceiling, when viewed from the ceiling toward the susceptor 220 .
  • the gas supply structure 241 , the gas supply structure 261 and the gas supply structure 281 will be referred to as convex members.
  • the gas supply structure 241 has the first gas supply hole 242 for supplying a first gas and the exhaust hole 251 formed in the horizontal-direction outer periphery of the first gas supply hole 242 .
  • the gas supply structure 261 has the second gas supply hole 262 for supplying a second gas and the exhaust hole 272 formed in the horizontal-direction outer periphery of the second gas supply hole 262 .
  • the gas supply structure 281 has the inert gas supply hole 282 for supplying an inert gas.
  • the gas supply structure 241 , the gas supply structure 281 and the gas supply structure 261 are installed along the circumferential direction in this order. Accordingly, along the circumferential direction, the exhaust hole 251 , the first gas supply hole 242 , the exhaust hole 251 , the inert gas supply hole 282 , the exhaust hole 272 , the second gas supply hole 262 , the exhaust hole 272 and the inert gas supply hole 282 are disposed in this order.
  • the first gas supply hole 242 , the second gas supply hole 262 and the inert gas supply hole 282 have a slit structure extending in a radial direction of the susceptor 220 .
  • the width of each gas supply hole in the suceptor diameter direction is set at least larger than the diameter of the wafers 200 so that the gases can be supplied to the entire surfaces of the wafers 200 passing through the lower side of the respective gas supply holes.
  • the exhaust hole 251 is formed to horizontally surround the first gas supply hole 242 .
  • the exhaust hole 251 is configured to exhaust the first gas failing to adhere to the surfaces of the wafers 200 and the susceptor 220 and the inert gas supplied from the inert gas supply hole 282 adjoining the exhaust hole 251 . With this configuration, the first gas can be prevented from being mixed with the second gas supplied to the adjoining space.
  • the exhaust hole 251 is formed not only between the first gas supply hole 242 and the inert gas supply holes 282 adjoining thereto but also, e.g., at the center side of the process chamber and at the outer periphery side of the process chamber with respect to the gas supply hole.
  • the exhaust hole 251 By forming the exhaust hole 251 at the center side of the process chamber, it is possible to prevent a large amount of gas from flowing toward the center of the process chamber or adjoining gas supply regions through the center of the process chamber.
  • the portion of the exhaust hole 251 existing at the center side of the process chamber will be often referred to as “inner peripheral gas movement restraint portion”.
  • the exhaust hole 251 By forming the exhaust hole 251 at the outer periphery side of the process chamber, it is possible to prevent a large amount of gas from flowing toward the wall of the process chamber.
  • the portion of the exhaust hole 251 existing at the outer periphery side of the process chamber will be often referred to as “outer peripheral gas movement restraint portion”.
  • the exhaust hole 272 is formed to horizontally surround the second gas supply hole 262 .
  • the exhaust hole 272 is configured to exhaust the second gas failing to adhere to the surfaces of the wafers 200 and the susceptor 220 and the inert gas supplied from the inert gas supply hole 282 adjoining the exhaust hole 272 . With this configuration, the second gas can be prevented from being mixed with the first gas supplied to the adjoining space.
  • the exhaust hole 272 is formed not only between the second gas supply hole 262 and the inert gas supply holes 282 adjoining thereto but also, e.g., at the center side of the process chamber and at the outer periphery side of the process chamber with respect to the gas supply hole.
  • the exhaust hole 272 By forming the exhaust hole 272 at the center side of the process chamber, it is possible to prevent a large amount of gas from flowing toward the center of the process chamber or the adjoining gas supply regions through the center of the process chamber.
  • the portion of the exhaust hole 272 existing at the center side of the process chamber will be often referred to as “inner peripheral gas movement restraint portion”.
  • the exhaust hole 272 By forming the exhaust hole 272 at the outer periphery side of the process chamber, it is possible to prevent a large amount of gas from flowing toward the wall of the process chamber.
  • the portion of the exhaust hole 272 existing at the outer periphery side of the process chamber will be often referred to as “outer peripheral gas movement restraint portion”.
  • the inner peripheral gas movement restraint portion of the exhaust hole 251 and the inner peripheral gas movement restraint portion of the exhaust hole 272 may be collectively referred to as the “inner peripheral gas movement restraint portion”.
  • the outer peripheral gas movement restraint portion of the exhaust hole 251 and the outer peripheral gas movement restraint portion of the exhaust hole 272 may be collectively referred to as the “outer peripheral gas movement restraint portion”.
  • the gas supply structure 241 , the gas supply structure 281 and the gas supply structure 261 are disposed as shown in FIG. 6 . That is to say, the exhaust hole 251 of the gas supply structure 241 , the first gas supply hole 242 , the exhaust hole 251 of the gas supply structure 241 , the inert gas supply hole 281 , the exhaust hole 272 of the gas supply structure 261 , the second gas supply hole 262 , the exhaust hole 272 of the gas supply structure 261 and the inert gas supply hole 282 are disposed in this order along the circumferential direction.
  • a first gas supply pipe 243 is divided into a plurality of gas supply pipes at the downstream side of a distribution portion (not shown). The divided gas supply pipes are respectively connected to the gas supply structures 241 a to 241 c .
  • a first gas source 244 is connected to the upstream end of the first gas supply pipe 243 .
  • a mass flow controller (MFC) 245 as a flow rate controller (flow rate control unit) and an on/off valve 246 are installed between the first gas source 244 and the distribution portion in this order from the upstream side.
  • MFC mass flow controller
  • first-element-containing gas a gas containing a first element
  • the first-element-containing gas is a precursor gas, namely one of process gases.
  • the first element is, e.g., titanium (Ti).
  • the first-element-containing gas is, e.g., a titanium-containing gas.
  • the first-element-containing gas may be any one of solid, liquid and gas under the room temperature and the atmospheric pressure. If the first-element-containing gas is in a liquid phase under the room temperature and the atmospheric pressure, a vaporizer (not shown) may be interposed between the first gas source 244 and the mass flow controller 245 . A description below will be made here under the assumption that the first-element-containing gas is a gas.
  • a downstream end of a first inert gas supply pipe 247 is connected to the first gas supply pipe 243 at the downstream side of the valve 246 .
  • An inert gas source 248 , a mass flow controller (MFC) 249 as a flow rate controller (flow rate control unit) and an on/off valve 250 are installed in the first inert gas supply pipe 247 in this order from the upstream side.
  • MFC mass flow controller
  • the inert gas is, e.g., a nitrogen (N 2 ) gas.
  • N 2 nitrogen
  • the inert gas it may be possible to use not only the N 2 gas but also a rare gas such as, a helium (He) gas, a neon (Ne) gas or an argon (Ar) gas.
  • the gas supply structure 241 , the gas supply hole 242 , the first gas supply pipe 243 , the MFC 245 and the valve 246 will be collectively referred to as a “first gas supplier 240 ”.
  • a first inert gas supplier is mainly constituted by the first inert gas supply pipe 247 , the mass flow controller 249 and the valve 250 . Furthermore, the inert gas source 248 and the first gas supply pipe 243 may be included in the first inert gas supplier. Moreover, any one of the first gas source 244 , the first inert gas supplier and the gas exhaust hole 251 or the combination thereof may be included in the first gas supplier.
  • the gas supply structure 241 including three gas supply structures 241 a to 241 c .
  • the present disclosure is not limited thereto. It may be possible to use four or more gas supply structures.
  • a second gas supply pipe 263 is divided into a plurality of gas supply pipes at the downstream side of a distribution portion (not shown). The divided gas supply pipes are respectively connected to the gas supply structures 261 a to 261 c .
  • a second gas source 264 is connected to the upstream end of the second gas supply pipe 263 .
  • a mass flow controller (MFC) 265 as a flow rate controller (flow rate control unit) and an on/off valve 266 are installed between the second gas source 264 and the distribution portion in this order from the upstream side.
  • MFC mass flow controller
  • second-element-containing gas a gas containing a second element (hereinafter referred to as “second-element-containing gas” or “second gas”) is supplied to the gas supply structure 261 through the mass flow controller 265 and the valve 266 .
  • the second-element-containing gas is a reaction gas, namely one of process gases.
  • the second element is, e.g., nitrogen (N).
  • the second-element-containing gas is, e.g., a nitrogen-containing gas.
  • a downstream end of a second inert gas supply pipe 267 is connected to the second gas supply pipe 263 at the downstream side of the valve 266 .
  • An inert gas source 268 , a mass flow controller (MFC) 269 as a flow rate controller (flow rate control unit) and an on/off valve 270 are installed in the second inert gas supply pipe 267 in this order from the upstream side.
  • MFC mass flow controller
  • a remote plasma generator 271 is installed between the distribution portion of the second gas supply pipe 263 and the downstream end of the second inert gas supply pipe 267 .
  • the remote plasma generator 271 is configured to excite the gases passing therethrough into a plasma state.
  • the remote plasma generator 271 excites the second-element-containing gas into a plasma state.
  • the inert gas is, e.g., a nitrogen (N 2 ) gas.
  • N 2 nitrogen
  • the inert gas it may be possible to use not only the N 2 gas but also a rare gas such as, a helium (He) gas, a neon (Ne) gas or an argon (Ar) gas.
  • the gas supply structure 261 , the gas supply hole 262 , the second gas supply pipe 263 , the MFC 265 and the on/off valve 266 will be collectively referred to as a “second gas supplier 260 ”.
  • a second inert gas supplier is mainly constituted by the second inert gas supply pipe 267 , the mass flow controller 269 and the valve 270 . Furthermore, the inert gas source 268 and the second gas supply pipe 263 may be included in the second inert gas supplier. Moreover, any one of the second gas source 264 , the second inert gas supplier, the remote plasma generator 271 and the exhaust hole 272 or the combination thereof may be included in the second gas supplier.
  • the gas supply structure 261 including three gas supply structures 261 a to 261 c .
  • the present disclosure is not limited thereto. It may be possible to use four or more gas supply structures.
  • a third inert gas supply pipe 283 is divided into a plurality of gas supply pipes at the downstream side of a distribution portion (not shown). The divided gas supply pipes are respectively connected to the third gas supply structures 281 a to 281 f .
  • An inert gas source 284 is connected to the upstream end of the third inert gas supply pipe 283 .
  • a mass flow controller (MFC) 285 as a flow rate controller (flow rate control unit) and an on/off valve 286 are installed between the inert gas source 284 and the distribution portion in this order from the upstream side.
  • MFC mass flow controller
  • an inert gas is supplied to the gas supply structure 281 through the mass flow controller 285 and the valve 286 .
  • the inert gas is, e.g., a nitrogen (N 2 ) gas.
  • N 2 nitrogen
  • the inert gas it may be possible to use not only the N 2 gas but also a rare gas such as, a helium (He) gas, a neon (Ne) gas or an argon (Ar) gas.
  • a downstream end of a cleaning gas supply pipe 333 is connected to the third inert gas supply pipe 283 at the downstream side of the valve 286 .
  • a cleaning gas source 334 , a mass flow controller (MFC) 335 as a flow rate controller (flow rate control unit) and an on/off valve 336 are installed in the cleaning gas supply pipe 333 in this order from the upstream side.
  • MFC mass flow controller
  • a remote plasma generator 337 is installed between the distribution portion of the third inert gas supply pipe 283 and the downstream end of the cleaning gas supply pipe 333 .
  • the remote plasma generator 337 is configured to excite the gases passing therethrough into a plasma state.
  • the remote plasma generator 337 operates to excite the cleaning gas into a plasma state.
  • the cleaning gas is supplied to the process chamber 201 through the mass flow controller 335 and the valve 336 in the cleaning gas supply pipe 333 , the remote plasma generator 337 and the third inert gas supply pipe 283 .
  • the cleaning gas supplied from the cleaning gas source 334 acts as a cleaning gas for removing byproducts adhering to the susceptor 220 and the process chamber wall.
  • the cleaning gas is, e.g., a nitrogen trifluoride (NF 3 ) gas.
  • NF 3 nitrogen trifluoride
  • the cleaning gas it may be possible to use, e.g., a hydrogen fluoride (HF) gas, a chlorine trifluoride (ClF 3 ) gas and a fluorine (F 2 ) gas, either independently or in combination.
  • HF hydrogen fluoride
  • ClF 3 chlorine trifluoride
  • F 2 fluorine
  • the gas supply structure 281 , the gas supply hole 282 , the third inert gas supply pipe 283 , the MFC 285 and the on/off valve 286 will be collectively referred to as a “third gas supplier (or inert gas supplier)”.
  • the inert gas source 284 may be included in the third gas supplier.
  • a cleaning gas supplier is mainly constituted by the cleaning gas supply pipe 333 , the mass flow controller 335 and the valve 336 .
  • the cleaning gas source 334 , the remote plasma generator 337 and the third inert gas supply pipe 283 may be included in the cleaning gas supplier.
  • the cleaning gas supplier may be included in the third gas supplier.
  • the gas supply structure 281 includes six gas supply structures 281 a to 281 f .
  • the present disclosure is not limited thereto. It may be possible to use seven or more gas supply structures.
  • the cleaning gas supply pipe 333 is shown to be connected to the third inert gas supply pipe 283 , the present disclosure is not limited thereto.
  • the cleaning gas supply pipe 333 may be connected to the gas supply structure 281 .
  • the remote plasma generator 337 is installed in the cleaning gas supply pipe 333 .
  • the exhaust holes 251 and the exhaust holes 272 formed in the respective gas supply structures are merged in a merging portion (not shown) of the exhaust pipe 292 .
  • an on/off valve 293 On the downstream side from the merging portion in the exhaust pipe 292 , an APC (Auto Pressure Controller) valve 294 as a pressure regulator (pressure regulating unit) and a pump 295 are disposed in this order from the upstream side.
  • the internal pressure of the process chamber 201 can reach a predetermined pressure (degree of vacuum) through a vacuum-exhaust.
  • the APC valve 294 is an on/off valve that facilitates or stops the vacuum-exhaust in the process chamber 201 by opening/closing the valve and further facilitates pressure regulation by regulating the degree of valve opening.
  • An exhauster is mainly configured by the exhaust hole 251 , the exhaust hole 272 , the exhaust pipe 292 , the valve 293 and the APC valve 294 .
  • a pressure sensor and a vacuum pump may be included in the exhauster.
  • the exhaust hole 251 and the exhaust hole 272 will be referred to as an “upper exhaust hole” in order to distinguish them from a lower exhaust hole 311 .
  • the lower exhaust hole 311 will be referred to as the “lower exhaust hole.”
  • the exhaust hole 251 will be referred to as a first upper exhaust hole and the exhaust hole 272 will be referred to as a “second upper exhaust hole”.
  • the substrate processing apparatus 10 includes a controller (control unit) 300 configured to control the operations of the respective units of the substrate processing apparatus 10 .
  • the controller 300 includes at least an arithmetic unit 301 and a storage unit 302 .
  • the controller 300 is connected to the respective units described above. Responsive to instructions of a host controller or a user, the controller 300 invokes a program or a recipe from the storage unit 302 and controls the operations of the respective units depending on the contents of the program or the recipe.
  • the controller 300 may be configured by either a dedicated computer or a general-purpose computer.
  • the controller 300 of the present embodiment may be configured by preparing an external memory device (e.g., a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or a DVD, a magneto-optical disk such as an MO or the like, or a semiconductor memory such as a USB memory (USB flash drive) or memory card) 303 that stores the aforementioned program, and installing the program in the general-purpose computer through the use of the external memory device 303 .
  • an external memory device e.g., a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or a DVD, a magneto-optical disk such as an MO or the like, or a semiconductor memory such as a USB memory (USB flash drive) or memory card
  • USB memory USB flash drive
  • the program may be supplied through the use of a communication means such as the Internet or a dedicated line, rather than through the external memory device 303 .
  • the storage unit 302 or the external memory device 303 is configured as a non-transitory computer-readable recording medium.
  • these means for supplying the program will be simply referred to as a “recording medium”.
  • the term “recording medium” it may include a case in which only the storage unit 302 is included, a case in which only the external memory device 303 is included, or a case in which both the storage unit 302 and the external memory device 303 are included.
  • FIG. 9 is a flowchart showing the substrate processing process according to the present embodiment.
  • FIG. 10 is a flowchart showing a film forming process according to the present embodiment.
  • the operations of respective parts of the process module 202 in the substrate processing apparatus 10 are controlled by the controller 300 .
  • titanium nitride films are formed on the wafers 200 with a TiCl 4 gas as the first-element-containing gas and an ammonia (NH 3 ) gas as the second-element-containing gas will be described.
  • some films may be previously formed on the wafers 200 .
  • Some patterns may be also previously formed on the wafers 200 or the films thereon.
  • the pod 100 accommodating at most 25 sheets of the wafers 200 therein is, for example, transferred by an in-process transfer device and is placed on the load port 105 .
  • the cap 100 a of the pod 100 is removed by the pod opener 108 , whereby a substrate loading/unloading opening of the pod 100 is opened.
  • the second substrate transfer machine 124 picks up one of the wafers 200 from the pod 100 and puts it on the notch aligning device 106 .
  • the notch aligning device 106 performs position adjustment of the wafer 200 .
  • the second substrate transfer machine 124 transfers the wafer 200 from the notch aligning device 106 into the pre-chamber 122 under the atmospheric pressure.
  • the gate valve 128 is closed and the interior of the pre-chamber 122 is exhausted to a negative pressure by an exhaust device (not shown).
  • the susceptor 220 is moved and maintained at the transfer position of the wafers 200 , namely the substrate mounting position.
  • the susceptor 220 is descended. If the susceptor 220 is descended, the wafer lift pins 224 installed in the through-holes 223 are lifted up so that the wafer lift pins 224 protrude by a predetermined height beyond the surface of the susceptor 220 . Subsequently, certain gate valves are opened so that a predetermined number of (e.g., five) wafers 200 (processing target substrates) are loaded into the process chamber 201 with the first wafer transfer machine 112 .
  • a predetermined number of (e.g., five) wafers 200 processing target substrates
  • the wafers 200 are loaded on the susceptor 220 in a non-overlapping manner around the rotary shaft of the susceptor 220 along the rotational direction.
  • the wafers 200 are horizontally supported on the wafer lift pins 224 protruding from the surface of the susceptor 220 .
  • the first wafer transfer machine 112 is evacuated out of the process module 202 and the gate valve is closed to seal the interior of the reaction container 203 .
  • the susceptor 220 is moved and maintained at the substrate processing position. In the present embodiment, the susceptor 220 is ascended. When the susceptor 220 is ascended, the wafers 200 are mounted on the respective wafer mounting members 221 installed on the susceptor 220 .
  • a N 2 gas as an inert gas may be supplied from the third gas supplier into the process chamber 201 . That is, the N 2 gas may be supplied into the process chamber 201 by opening at least the valves 250 , 270 and 286 in a state in which the interior of the process chamber 201 is exhausted by actuating the pump 295 and opening the APC valve 294 .
  • the pump 295 keeps actuated until at least the substrate loading and mounting process (S 102 ) to a later-described substrate unloading process (S 106 ) are terminated.
  • the temperature of the wafers 200 is, e.g., from the room temperature to 700 degrees C.
  • the temperature of the wafers may be, from the room temperature to 500 degrees C.
  • the temperature of the heater 228 is regulated by controlling the state of power supply to the heater 228 based on the temperature information detected by the temperature sensor 227 .
  • the wafers are made of silicon, when the surfaces of the wafers 200 are heated to a temperature of 750 degrees C. or higher in the heating process, diffusion of impurities may occur in source or drain regions on the surfaces of the wafers 200 so that the circuit characteristics may be deteriorated and the performance of the semiconductor device may be reduced.
  • the temperature of the wafers 200 it is possible to suppress diffusion of impurities in source or drain regions on the surfaces of the wafers 200 , deterioration of the circuit characteristics, and reduction of the performance of the semiconductor device.
  • a thin film forming Process S 104 is performed.
  • the basic flow of the thin film forming process S 104 will be described and characterizing features of the present embodiment will be described later.
  • the TiCl 4 gas is supplied from the gas supply structures 241 a to 241 c and the ammonia gas in the plasma state is supplied from the second gas supply structures 261 a to 261 c so that titanium nitride (TiN) films are formed on the wafers 200 .
  • the interior of the process chamber 201 is continuously exhausted by the exhauster even after the substrate loading and mounting process S 102 .
  • the N 2 gas as a purge gas is supplied from the gas supply structures 281 a to 281 f.
  • the rotation of the susceptor 220 is started by the rotating mechanism 225 .
  • the rotation speed of the susceptor 220 is controlled by the controller 300 .
  • the rotation speed of the susceptor 220 may be, e.g., from 1 rpm to 100 rpm.
  • the rotation speed of the susceptor 220 may be, e.g., 60 rpm.
  • the surface of the susceptor 220 and the wafers 200 begin to move at the lower side of the gas supply structures 241 and 261 .
  • the supply of the TiCl 4 gas from the gas supply structures 241 a to 241 c is started.
  • the ammonia gas in a plasma state is supplied from the second gas supply structures 261 a to 261 c.
  • the mass flow controller 245 is controlled to make the flow rate of the TiCl 4 gas become a predetermined flow rate.
  • the supply flow rate of the TiCl 4 gas may be, e.g., 100 sccm to 5,000 sccm.
  • a N 2 gas as a carrier gas may be supplied together with the TiCl 4 gas.
  • the mass flow controller 265 is controlled to make the flow rate of the ammonia gas become a predetermined flow rate.
  • the supply flow rate of the ammonia gas may be, e.g., 100 sccm to 5,000 sccm.
  • a N 2 gas as a carrier gas may be supplied together with the ammonia gas.
  • the internal pressure of the process chamber 201 is set at a predetermined pressure.
  • a titanium-containing layer having a predetermined thickness begins to be formed on the surfaces of the wafers 200 and the surface of the susceptor 220 .
  • the film forming process to be described below is performed by rotating the susceptor 220 a predetermined number of times.
  • the gases are exposed to the surfaces of the wafers 200 as well as the surface of the susceptor 220 .
  • a film is also formed on the surface of the susceptor 220 .
  • a TiCl 4 gas is supplied to the wafers 200 .
  • the TiCl 4 gas makes contact with the wafers 200 , titanium-containing layers as the “first-element-containing layers” are formed on the surfaces of the wafers 200 .
  • the titanium-containing layers are formed at a predetermined thickness and a predetermined distribution depending on, e.g., the internal pressure of the process chamber 201 , the flow rate of the TiCl 4 gas, the temperature of the susceptor 220 and the time required for the wafers 200 to pass through the region below the first gas supply structure (the processing time in the region below the first gas supply structure).
  • the wafers 200 After passing through the region below the gas supply structure 241 , the wafers 200 are moved along the rotation direction R of the susceptor 220 to a region below the inert gas supply structure. When the wafers 200 pass through the region below the inert gas supply structure, the titanium component failing to adhere to the wafers 200 in the region below the first gas supply structure is removed from above the wafers 200 by the inert gas.
  • the wafers 200 After passing through the region below the inert gas supply structure, the wafers 200 are moved along the rotation direction R of the susceptor 220 to a region below the second gas supply structure.
  • the titanium-containing layers react with the ammonia gas in the region below the second gas supply structure to form titanium nitride films.
  • the wafers 200 After passing through the region below the second gas supply structure, the wafers 200 are moved along the rotation direction R of the susceptor 220 to a region below the inert gas supply structure.
  • the nitrogen component failing to react with the titanium-containing layers of the wafers 200 in the region below the second gas supply structure is removed from above the wafers 200 by the inert gas.
  • the controller 300 determines whether one cycle described above has been performed a predetermined number of times. Specifically, the controller 300 counts the revolution number of the susceptor 220 .
  • the susceptor 220 is allowed to further rotate, thereby repeating the cycle which includes passing through the region below the first gas supply structure at S 302 , passing through the region below the inert gas supply structure at S 304 , passing through the region below the second gas supply structure at S 306 and passing through the region below the insert gas supply structure at S 308 . If it is determined that the cycle has been performed the predetermined number of times (if “Yes” at S 310 ), the film forming process S 206 is completed.
  • valve 246 is closed to stop the supply of the first-element-containing gas.
  • valve 266 is closed to stop the supply of the second-element-containing gas.
  • the susceptor 220 is descended so that the wafers 200 are supported on the wafer lift pins 224 protruding from the surface of the susceptor 220 . Thereafter, a certain gate valve is opened and the wafers 200 are unloaded out of the reaction container 203 using the first wafer transfer machine 112 . Then, if the substrate processing process is completed, the supply of the inert gas from the inert gas supplier into the process chamber 201 is stopped.
  • the gases are exposed to not only the wafers 200 but also the susceptor 220 . Therefore, a film is formed on the surface of the susceptor 220 .
  • the tip of the gas supply hole and the surface of the susceptor 220 are brought into close proximity with each other in order to increase the exhaust amount of the gases. For that reason, a film is easily formed on the susceptor 220 . Accordingly, a dense film is formed in the portion of the surface of the susceptor 220 just below the gas supply hole.
  • the gases that are not exhausted are adhered to form a film having an uneven density.
  • the susceptor 220 needs to be periodically subjected to a cleaning process.
  • a cleaning process according to the present embodiment will be described. After the wafers 200 are unloaded, as shown in FIG. 12 , the susceptor 220 , not having the wafers 200 thereon, is moved up to and maintained at a cleaning position. The cleaning position is set lower than the substrate processing position.
  • the cleaning position is a position where the distance h between the susceptor surface and the lower ends of the convex members at the cleaning gas supply step becomes larger than the distance h at the film forming process.
  • the controller 300 controls the susceptor 220 to move to the aforementioned position.
  • the supply amount of the inert gas at this stage may be smaller than the total amount of the various kinds of gases and the inert gas supplied at the film forming process.
  • the supply amount of the inert gas at this stage may be set to be an amount that can prevent the cleaning gas from flowing into the gas supply holes 242 and 262 .
  • the cleaning gas can be prevented from flowing into the first gas supply hole 242 , the second gas supply hole 262 and the inert gas supply hole 282 , without suppressing diffusion of the cleaning gas.
  • the inert gas is continuously supplied. As a result, the respective gas supply holes and the interior of the gas supply pipes connected thereto are prevented from being etched by the cleaning gas.
  • the exhauster is controlled so as to exhaust the atmosphere of the process chamber through the exhaust holes 251 and 272 .
  • the exhaust amount is set to be an amount that does not cause the majority of the cleaning gas to flow into the exhaust holes.
  • the exhaust flow rate during the cleaning process is controlled to become smaller than the exhaust flow rate at the film forming process.
  • the susceptor 220 is rotated while opening the valve 336 to start the supply of the cleaning gas in a plasma state from the third gas supply hole 282 .
  • the distance between the susceptor surface and the gas supply holes is large so that the majority of the cleaning gas as supplied can reach the gas exhaust holes without making contact with the ceiling or the partitioning wall. That is, the cleaning gas reaches the susceptor surface without being deactivated. Since the cleaning gas of high energy is supplied onto the susceptor 220 , it is possible to clean the surface of the susceptor 220 evenly.
  • the comparative example is similar to the first embodiment, except that the cleaning gas in the comparative example is supplied at the substrate processing position.
  • the cleaning gas in a plasma state is supplied from the gas supply hole 282 .
  • the distance h at the substrate processing position is set to be an amount not to allow the gases to be diffused within the process chamber, in order to increase the gas reaction efficiency and the gas use efficiency.
  • the cleaning gas is in a hard-to-diffuse state. This poses the following problems.
  • the cleaning gas is easily deactivated.
  • the cleaning gas is deactivated at the positions spaced apart from the gas supply holes.
  • the cleaning gas of high energy is supplied to the regions near the gas supply holes, while the deactivated cleaning gas is supplied to other regions. Accordingly, the energy of the cleaning gas becomes uneven.
  • the cleaning is performed for a long time, the susceptor is over-etched, while if the cleaning is performed for a short time, the cleaning is insufficient at some portions.
  • the term “other regions” used herein may refer to, e.g., the spaces just below the exhaust holes, particularly just below the inner peripheral gas movement restraint portion and the outer peripheral gas movement restraint portion, and the spaces between the gas supply holes and the exhaust holes.
  • the susceptor is maintained at the cleaning position.
  • the pressure in the regions just below the gas supply holes it is possible to secure the spaces where the gas is easily diffused. Accordingly, the energy of the cleaning gas can be made uniform in the processing space. This makes it possible to perform uniform cleaning.
  • the distance h which is set to be larger at the cleaning position than that at the substrate processing position, is assumed as a distance between the surface of the susceptor 220 and the lower ends of the convex members.
  • the present disclosure is not limited thereto. It is only required to secure a space for diffusing the cleaning gas with the movement of the susceptor.
  • the distance between the ceiling and the susceptor may be assumed to be h. In this case, it is possible to maintain a constant distance even if the gas supply structures are deformed due to thermal sagging or the like.
  • the distance between the surface of the susceptor 220 and the lower ends of the convex members is assumed to be h, it is possible to reliably secure a space.
  • FIGS. 13 and 14 are explanatory views explaining a process chamber according to the present embodiment. Components identical with those of the first embodiment will be designated by like reference numerals.
  • a cleaning gas supply hole 332 is formed in the upper central region of the process chamber.
  • the cleaning gas supply hole 332 is connected to a cleaning gas supply pipe 333 .
  • the susceptor 220 is maintained at the substrate processing position. Thereafter, just like the first embodiment, the gases are supplied into the process chamber, thereby forming films on the wafers 200 .
  • the susceptor 220 is maintained at the cleaning position.
  • the supply of the inert gas from the gas supply holes 242 and 262 is started while the exhaust from the exhaust holes 251 and 272 is also started.
  • the cleaning gas supplier is controlled such that the cleaning gas is supplied from the gas supply hole 282 and the gas supply hole 332 .
  • FIG. 15 is an explanatory views explaining a process chamber according to the present embodiment. Components identical with those of the second embodiment will be designated by like reference numerals.
  • the third embodiment differs from the second embodiment in that a lower exhaust hole 311 is formed at the lower side of the susceptor 220 .
  • the lower exhaust hole 311 is configured by one end of an exhaust pipe 312 .
  • a shutoff valve 313 In the exhaust pipe 312 , a shutoff valve 313 , an APC (Automatic Pressure Controller) valve 314 as a pressure regulator (pressure regulating unit) and a pump 315 are disposed in this order from the upstream side.
  • APC Automatic Pressure Controller
  • the susceptor 220 is maintained at the substrate processing position. Thereafter, just like the first embodiment, gases are supplied into the process chamber, thereby forming films on the wafers 200 .
  • the valve 313 is closed in order to prevent the process gases from going around the side surface of the susceptor 220 .
  • the susceptor 220 is maintained at the cleaning position.
  • the supply of the inert gas from the gas supply holes 242 and 262 is started.
  • the valve 293 installed at the downstream side of the exhaust hole 251 and the exhaust hole 272 is opened and the valve 313 of the exhaust pipe 312 disposed at the lower side of the process chamber is opened.
  • the cleaning gas supplier is controlled such that the cleaning gas is supplied from the gas supply hole 282 and the gas supply hole 332 .
  • the exhaust conductance for the exhaust from the lower exhaust hole 311 is controlled to become larger than the exhaust conductance for the exhaust from the upper exhaust hole. By doing so, there are formed streams of the cleaning gas flowing from the gas supply hole 282 and the cleaning gas supply hole 332 toward the lower exhaust hole 311 . Thus, even if the material to be cleaned is deposited in a region at the further outer side of the outer peripheral gas movement restraint portion, it becomes possible to clean the region using the gas streams.
  • the fourth embodiment remains the same as the third embodiment in terms of the apparatus form, but differs from the third embodiment in terms of the exhaust control at the cleaning process.
  • the different features will be mainly described.
  • the susceptor 220 is maintained at the substrate processing position. Thereafter, just like the first embodiment, the gases are supplied into the process chamber, thereby forming films on the wafers 200 .
  • the valve 313 is closed in order to prevent the process gases from going around the side surface of the susceptor 220 .
  • Other processes are the same as those of the third embodiment and therefore will not be described.
  • the susceptor 220 is maintained at the cleaning position.
  • the supply of the inert gas from the gas supply hole 242 and the gas supply hole 262 is started.
  • the valve 293 installed at the downstream side of the exhaust hole 251 and the exhaust hole 272 is closed and the valve 313 of the exhaust pipe 312 disposed at the lower side of the process chamber is opened.
  • the cleaning gas supplier is controlled such that the cleaning gas is supplied from the gas supply hole 282 and the gas supply hole 332 .
  • the fifth embodiment differs from the third embodiment in terms of the cleaning gas supplier. Moreover, the fifth embodiment differs from the third embodiment in terms of the control of the supply and the exhaust of the cleaning gas at the cleaning process.
  • the different features will be mainly described with reference to FIGS. 16, 17 and 18A to 18D .
  • a cleaning gas supply hole 332 is formed in the upper central region of the process chamber.
  • the cleaning gas supply hole 332 is configured as one end of a cleaning gas supply pipe 333 .
  • a cleaning gas source 334 In the cleaning gas supply pipe 333 , a cleaning gas source 334 , a mass flow controller 335 , a valve 336 and a remote plasma generator 337 are installed in this order from the upstream side.
  • the cleaning gas supply pipe 333 is connected to the gas supply pipe 283 in the third embodiment, the cleaning gas supply pipe 333 in the present embodiment is not connected to the gas supply pipe 283 .
  • the cleaning gas supply pipe 333 is installed independently of the gas supply pipe 283 .
  • the susceptor 220 is maintained at the substrate processing position. Thereafter, just like the first embodiment, the gases are supplied into the process chamber, thereby forming films on the wafers 200 .
  • the valve 313 is closed in order to prevent process gases from going around the side surface of the susceptor 220 .
  • the susceptor 220 is maintained at the cleaning position.
  • the supply of the inert gas from the gas supply hole 242 and the gas supply hole 262 is started.
  • the valve 293 installed at the downstream side of the exhaust holes 251 and 272 is closed and the valve 313 of the exhaust pipe 312 disposed at the lower side of the process chamber is opened.
  • the cleaning gas supplier is controlled such that the cleaning gas is supplied from the cleaning gas supply hole 332 .
  • the cleaning gas supply pipe 333 independent from the inert gas supply pipe 283 in this way, it becomes possible to use a cleaning gas of high energy.
  • the inner surface of the inert gas supply pipe 283 is etched by a cleaning gas of high energy.
  • the cleaning process may be performed in a state where dummy substrates are mounted on the wafer mounting members 221 .
  • films are not formed on the surfaces of the wafer mounting members 221 because the wafers 200 are mounted on the wafer mounting members 221 .
  • the cleaning gas is supplied without the wafers 200 on the wafer mounting members 221 , there is a possibility that the wafer mounting members 221 are etched by the cleaning gas. Accordingly, by mounting the dummy substrates on the wafer mounting members 221 , it is possible to prevent the wafer mounting members 221 from being etched.
  • the present disclosure is not limited thereto.
  • the present disclosure is not necessarily limited to the rotational drive type susceptor described in the respective embodiments as long as the relative positions between the respective wafers on the wafer mounting members 221 and the gas supply structures can be changed.
  • a TiCl 4 gas as a precursor gas (first process gas) and a NH 3 gas as a reaction gas (second process gas) are alternately supplied to form the TiN films are formed on the wafers 200 .
  • first process gas a TiCl 4 gas as a precursor gas
  • second process gas a NH 3 gas as a reaction gas
  • the present disclosure is not limited thereto.
  • the process gases used in the film forming process are not limited to the TiCl 4 gas and the NH 3 gas.
  • Other kinds of thin films may be formed using other kinds of gases.
  • the present disclosure can be applied to a case where three or more kinds of process gases are used, as long as a film forming process is performed by alternately supplying the process gases.
  • the film forming process has been taken as an example of the processes performed by the substrate processing apparatus.
  • the present disclosure is not limited thereto.
  • it may be possible to perform a process for forming an oxide film or a nitride film, or a process for forming a metal-containing film.
  • specific contents of substrate processing processes do not matter.
  • the present disclosure may be suitably applied to not only the film forming process but also other substrate processing processes such as an annealing process, an oxidizing process, a nitriding process, a diffusing process, a lithography process and the like.
  • the present disclosure may be suitably applied to other substrate processing apparatuses such as, e.g., an annealing apparatus, an oxidizing apparatus, a nitriding apparatus, an exposure apparatus, a coating apparatus, a drying apparatus, a heating apparatus or a processing apparatus using plasma.
  • substrate processing apparatuses such as, e.g., an annealing apparatus, an oxidizing apparatus, a nitriding apparatus, an exposure apparatus, a coating apparatus, a drying apparatus, a heating apparatus or a processing apparatus using plasma.
  • the present disclosure may use these apparatuses in combination.
  • Some components of one embodiment may be replaced by the components of another embodiment.
  • the components of one embodiment may be added with the components of another embodiment.
  • some components of the respective embodiments may be added with other components, may be deleted or may be replaced by other components.
  • a substrate processing apparatus including:
  • a process chamber configured to process substrates
  • a substrate mounting stand installed in the process chamber and configured to support the substrates along a circumferential direction
  • a rotating unit configured to rotate the substrate mounting stand
  • a first gas supplier configured to supply a first gas from above the substrate mounting stand
  • a second gas supplier configured to supply a second gas from above the substrate mounting stand
  • a third gas supplier configured to supply a cleaning gas from above the substrate mounting stand
  • an elevator configured to maintain the substrate mounting stand at a substrate processing position while supplying the first gas and the second gas and also configured to maintain the substrate mounting stand at a cleaning position while supplying the cleaning gas.
  • the third gas supplier is configured to supply an inert gas into the process chamber while the substrate mounting stand is maintained at the substrate processing position, and is configured to supply the cleaning gas into the process chamber while the substrate mounting stand is maintained at the cleaning position.
  • a first upper exhaust hole is formed in an outer periphery of the first gas supply hole and a second upper exhaust hole is formed in an outer periphery of the second gas supply hole.
  • any combination or all of the first gas supplier, the second gas supplier, the third gas supplier and the exhauster are controlled to allow a conductance of the lower exhaust hole to be larger than a conductance of the upper exhaust hole.
  • any one of Supplementary Notes 1 to 4 wherein the first gas supplier is provided with a first gas supply hole, the second gas supplier is provided with a second gas supply hole, the third gas supplier is provided with a third gas supply hole, a plurality of combinations of the first gas supply hole and the second gas supply hole are disposed at an upper side of the process chamber along the circumferential direction, the third gas supply holes are respectively disposed between the first gas supply holes and the second gas supply holes, and a cleaning gas supply hole of a cleaning gas supplier is disposed in an upper central region of the process chamber.
  • any combination or all of the first gas supplier, the second gas supplier, the third gas supplier and the exhauster are controlled to allow a conductance of the lower exhaust hole to be larger than a conductance of the upper exhaust hole.
  • a semiconductor device manufacturing method including:
  • a non-transitory computer-readable recording medium storing a program that causes a computer to execute:
  • a substrate processing apparatus a semiconductor device manufacturing method and a program, which are capable of realizing an even and uniform cleaning.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
US14/674,016 2014-11-28 2015-03-31 Substrate processing apparatus Abandoned US20160153085A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/591,806 US10546761B2 (en) 2014-11-28 2017-05-10 Substrate processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014241360 2014-11-28
JP2014241360A JP6062413B2 (ja) 2014-11-28 2014-11-28 基板処理装置、半導体装置の製造方法およびプログラム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/591,806 Continuation US10546761B2 (en) 2014-11-28 2017-05-10 Substrate processing apparatus

Publications (1)

Publication Number Publication Date
US20160153085A1 true US20160153085A1 (en) 2016-06-02

Family

ID=56078814

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/674,016 Abandoned US20160153085A1 (en) 2014-11-28 2015-03-31 Substrate processing apparatus
US15/591,806 Active 2036-02-11 US10546761B2 (en) 2014-11-28 2017-05-10 Substrate processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/591,806 Active 2036-02-11 US10546761B2 (en) 2014-11-28 2017-05-10 Substrate processing apparatus

Country Status (5)

Country Link
US (2) US20160153085A1 (ja)
JP (1) JP6062413B2 (ja)
KR (1) KR101745075B1 (ja)
CN (1) CN105990083B (ja)
TW (1) TWI558839B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371883A1 (en) * 2013-03-28 2015-12-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2018236547A1 (en) * 2017-06-23 2018-12-27 Applied Materials, Inc. SYSTEMS AND METHODS FOR CONTACT SPACING CALIBRATION OF DIRECT COMPONENT IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS
EP3722458A4 (en) * 2019-02-28 2021-03-17 Toshiba Mitsubishi-Electric Industrial Systems Corporation FILM TRAINING DEVICE
WO2022079350A1 (en) * 2020-10-12 2022-04-21 Beneq Oy An atomic layer deposition apparatus and a method
US20220282369A1 (en) * 2021-03-03 2022-09-08 Kokusai Electric Corporation Substrate processing apparatus
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6438038B2 (ja) * 2014-09-19 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
US11145521B2 (en) * 2017-09-28 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a semiconductor substrate
CN113445015A (zh) * 2020-03-26 2021-09-28 中国科学院微电子研究所 一种集成镀膜设备的样品传输装置
JP7260578B2 (ja) * 2021-03-19 2023-04-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、および、プログラム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040082171A1 (en) * 2002-09-17 2004-04-29 Shin Cheol Ho ALD apparatus and ALD method for manufacturing semiconductor device
US20110212625A1 (en) * 2010-02-26 2011-09-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20120225193A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus And Process For Atomic Layer Deposition
US20140287594A1 (en) * 2013-03-25 2014-09-25 Hitachi Kokusai Electric Inc. Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000355768A (ja) 1999-06-11 2000-12-26 Hitachi Kokusai Electric Inc プラズマcvd装置におけるクリーニング方法
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
KR100531629B1 (ko) 2000-08-11 2005-11-29 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
WO2005098922A1 (ja) 2004-03-31 2005-10-20 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
TWM307186U (en) * 2006-07-24 2007-03-01 Collaborated Service Solution Improved semiconductor platform
JP2009088244A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 基板クリーニング装置、基板処理装置、基板クリーニング方法、基板処理方法及び記憶媒体
US8265590B2 (en) 2009-10-02 2012-09-11 At&T Mobility Ii Llc Providing information pertaining to usage of a mobile wireless communications device
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
JP5553066B2 (ja) 2011-09-29 2014-07-16 信越半導体株式会社 エピタキシャルウエーハの製造方法
US20130210238A1 (en) 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
CN105164307B (zh) 2013-04-30 2017-06-27 东京毅力科创株式会社 成膜装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040082171A1 (en) * 2002-09-17 2004-04-29 Shin Cheol Ho ALD apparatus and ALD method for manufacturing semiconductor device
US20110212625A1 (en) * 2010-02-26 2011-09-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20120225193A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus And Process For Atomic Layer Deposition
US20140287594A1 (en) * 2013-03-25 2014-09-25 Hitachi Kokusai Electric Inc. Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371883A1 (en) * 2013-03-28 2015-12-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US9842754B2 (en) * 2013-03-28 2017-12-12 Hitachi Kokusai Electric, Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2018236547A1 (en) * 2017-06-23 2018-12-27 Applied Materials, Inc. SYSTEMS AND METHODS FOR CONTACT SPACING CALIBRATION OF DIRECT COMPONENT IN ELECTRONIC DEVICE MANUFACTURING SYSTEMS
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US10916451B2 (en) 2017-06-23 2021-02-09 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
EP3722458A4 (en) * 2019-02-28 2021-03-17 Toshiba Mitsubishi-Electric Industrial Systems Corporation FILM TRAINING DEVICE
WO2022079350A1 (en) * 2020-10-12 2022-04-21 Beneq Oy An atomic layer deposition apparatus and a method
US20220282369A1 (en) * 2021-03-03 2022-09-08 Kokusai Electric Corporation Substrate processing apparatus
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US12012653B2 (en) * 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Also Published As

Publication number Publication date
KR20160064932A (ko) 2016-06-08
JP6062413B2 (ja) 2017-01-18
JP2016102242A (ja) 2016-06-02
CN105990083A (zh) 2016-10-05
CN105990083B (zh) 2018-02-23
US20170243764A1 (en) 2017-08-24
TW201619431A (zh) 2016-06-01
US10546761B2 (en) 2020-01-28
KR101745075B1 (ko) 2017-06-08
TWI558839B (zh) 2016-11-21

Similar Documents

Publication Publication Date Title
US10546761B2 (en) Substrate processing apparatus
US9972500B2 (en) Method of manufacturing semiconductor device
US10604839B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and method of processing substrate
JP6000665B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP6084202B2 (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
US20170183775A1 (en) Substrate processing apparatus
US9786493B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6318139B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US9396930B2 (en) Substrate processing apparatus
US9546422B2 (en) Semiconductor device manufacturing method and substrate processing method including a cleaning method
US20140087567A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US20160237568A1 (en) Substrate processing apparatus and non-transitory computer readable recording medium
US11942333B2 (en) Method of manufacturing semiconductor device, cleaning method, and non-transitory computer-readable recording medium
JP2014192484A (ja) 半導体装置の製造方法及び基板処理装置
WO2013141159A1 (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
JP2004339566A (ja) 基板処理装置
JP6224263B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2014116356A (ja) 半導体製造方法及び半導体製造装置
JP2014187258A (ja) 基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: HITACHI KOKUSAI ELECTRIC INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:UEDA, TATSUSHI;REEL/FRAME:035905/0007

Effective date: 20150518

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION