US20070237706A1 - Embedded nanoparticle films and method for their formation in selective areas on a surface - Google Patents

Embedded nanoparticle films and method for their formation in selective areas on a surface Download PDF

Info

Publication number
US20070237706A1
US20070237706A1 US11/400,390 US40039006A US2007237706A1 US 20070237706 A1 US20070237706 A1 US 20070237706A1 US 40039006 A US40039006 A US 40039006A US 2007237706 A1 US2007237706 A1 US 2007237706A1
Authority
US
United States
Prior art keywords
nanoparticles
substrate
recesses
nanoparticle
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/400,390
Other languages
English (en)
Inventor
Charles Black
Christopher Murray
Robert Sandstrom
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US11/400,390 priority Critical patent/US20070237706A1/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BLACK, CHARLES T., MURRAY, CHRISTOPHER B., SANDSTROM, ROBERT L.
Priority to JP2007098772A priority patent/JP5147277B2/ja
Priority to CNA2007100963019A priority patent/CN101055834A/zh
Publication of US20070237706A1 publication Critical patent/US20070237706A1/en
Priority to US12/197,688 priority patent/US7682591B2/en
Priority to US12/701,977 priority patent/US8187565B2/en
Priority to US13/399,612 priority patent/US8323608B2/en
Priority to JP2012186579A priority patent/JP5607693B2/ja
Priority to US13/611,636 priority patent/US8465829B2/en
Priority to US13/892,801 priority patent/US8802047B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/158Carbon nanotubes
    • C01B32/16Preparation
    • C01B32/162Preparation characterised by catalysts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/158Carbon nanotubes
    • C01B32/16Preparation
    • DTEXTILES; PAPER
    • D01NATURAL OR MAN-MADE THREADS OR FIBRES; SPINNING
    • D01FCHEMICAL FEATURES IN THE MANUFACTURE OF ARTIFICIAL FILAMENTS, THREADS, FIBRES, BRISTLES OR RIBBONS; APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OF CARBON FILAMENTS
    • D01F9/00Artificial filaments or the like of other substances; Manufacture thereof; Apparatus specially adapted for the manufacture of carbon filaments
    • D01F9/08Artificial filaments or the like of other substances; Manufacture thereof; Apparatus specially adapted for the manufacture of carbon filaments of inorganic material
    • D01F9/12Carbon filaments; Apparatus specially adapted for the manufacture thereof
    • D01F9/127Carbon filaments; Apparatus specially adapted for the manufacture thereof by thermal decomposition of hydrocarbon gases or vapours or other carbon-containing compounds in the form of gas or vapour, e.g. carbon monoxide, alcohols
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2202/00Structure or properties of carbon nanotubes
    • C01B2202/06Multi-walled nanotubes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2202/00Structure or properties of carbon nanotubes
    • C01B2202/20Nanotubes characterized by their properties
    • C01B2202/36Diameter
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S423/00Chemistry of inorganic compounds
    • Y10S423/40Fullerene composition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • Y10S977/75Single-walled
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24372Particulate matter
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
    • Y10T428/24537Parallel ribs and/or grooves
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • Y10T428/2462Composite web or sheet with partial filling of valleys on outer surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/29Coated or structually defined flake, particle, cell, strand, strand portion, rod, filament, macroscopic fiber or mass thereof
    • Y10T428/2913Rod, strand, filament or fiber
    • Y10T428/2918Rod, strand, filament or fiber including free carbon or carbide or therewith [not as steel]

Definitions

  • the invention is directed a method for positioning nanoparticles on a patterned substrate, and the use of the positioned nanoparticles to make one-dimensional materials.
  • Deposition of uniformly-thick films of insulating, semiconducting, and conducting materials is of paramount importance to the microelectronics industry. As the lateral feature size of circuit elements continues to shrink (in order to achieve improved circuit performance), the uniformity tolerances on film thicknesses also scales down proportionally.
  • Conventional film deposition methods such as physical vapor deposition, chemical vapor deposition, and atomic layer deposition can achieve uniform thicknesses (at the precision of single atomic layers) over extremely large areas, however such systems are costly both to purchase and to maintain. Also, there are other lower-performance types of applications for microelectronics where it would be desirable to deposit uniform layers of materials without requiring highly specialized (and expensive) deposition systems.
  • Chemically-synthesized nanoparticles provide a low-cost alternative route to the production of materials that are highly-uniform in size and composition.
  • High-temperature solution-phase synthesis is one method by which highly-uniform materials can be produced.
  • Methods exist for production of a variety of metals, insulators, and semiconductors. Briefly stated, these methods produce solutions of inorganic nanoparticles with mean diameters tunable through the range of 1 nm to 20 nm and with mean diameter standard deviations on the order of 5%.
  • These nanoparticles are individually coated with organic surfactants that can be tailored to be in the range of 1-4 nm long. The surfactant prevents the nanoparticles from aggregating in solution.
  • a schematic of a chemically-synthesized nanoparticle is shown in FIG. 1 ( a ).
  • Uniformly-sized nanoparticles can be made to organize themselves into a crystal when deposited from solution onto a substrate. Because of their uniform size, spherically-shaped nanoparticles will pack into hexagonal-close-packed (HCP) arrangements as shown schematically in FIG. 1 ( b ). This process is often referred to as self-assembly. Nanoparticles of other shapes will pack into different crystal arrangements. For example, cubic shaped nanoparticles will pack into a cubic lattice.
  • One advantage of this type of self assembly is that, because of the uniform diameter of the nanoparticles, the resulting film has a very uniform thickness. In other words, films composed of a single layer of nanoparticles will be uniformly one nanoparticle-diameter thick.
  • nanoparticles composed of different materials as catalysts for growth of one dimensional (1-D) materials.
  • This technique involves applying the (typically) metal catalysts to a surface, and then growing the 1-dimensional material using a technique such as chemical vapor deposition.
  • the size of the catalyst will heavily influence the diameter of the resulting 1-D structure.
  • the substrate (and catalyst) must be heated to high temperatures (over 400° C. and can be up to 1000° C.).
  • nanoparticle-type catalysts distributed over a surface of a substrate will often aggregate, resulting in larger-sized catalysts with a broader size distribution (determined by metal diffusion during aggregation), and ultimately larger-diameter 1-D materials with a broader size distribution.
  • Nanoparticle film deposition by substrate immersion In this method the substrate is immersed into a nanoparticle-containing solution and allowed to sit. Over time, nanoparticles diffuse in solution and find their way to the substrate. This method produces films of uniform thicknesses, however nanoparticle layers are not close-packed and often contain voids (regions devoid of nanoparticles). In addition, this method deposits nanoparticle layers everywhere on a surface.
  • Nanoparticle film deposition by spin-casting In this method nanoparticle-containing solutions are spin-coated onto a solid substrate. After solvent evaporation, a nanoparticle film remains. Nanoparticle films produced by this method are not well-organized, due to the non-equilibrium nature of the spin-casting process.
  • the invention is directed to a method of positioning nanoparticles on a patterned substrate.
  • the method comprises providing a patterned substrate with selectively positioned recesses, and applying a solution or suspension of nanoparticles to the patterned substrate to form a wetted substrate.
  • a wiper member is dragged across the surface of the wetted substrate to remove a portion of the applied nanoparticles from the wetted substrate. As a result, a substantial number of the remaining portion of the applied nanoparticles is disposed in the selectively positioned recesses of the substrate.
  • the invention is also directed to a method of making carbon nanotubes.
  • the method comprises providing a patterned substrate with selectively positioned recesses, and applying a solution or suspension of nanoparticles to the patterned substrate to form a wetted substrate.
  • a wiper member is dragged across a surface of the wetted substrate to remove a portion of the applied nanoparticles from the wetted substrate.
  • a substantial number of the remaining portion of the applied nanoparticles is disposed in the selectively positioned recesses.
  • the positioned nanoparticles form catalytic sites on the substrate from which carbon nanotubes can be formed under suitable heating and reaction conditions.
  • the invention is also directed to an array of nanoparticles positioned in recesses of a substrate.
  • the nanoparticles will typically have a mean diameter of from 1 nm to 50 nm.
  • FIG. 1 ( a ) is a pictorial depiction of a nanoparticle with adhered surfactant molecules
  • FIG. 1 ( b ) is a pictorial depiction of self assembled nanoparticles
  • FIGS. 2 ( a ) to 2 ( d ) is a schematic representation of a process of the invention
  • FIG. 2 ( e ) is a pictorial depiction of a positioning of nanoparticles in a continuous channel
  • FIG. 3 is a pictorial depiction of a positioning of nanoparticles in a channel or hole with a width approximate to the diameter of the nanoparticles;
  • FIGS. 4 ( a ) to 4 ( d ) is a schematic representation of another process of the invention.
  • FIG. 5 is a pictorial depiction of a formed nanotube or nanowire
  • FIG. 6 is a scanning electron micrograph (SEM) of a self assembled, porous polystyrene film on a silicon dioxide surface;
  • FIG. 7 is a SEM of the transferred pattern of FIG. 6 into a silicon dioxide surface
  • FIGS. 8 ( a ) and 8 ( b ) is a SEM of the transferred pattern of FIG. 7 and the transferred pore size reduced by atomic layer deposition, respectively;
  • FIG. 9 ( a ) is a SEM of the transferred pattern into a silicon substrate
  • FIG. 9 ( b ) is a SEM of the transferred pattern of FIG. 9 ( a ) reduced by thermal oxidation
  • FIG. 10 is a SEM of FIG. 7 with the positioned nanoparticles following action of the wiper member according to the process of the invention.
  • FIGS. 11 ( a ) and 11 ( b ) are SEMs of carbon nanotubes formed by the process of the invention.
  • the invention is directed to a method of positioning nanoparticles on a patterned substrate.
  • the method comprises: providing a substrate with a pattern of recesses; applying a solution or suspension of nanoparticles to the patterned substrate to form a wetted substrate; and dragging a wiper member across a surface of the wetted substrate to remove a portion of the applied nanoparticles from the wetted substrate such that a substantial number of the remaining portion of the applied nanoparticles are disposed in the recesses.
  • the method can also include the additional steps of heating the wiped substrate with the remaining portion of the applied nanoparticles disposed in the selectively positioned recesses.
  • the heating allows for the removal of organic material, e.g., organic surfactants or polar solvents, which can adhere to the nanoparticles.
  • the method can also include contacting the wiped substrate with a wash solution followed by dragging the wiper member across the wash-contacted surface of the substrate. Following the wash step, the washed substrate can be heated to remove the organic material from the surface of the nanoparticle
  • the method provides for the deposition of nanoparticles over selected areas of a substrate.
  • the method is relatively quick.
  • the selective positioning of the nanoparticles over several square inches of substrate can take only a few seconds.
  • the thickness of resulting films that are formed from the positioned nanoparticles can be controlled by the average particle diameters of the nanoparticles. For example, a monolayer will predominately have a thickness of one diameter, whereas a bilayer will predominately have a thickness of two diameters.
  • the resulting action of the wiping member essentially removes nanoparticles from unwanted regions of the substrate such as a top surface, thus providing regions of the substrate free from nanoparticles.
  • the nanoparticle films can be aligned to other features on the substrate.
  • the method facilitates separation of nanoparticles on a substrate such that the subsequent heating of the substrate, e.g., for forming one-dimensional materials such as carbon nanotubes, will not cause the nanoparticles to aggregate. As a result, the formed materials will have a uniform linear dimension or diameter.
  • FIG. 2 A schematic representation of one embodiment of the invention is depicted in FIG. 2 .
  • the initial step is to pattern a substrate with a plurality of recesses.
  • lithographic techniques such as photolithography, imprint lithography, electron- or ion-beam lithography can be used to pattern the substrate.
  • a lithographic pattern can be transferred to a substrate using reactive-ion etching, chemical etching, ion-beam etching, or sputtering.
  • the lithographic mask material is then removed leaving the substrate 10 with a pattern of recesses, FIG. 2 ( a ) (a cross-section of a single recess 12 is shown).
  • Non-lithographic techniques such as polymer self assembly (and optional etching step) or anodic etching of an aluminum film can also be used to provide a patterned mask through which to form recesses in the substrate.
  • a suspension (slurry) or solution of nanoparticles 14 in a given solvent 16 is applied to the patterned substrate to form a wetted substrate, FIG. 2 ( b ).
  • a wiper member 18 Prior to evaporation of the solvent, a wiper member 18 is placed in contact with the wetted substrate and dragged across the surface, FIG. 2 ( c ).
  • the wiper member can be made of an elastomeric material.
  • the wiper member can contain polydimethylsiloxane (PDMS).
  • PDMS polydimethylsiloxane
  • other elastomeric materials with properties similar to PDMS can be used as well.
  • the wiper member is essentially used as a “squeegee” to remove nanoparticles and excess solvent from the non-recessed areas of the substrate, and therefore, it is preferred that the wiper member include a uniform edge.
  • the squeegee action also helps to direct nanoparticles into the recessed areas of the substrate.
  • the action of wetting and dragging the wiper member across the surface of the patterned substrate can be repeated as many times is necessary to position the nanoparticles 14 in the recessed areas of the substrate, FIG. 2 ( d ).
  • the substrate can be washed or rinsed with a wash solvent.
  • the wiper member can be used to remove the excess wash solvent.
  • the substrate can be heated so as to remove organic material adhering to the surfaces of the positioned nanoparticles.
  • the heating can also be used to sinter the positioned nanoparticles to form a continuous film within the recessed regions of the substrate.
  • the top of the resulting film will be coplanar with the substrate surface, as the nanoparticle layer is recessed below the substrate surface (see, FIGS. 2 ( d ) and 2 ( e )).
  • the thickness of the film can be controlled to some extent by the depth of the recess and the diameter of the nanoparticle. As a result, the process can provide greater control over thickness uniformity of the resulting films.
  • the depth of the patterned recesses is taller than a single nanoparticle diameter, such that each recess accommodates more than a single layer of nanoparticles, see FIG. 2 ( e ). If the diameter of the recessed area is beween one and two nanoparticle diameters wide, then multilayers of single nanoparticles stacked on top of eachother can be formed, see FIG. 3 .
  • the positioned nanoparticles can then be sintered to form continuous channels of selective material in the substrate, e.g., formation of copper wires (from Cu nanoparticles) in a dielectric substrate. The resulting copper wires will have diameters comparable to the diameters of the original nanoparticles.
  • the invention is also directed to an array of nanoparticles positioned in recesses of a substrate, wherein the nanoparticles have an average particle diameter of 1 nm to 50 nm.
  • the recess and the positioned nanoparticle have a comparable diameter such that the positioned nanoparticles are stacked within the recess.
  • the array of nanoparticles can then be used as a template to form one-dimensional materials.
  • the positioning of nanoparticles on the substrate can also be used to seed the growth of one-dimensional materials such as nanowires or nanotubes.
  • the substrate 20 can be patterned such that individual recess volumes 22 are comparable to the particle size of the nanoparticles 24 . If the average recess diameter is between one and two mean nanoparticle diameters wide, a single nanoparticle 24 will be positioned in each recess 22 , FIG. 4 ( d ).
  • the same method described in relation to FIGS. 2 ( a ) to 2 ( b ) can be used to position the nanoparticles in each of the recess volumes as shown in FIG. 4 .
  • Nanowires or nanotubes can be grown using known methods of chemical vapor deposition, FIG. 5 .
  • the nanoparticles typically, metal or metal oxide nanoparticles, are used to seed the growth of the nanotube/nanowire 30 .
  • the mean diameter of the resulting one-dimensional materials can be controlled by the mean diameter of the nanoparticle.
  • One example of a one-dimensional material that can be made by such a template synthesis are carbon nanotubes formed by chemical vapor deposition.
  • the invention is also directed to a method of making carbon nanotubes.
  • the formed carbon nanotubes can have a mean diameter of from 1 nm to 50 nm, or from about 2 nm to about 10 nm.
  • the method comprises providing a substrate with a pattern of recesses, and applying a solution or suspension of nanoparticles to the patterned substrate to form a wetted substrate.
  • a wiper member is dragged across a surface of the wetted substrate to remove a portion of the applied nanoparticles from the wetted substrate such that a substantial number of the remaining portion of the applied nanoparticles are disposed in the recesses.
  • the heating of the wiped substrate under suitable reaction conditions provides the catalytic sites from which the carbon nanotubes form.
  • the nanoparticles comprise an iron oxide.
  • the carbon nanowires or carbon nanotubes are formed by any suitable growth technique known to those of ordinary skill.
  • the carbon materials can be grown by chemical vapor deposition (CVD) or plasma-enhanced chemical vapor deposition (PECVD) using any suitable gaseous or vaporized carbonaceous reactant(s) including, but not limited to, carbon monoxide, ethylene, methane, acetylene, a mixture of acetylene and ammonia, a mixture of acetylene and dinitrogen, a mixture of acetylene and dihydrogen, and xylene under growth conditions suitable for promoting carbon growth on the positioned nanoparticles.
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • the substrate is typically heated to a temperature adequate to promote and/or hasten CVD growth.
  • Additives may be mixed with the reactant to encourage the synthesis of single-wall nanotubes, the synthesis of multi-wall nanotubes, or to increase the nanotube lengthening rate or length.
  • the reactant chemically reacts with the nanoparticle to nucleate the carbon materials and to sustain their growth following nucleation.
  • One such carbon material that can be grown are carbon nanotubes.
  • Carbon nanotubes are typically described as hollow cylindrical tubes composed of precisely arranged hexagonal rings of bonded carbon atoms.
  • the carbon nanotubes may be multi-wall nanotubes resembling concentric cylinders or may be single-wall nanotubes.
  • the carbon nanotubes will generally extend from the positioned nanoparticle(s) in a direction generally perpendicular to or in an approximately perpendicular orientation to the horizontal surface of the substrate.
  • the carbon nanotubes are expected to have a statistical distribution of heights or lengths.
  • single-wall nanotubes can be grown from the positioned nanoparticle(s) as described in U.S. patent application Ser. No. 10/689,675, filed Oct. 22, 2003 and assigned to International Business Machines Corporation, the entire disclosure of which is incorporated herein by reference.
  • This patent application describes how one of ordinary skill can control the diameter of CVD or PECVD grown carbon nanotubes based on the control of the residence time of the gases in the reactor such as by controlling the pressure, or the gas flow rates, or a combination of both.
  • the gas residence time is a measure of the average time of the gas in the reactor. Thus, if the flow is constant and the pressure increases, the residence time increases, and if the pressure is constant and the flow increases the residence time decreases.
  • the residence time is typically about 1 minute to about 20 minutes and more typically about 1 to about 10 minutes.
  • the residence time is typically determined by controlling the pressure, flow or both the pressure and flow in the reactor. By varying the residence time (e.g growth pressure and/or flow rates) of the precursor gases in the CVD or PECVD reactor, carbon nanotubes with diameters from about 0.2 nanometers to several nanometers can be formed.
  • any known substrate material can be used including silicon, silicon dioxide, silicon nitride, and metals such as aluminum, tungsten, copper, gold, or platinum.
  • a porous polymer film was formed on a thin layer (40 nm) of silicon dioxide that had been grown by thermal oxidation on a silicon substrate.
  • the porous polymer film was then patterned using a diblock copolymer patterning technique similar to that described in the literature (see, for example, K. W. Guarini et al., Advanced Materials, 14,1290 (2002), or T. Thurn-Albrecht et al., Advanced Materials, 12,787 (2000), or references contained therein).
  • a random copolymer brush layer was formed on the silicon dioxide film (substrate) by spin-casting from a dilute solution, followed by thermal annealing, and a subsequent solvent rinse.
  • a diblock copolymer film comprising polystyrene (PS) and polymethylmethacrylate (PMMA) of appropriate thickness was applied to this surface by spin-casting, and it was allowed to self assemble by thermal annealing. After annealing, the polymer-coated substrate was exposed to ultraviolet light (this step is optional) and immersed in acetic acid, followed by a water rinse and dried in nitrogen.
  • the resulting film comprised a porous polystyrene material with pores hexagonally arranged on the surface.
  • the pore size and spacing depend on the molecular weight of the diblock copolymer. In this embodiment, for example, the pore size was about 20 nm in diameter with about a 40 nm pore center to center distance.
  • FIG. 6 is a scanning electron microscope (SEM) image of the resulting porous PS film.
  • the porous PS pattern was then transferred to the underlying silicon dioxide film using plasma etching.
  • plasma etching Other possible techniques one can use include wet chemical etching, ion beam etching, or physical sputtering.
  • the PS film was removed using an oxygen plasma.
  • An SEM image of the resulting porous oxide film is shown in FIG. 7 .
  • the depth of the pores can be controlled by the plasma etch time, while the pore diameter and spacing are dictated by the formed PS film depending on the application of the patterned substrate. In this embodiment, for example, the oxide pore diameters were about 20 nm with spacings of about 40 nm, and pore depths were from 10 nm to 40 nm.
  • the resulting pore size can be further adjusted by one or more chemical techniques.
  • a conformal film deposition such as atomic layer deposition, chemical vapor deposition, or sputtering
  • a conformal film deposition such as atomic layer deposition, chemical vapor deposition, or sputtering
  • the pore diameter was reduced by about 45% to about 14 nm (starting from about 26 nm).
  • the chemical techniques that are used to shrink pore mean pore diameters can reduce the mean pore diameter to about 10 nm, or as small as about 5 nm. Note that this technique does not change the pore spacing, but only the pore diameter.
  • a solution of nanoparticles with a mean diameter of 14 nm in octane solvent was applied to the porous substrate shown in FIG. 7 .
  • the concentration of the nanoparticles in the solvent was less than 1% by weight.
  • the substrate surface is then wetted with the suspension (nanoparticle containing solution) by completely covering the substrate with the suspension. This is accomplished by depositing the suspension with a pipette, or alternatively the substrate can be immersed in the nanoparticle suspension.
  • the nanoparticles used in the process of the invention are generally monodisperse in diameter and, on many occasions, have a mean diameter not too different from the mean pore diameter of the porous surface.
  • Typical mean nanoparticle diameter is from about 1 nm to 20 nm with a standard deviation of less than about 15% of the mean diameter.
  • the mean nanoparticle diameter distributions are less than 5% of the mean diameter.
  • the nanoparticles can be dispersed in an organic solvent such as hexane, oxtane, decane, or dodecane, or mixtures thereof.
  • an elastomeric wiper (squeegee) was placed in contact with the substrate and wiped across the surface.
  • the wiping action of the squeegee removed excess nanoparticle containing liquid from the substrate. It may be advantageous to pre-wet the wiper with a clean solvent (hexane, octane, etc). Also, the wiper can be moved across the surface any number of times.
  • FIG. 10 shows a scanning electron microscope image of one such porous surface, where over 90% of the pores have been filled with a single nanoparticle.
  • the nanoparticles are comprised of iron oxide, and have mean diameters of 14 nm.
  • the nanoparticles were used as catalytic sites for carbon nanotube growth.
  • One advantage of this technique is that the nanoparticles are physically confined to the substrate pores and are thus prevented from aggregating during the high temperature nanotube growth process.
  • placement of the pore filled substrate in a tube furnace resulted in the formation of carbon nanotubes using chemical vapor deposition.
  • the tube furnace was heated to a temperature of 800° C. while flowing hydrocarbon-containing gas.
  • FIGS. 11 ( a ) and 11 ( b ) show the resulting multiwall carbon nanotubes grown from individual catalysts placed on the substrate.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Thermal Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Textile Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Catalysts (AREA)
US11/400,390 2006-04-10 2006-04-10 Embedded nanoparticle films and method for their formation in selective areas on a surface Abandoned US20070237706A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US11/400,390 US20070237706A1 (en) 2006-04-10 2006-04-10 Embedded nanoparticle films and method for their formation in selective areas on a surface
JP2007098772A JP5147277B2 (ja) 2006-04-10 2007-04-04 基板上にナノ粒子を位置付けるための方法
CNA2007100963019A CN101055834A (zh) 2006-04-10 2007-04-09 在衬底上定位纳米颗粒的方法以及纳米颗粒阵列
US12/197,688 US7682591B2 (en) 2006-04-10 2008-08-25 Embedded nanoparticle films and method for their formation in selective areas on a surface
US12/701,977 US8187565B2 (en) 2006-04-10 2010-02-08 Embedded nanoparticle films and method for their formation in selective areas on a surface
US13/399,612 US8323608B2 (en) 2006-04-10 2012-02-17 Embedded nanoparticle films and method for their formation in selective areas on a surface
JP2012186579A JP5607693B2 (ja) 2006-04-10 2012-08-27 基板上にナノ粒子を位置付けるための方法
US13/611,636 US8465829B2 (en) 2006-04-10 2012-09-12 Embedded nanoparticle films and method for their formation in selective areas on a surface
US13/892,801 US8802047B2 (en) 2006-04-10 2013-05-13 Embedded nanoparticle films and method for their formation in selective areas on a surface

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/400,390 US20070237706A1 (en) 2006-04-10 2006-04-10 Embedded nanoparticle films and method for their formation in selective areas on a surface

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/197,688 Continuation US7682591B2 (en) 2006-04-10 2008-08-25 Embedded nanoparticle films and method for their formation in selective areas on a surface

Publications (1)

Publication Number Publication Date
US20070237706A1 true US20070237706A1 (en) 2007-10-11

Family

ID=38575518

Family Applications (6)

Application Number Title Priority Date Filing Date
US11/400,390 Abandoned US20070237706A1 (en) 2006-04-10 2006-04-10 Embedded nanoparticle films and method for their formation in selective areas on a surface
US12/197,688 Active US7682591B2 (en) 2006-04-10 2008-08-25 Embedded nanoparticle films and method for their formation in selective areas on a surface
US12/701,977 Active 2026-10-06 US8187565B2 (en) 2006-04-10 2010-02-08 Embedded nanoparticle films and method for their formation in selective areas on a surface
US13/399,612 Expired - Fee Related US8323608B2 (en) 2006-04-10 2012-02-17 Embedded nanoparticle films and method for their formation in selective areas on a surface
US13/611,636 Active US8465829B2 (en) 2006-04-10 2012-09-12 Embedded nanoparticle films and method for their formation in selective areas on a surface
US13/892,801 Active US8802047B2 (en) 2006-04-10 2013-05-13 Embedded nanoparticle films and method for their formation in selective areas on a surface

Family Applications After (5)

Application Number Title Priority Date Filing Date
US12/197,688 Active US7682591B2 (en) 2006-04-10 2008-08-25 Embedded nanoparticle films and method for their formation in selective areas on a surface
US12/701,977 Active 2026-10-06 US8187565B2 (en) 2006-04-10 2010-02-08 Embedded nanoparticle films and method for their formation in selective areas on a surface
US13/399,612 Expired - Fee Related US8323608B2 (en) 2006-04-10 2012-02-17 Embedded nanoparticle films and method for their formation in selective areas on a surface
US13/611,636 Active US8465829B2 (en) 2006-04-10 2012-09-12 Embedded nanoparticle films and method for their formation in selective areas on a surface
US13/892,801 Active US8802047B2 (en) 2006-04-10 2013-05-13 Embedded nanoparticle films and method for their formation in selective areas on a surface

Country Status (3)

Country Link
US (6) US20070237706A1 (ja)
JP (2) JP5147277B2 (ja)
CN (1) CN101055834A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100035068A1 (en) * 2006-06-23 2010-02-11 Gerhard Lammel Method for producing a silicon substrate having modified surface properties and a silicon substrate of said type
US9121108B2 (en) 2012-04-30 2015-09-01 International Business Machines Corporation Methods and apparatuses for positioning nano-objects with aspect ratios
US20160251757A1 (en) * 2013-11-13 2016-09-01 Tokyo Electron Limited Process for producing carbon nanotubes and method for forming wiring
CN109553063A (zh) * 2017-09-26 2019-04-02 中国科学院化学研究所 不同粒径的微米和/或纳米颗粒进行一维共组装的方法和基板与应用
US10245615B2 (en) * 2010-07-15 2019-04-02 Commonwealth Scientific And Industrial Research Organisation Surface treatment

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10060904B1 (en) 2005-10-17 2018-08-28 Stc.Unm Fabrication of enclosed nanochannels using silica nanoparticles
US9156004B2 (en) 2005-10-17 2015-10-13 Stc.Unm Fabrication of enclosed nanochannels using silica nanoparticles
US7825037B2 (en) * 2005-10-17 2010-11-02 Stc.Unm Fabrication of enclosed nanochannels using silica nanoparticles
US20070237706A1 (en) * 2006-04-10 2007-10-11 International Business Machines Corporation Embedded nanoparticle films and method for their formation in selective areas on a surface
US7714322B2 (en) * 2006-04-19 2010-05-11 Micron Technology, Inc. Nanoparticle positioning technique
JP2008311585A (ja) * 2007-06-18 2008-12-25 Elpida Memory Inc 配線構造及び半導体装置、並びにそれらの製造方法
FR2929756B1 (fr) * 2008-04-08 2010-08-27 Commissariat Energie Atomique Procede de formation de materiau poreux dans une microcavite ou un micropassage par polissage mecano-chimique
WO2010117102A1 (ko) * 2009-04-09 2010-10-14 서강대학교 산학협력단 콜로이드 입자들을 단결정들로 정렬하는 방법
KR101161060B1 (ko) * 2009-11-30 2012-06-29 서강대학교산학협력단 나노입자를 기둥형태로 조직화시키기 위한 배열장치 및 그 배열방법
JP5762686B2 (ja) * 2010-02-15 2015-08-12 公益財団法人神奈川科学技術アカデミー 微粒子の製造方法
TWI453864B (zh) * 2010-11-12 2014-09-21 Ind Tech Res Inst 半導體結構及其製作方法
TW201325335A (zh) * 2011-10-29 2013-06-16 Cima Nanotech Israel Ltd 經圖案化基材上之導電網路
JP6638339B2 (ja) * 2015-11-11 2020-01-29 住友電気工業株式会社 カーボンナノ構造体の製造方法及びカーボンナノ構造体の製造装置
CN110465339B (zh) * 2019-09-03 2021-02-09 浙江大学 一种流固两相输运中颗粒定位的方法
CA3210159A1 (en) 2021-01-29 2022-08-04 Armonica Technologies, Inc. Enhancement structures for surface-enhanced raman scattering
EP4273541A1 (en) * 2022-05-01 2023-11-08 Vrije Universiteit Brussel Microfluidic device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5283927A (en) * 1991-05-31 1994-02-08 Jmk International, Inc. Silicon rubber wiper blade with low coefficient of friction
US5691054A (en) * 1993-05-05 1997-11-25 Hyperion Catalysis Int'l., Inc. Three dimensional macroscopic assemblages of randomly oriented carbon fibrils and composites containing same
US20050089467A1 (en) * 2003-10-22 2005-04-28 International Business Machines Corporation Control of carbon nanotube diameter using CVD or PECVD growth
US20050196606A1 (en) * 2003-02-20 2005-09-08 Fujitsu Limited Composite material, structure and polycrystalline structure film and method of making particles
US20050244327A9 (en) * 1998-09-18 2005-11-03 William Marsh Rice University Catalytic growth of single-wall carbon nanotubes from metal particles
US6989324B2 (en) * 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346189B1 (en) * 1998-08-14 2002-02-12 The Board Of Trustees Of The Leland Stanford Junior University Carbon nanotube structures made using catalyst islands
US6340822B1 (en) * 1999-10-05 2002-01-22 Agere Systems Guardian Corp. Article comprising vertically nano-interconnected circuit devices and method for making the same
AU2002360714A1 (en) * 2001-12-18 2003-06-30 Yale University Controlled growth of single-wall carbon nanotubes
US20040005269A1 (en) * 2002-06-06 2004-01-08 Houjin Huang Method for selectively producing carbon nanostructures
US20040167014A1 (en) * 2002-11-13 2004-08-26 The Regents Of The Univ. Of California, Office Of Technology Transfer, University Of California Nanostructured proton exchange membrane fuel cells
US7282191B1 (en) * 2002-12-06 2007-10-16 The Board Of Trustees Of The Leland Stanford Junior University Carbon nanotube growth
JPWO2004074170A1 (ja) * 2003-02-20 2006-06-01 富士通株式会社 複合材、構造体およびその製造方法、多結晶構造膜並びに微小粒子の製造方法
FR2851737B1 (fr) * 2003-02-28 2006-05-26 Commissariat Energie Atomique Catalyseur structure notamment pour la realisation d'ecrans plats a emission de champ
CN1232813C (zh) 2003-03-13 2005-12-21 东南大学 制备纳米管探针针尖的方法
JP2005263564A (ja) * 2004-03-19 2005-09-29 Toyota Central Res & Dev Lab Inc カーボンナノチューブの製造方法
US7345296B2 (en) * 2004-09-16 2008-03-18 Atomate Corporation Nanotube transistor and rectifying devices
JP2007141554A (ja) * 2005-11-16 2007-06-07 Sekisui Chem Co Ltd 電子放出素子用微小体、インキ組成物及びこれを用いた電子放出素子並びに画像表示装置
US20070237706A1 (en) 2006-04-10 2007-10-11 International Business Machines Corporation Embedded nanoparticle films and method for their formation in selective areas on a surface

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5283927A (en) * 1991-05-31 1994-02-08 Jmk International, Inc. Silicon rubber wiper blade with low coefficient of friction
US5691054A (en) * 1993-05-05 1997-11-25 Hyperion Catalysis Int'l., Inc. Three dimensional macroscopic assemblages of randomly oriented carbon fibrils and composites containing same
US20050244327A9 (en) * 1998-09-18 2005-11-03 William Marsh Rice University Catalytic growth of single-wall carbon nanotubes from metal particles
US20050196606A1 (en) * 2003-02-20 2005-09-08 Fujitsu Limited Composite material, structure and polycrystalline structure film and method of making particles
US20050089467A1 (en) * 2003-10-22 2005-04-28 International Business Machines Corporation Control of carbon nanotube diameter using CVD or PECVD growth
US6989324B2 (en) * 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100035068A1 (en) * 2006-06-23 2010-02-11 Gerhard Lammel Method for producing a silicon substrate having modified surface properties and a silicon substrate of said type
US8492850B2 (en) * 2006-06-23 2013-07-23 Robert Bosch Gmbh Method for producing a silicon substrate having modified surface properties and a silicon substrate of said type
US10245615B2 (en) * 2010-07-15 2019-04-02 Commonwealth Scientific And Industrial Research Organisation Surface treatment
US9121108B2 (en) 2012-04-30 2015-09-01 International Business Machines Corporation Methods and apparatuses for positioning nano-objects with aspect ratios
US20160251757A1 (en) * 2013-11-13 2016-09-01 Tokyo Electron Limited Process for producing carbon nanotubes and method for forming wiring
US10378104B2 (en) * 2013-11-13 2019-08-13 Tokyo Electron Limited Process for producing carbon nanotubes and method for forming wiring
CN109553063A (zh) * 2017-09-26 2019-04-02 中国科学院化学研究所 不同粒径的微米和/或纳米颗粒进行一维共组装的方法和基板与应用

Also Published As

Publication number Publication date
US20130011612A1 (en) 2013-01-10
CN101055834A (zh) 2007-10-17
US7682591B2 (en) 2010-03-23
US20090053129A1 (en) 2009-02-26
JP2013035748A (ja) 2013-02-21
US8323608B2 (en) 2012-12-04
US20120148474A1 (en) 2012-06-14
JP5147277B2 (ja) 2013-02-20
US20130316150A1 (en) 2013-11-28
US8465829B2 (en) 2013-06-18
US8802047B2 (en) 2014-08-12
JP2007277085A (ja) 2007-10-25
JP5607693B2 (ja) 2014-10-15
US8187565B2 (en) 2012-05-29
US20100203295A1 (en) 2010-08-12

Similar Documents

Publication Publication Date Title
US8802047B2 (en) Embedded nanoparticle films and method for their formation in selective areas on a surface
KR101668691B1 (ko) 마이크로구조화 및 나노구조화된 그래핀 및 그래파이트의 배리어 유도형 성장 방법
JP5412294B2 (ja) 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US7115305B2 (en) Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
Hannon et al. The influence of the surface migration of gold on the growth of silicon nanowires
US20050112051A1 (en) Systems and methods for producing single-walled carbon nanotubes (SWNTS) on a substrate
JP5329800B2 (ja) 触媒ナノ粒子の制御および選択的な形成
US20030165418A1 (en) Directed assembly of highly-organized carbon nanotube architectures
US20040129570A1 (en) Method of forming a nano-supported catalyst on a substrate for nanotube growth
JP4454931B2 (ja) ドットパターンを有する基板の製造方法及び柱状構造体の製造方法
KR20060094958A (ko) 탄소 나노튜브의 직경 제어 방법, 탄소 나노튜브 또는 탄소 나노튜브 어레이와 이를 포함하는 구조체, 전계 효과 트랜지스터 및 이를 포함하는 집적 회로
KR20090012325A (ko) 초고밀도이고 수직으로 정렬된 탄소 나노튜브의 보조 선택적 성장
US20090188695A1 (en) Nanostructures and method for making such nanostructures
Zhou et al. Controlled growth of single-walled carbon nanotubes on patterned substrates
JP2007105822A (ja) 原子スケール金属ワイヤもしくは金属ナノクラスター、およびこれらの製造方法
US20070237681A1 (en) Nanostructures containing inorganic nanotubes and methods of their synthesis and use
US20100300728A1 (en) Nanowires using a carbon nanotube template
Yin et al. Template-growth of highly ordered carbon nanotube arrays on silicon
Tang et al. Atomic layer deposition of Al2O3 catalysts for narrow diameter distributed single-walled carbon nanotube arrays growth
JP2007039297A (ja) カーボンナノチューブの形成方法
Xiaohui Substrate preparation for optimized growth of vertically aligned carbon nanofibers

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BLACK, CHARLES T.;MURRAY, CHRISTOPHER B.;SANDSTROM, ROBERT L.;REEL/FRAME:017552/0799

Effective date: 20060405

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910