US20040048477A1 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
US20040048477A1
US20040048477A1 US10/658,393 US65839303A US2004048477A1 US 20040048477 A1 US20040048477 A1 US 20040048477A1 US 65839303 A US65839303 A US 65839303A US 2004048477 A1 US2004048477 A1 US 2004048477A1
Authority
US
United States
Prior art keywords
mask
etching
semiconductor substrate
trench
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/658,393
Inventor
Go Saito
Hiroaki Ishimura
Yutaka Kudoh
Masamichi Sakaguchi
Kazuo Takata
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/658,393 priority Critical patent/US20040048477A1/en
Publication of US20040048477A1 publication Critical patent/US20040048477A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device, and especially relates to a manufacturing method for creating a trench on a semiconductor substrate using plasma.
  • trench isolation is a technique for isolating elements in a semiconductor device.
  • a trench is formed on the semiconductor substrate, but if the upper surface of the semiconductor substrate, the side walls of the trench and the bottom surface of the trench are joined linearly, it is known that electric field concentration occurs at the joints (end regions). It is considered that crystal defect at the end region and unevenness of the padoxide film are the causes of such electric field concentration. This problem can be solved by rounding off the upper end portion and the lower end portion of the trench.
  • Japanese Patent Laid-Open Provisional Publication No. 2001-345375 discloses rounding off the upper end portion of the trench using reactive gas containing HBr and CF4 with a remaining resist mask layer used as the mask.
  • the object of the present invention is to solve the problems of the prior art.
  • the present invention provides a method for manufacturing a semiconductor device comprising forming openings to the insulation layer using a resist as the mask, removing the resist, and processing the semiconductor substrate utilizing the insulation layer as the mask to create a sufficient roundness to the upper end of the trench of the semiconductor substrate.
  • the present invention utilizes a surface processing device comprising a vacuum chamber, a means for generating plasma within the chamber, a sample stage onto which the sample receiving surface processing using plasma is mounted, and a power source for applying high frequency voltage to the sample stage, wherein a semiconductor substrate having an insulation layer as mask is etched using mixed gas including HBr gas and CHF3 gas, the reaction product thereof being adhered to the side walls of the pattern, and then performing fine etching of the adhered side walls so as to create a rounding having sufficient size to the upper end of the trench.
  • FIG. 1 illustrates the outline of the etching device utilized in the explanation of the embodiment of the present invention
  • FIG. 2 is a cross-sectional view illustrating the main portion of the semiconductor substrate explaining the embodiment of the present invention
  • FIG. 3 is a cross-sectional view illustrating the main portion of the semiconductor substrate explaining another embodiment of the present invention.
  • FIG. 4 is a cross-sectional view illustrating the main portion of the semiconductor substrate explaining another embodiment of the present invention.
  • FIG. 1 illustrates in detail the plasma generation unit of the plasma processing device.
  • the present embodiment utilizes UHF waves and magnetic field as means for generating plasma.
  • reference number 1 denotes an antenna for introducing the UHF waves
  • 2 denotes a solenoid coil for generating a magnetic field
  • 3 denotes a UHF wave transmission window (such as a silica plate)
  • 4 denotes a vacuum chamber
  • 5 denotes a sample stage for mounting a sample which is a wafer
  • 6 denotes a driving mechanism for moving the stage up and down
  • 7 denotes a high frequency power source for applying high frequency bias voltage to the sample stage during plasma treatment such as etching
  • 8 denotes a static attraction power source for statically attracting and supporting the wafer mounted on the sample stage.
  • an earth electrode 9 being a ground potential member is disposed near the sample stage 5 also being an electrode.
  • the earth electrode 9 is set to ground potential, which is mounted to the inner side of the vacuum chamber 4 functioning so as to secure the electrical conductivity between the vacuum chamber 4 and plasma 10 .
  • process gas is introduced to the interior of the vacuum chamber 4 which is evacuated by a vacuum pump (not shown) and a turbo molecular pump (not shown).
  • the pressure within the vacuum chamber is adjusted by a variable valve (not shown), and then UHF waves are introduced to the interior of the chamber using the antenna 1 .
  • the static attraction power source 8 outputs DC voltage for attracting the wafer on the sample stage 5 .
  • high frequency bias voltage is output from the high frequency power source 7 to start the processing.
  • FIG. 2 illustrates a method for manufacturing the semiconductor device according to a preferred embodiment of the present invention utilizing the device shown in FIG. 1.
  • a resist 15 is already patterned corresponding to exposure regions.
  • the patterned resist 15 is used as a pattern to perform etching to a mask composed of a pad oxide film 12 and a silicon nitride 11 using a dedicated etching device. Thereafter, a separate ashing device is used to remove the resist, and then either the above-mentioned etching device or another etching device is used to etch the silicon substrate 13 using a mixed gas including CHF3 and HBr as etching gas.
  • a first etching is performed for 15 seconds with the etching conditions set so that the pressure is 2.0 Pa and the gas flow ratio of HBr/CHF3 at this time is substantially 5/1 (the ratio of the amount of CHF3 gas against HBr gas being approximately 20%), while adding approximately 3 mL/min of O 2 gas for controlling the reaction product on the wafer surface.
  • a second etching is performed utilizing CL2, O 2 and HBr gas to form the main trench portion.
  • a reaction product caused by silicon substrate 13 and etching gas is gradually adhered onto the side surfaces of the mask as side walls 14 .
  • the silicon substrate 13 is anisotropically etched, thus the finished cross-section has a forward taper shape.
  • the shape of the forward taper can be controlled by adjusting the added O2 gas, the total gas flow, the pressure and so on.
  • the second etching is performed to realize element isolation.
  • the side walls 14 created (adhered) by the first etching is also somewhat etched, so the upper end portion projecting in the element isolation region is also etched, thus being connected smoothly with the second etched portion.
  • a mixed gas including HBr, O 2 and CF4 can be used to form the main trench portion.
  • FIG. 3 is referred to in explaining the example where it is preferable to etch greatly the upper end projected in the element isolation region.
  • the embodiment illustrated in FIG. 3 is different from that of FIG. 2 in that according to FIG. 3, the first etching time is reduced from approximately 15 seconds to about 5 seconds (the wafer bias unchanged, which is approximately 100W), and then after reducing the wafer bias from approximately 100W to 20W, performing etching for about 10 seconds. According to such etching conditions and etching steps, it is possible to vary the angle of the taper and to provide roundness in a more aggressive manner.
  • the present embodiment enables to create a sufficient roundness to the upper end portion of the trench formed to the semiconductor substrate without having to perform processes other than etching, such as deposition and thermal oxidation, to the semiconductor device.
  • the present embodiment is explained where UHF waves and magnetic field are used as means for generating plasma, but the present invention is not limited to such example. In other words, the present invention can not only be applied to ECR plasma systems, but also to semiconductor devices utilizing other plasma systems such as RF plasma.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method for manufacturing a semiconductor device comprises etching a semiconductor substrate having an insulation film as mask using a mixed gas composed of HBr and CHF3, thereby having a reaction product composed of the semiconductor substrate and reaction gas to be adhered gradually on the side walls of the mask, and as a result creating a trench having a sufficient roundness formed to the upper end portion thereof.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a method for manufacturing a semiconductor device, and especially relates to a manufacturing method for creating a trench on a semiconductor substrate using plasma. [0001]
  • DESCRIPTION OF THE RELATED ART
  • Along with the advance in the integration of semiconductor devices, it has become indispensable to reduce the element isolation distance utilizing trench isolation technology, which is a technique for isolating elements in a semiconductor device. According to trench isolation, a trench is formed on the semiconductor substrate, but if the upper surface of the semiconductor substrate, the side walls of the trench and the bottom surface of the trench are joined linearly, it is known that electric field concentration occurs at the joints (end regions). It is considered that crystal defect at the end region and unevenness of the padoxide film are the causes of such electric field concentration. This problem can be solved by rounding off the upper end portion and the lower end portion of the trench. [0002]
  • For example, Japanese Patent Laid-Open Provisional Publication No. 2001-345375 discloses rounding off the upper end portion of the trench using reactive gas containing HBr and CF4 with a remaining resist mask layer used as the mask. [0003]
  • According to the above example where the resist is used as the mask for rounding off the upper end of the trench in processing the semiconductor substrate, consideration is made on the possible contamination of the semiconductor substrate caused by the resist which may affect the semiconductor characteristics, so in some cases after using the resist as mask to process the insulating layer on the semiconductor substrate, the resist is removed and the insulation layer is used as the mask to form the trench on the semiconductor substrate. According to such example, however, it is difficult to create a sufficient roundness to the upper end portion of the trench when an etching gas selected in expectation of the reaction product with the resist is used. [0004]
  • SUMMARY OF THE INVENTION
  • The object of the present invention is to solve the problems of the prior art. The present invention provides a method for manufacturing a semiconductor device comprising forming openings to the insulation layer using a resist as the mask, removing the resist, and processing the semiconductor substrate utilizing the insulation layer as the mask to create a sufficient roundness to the upper end of the trench of the semiconductor substrate. [0005]
  • In order to solve the prior art problems, the present invention utilizes a surface processing device comprising a vacuum chamber, a means for generating plasma within the chamber, a sample stage onto which the sample receiving surface processing using plasma is mounted, and a power source for applying high frequency voltage to the sample stage, wherein a semiconductor substrate having an insulation layer as mask is etched using mixed gas including HBr gas and CHF3 gas, the reaction product thereof being adhered to the side walls of the pattern, and then performing fine etching of the adhered side walls so as to create a rounding having sufficient size to the upper end of the trench.[0006]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates the outline of the etching device utilized in the explanation of the embodiment of the present invention; [0007]
  • FIG. 2 is a cross-sectional view illustrating the main portion of the semiconductor substrate explaining the embodiment of the present invention; [0008]
  • FIG. 3 is a cross-sectional view illustrating the main portion of the semiconductor substrate explaining another embodiment of the present invention; and [0009]
  • FIG. 4 is a cross-sectional view illustrating the main portion of the semiconductor substrate explaining another embodiment of the present invention.[0010]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • A preferred embodiment of the present invention will now be explained with reference to FIGS. 1 and 2. [0011]
  • FIG. 1 illustrates in detail the plasma generation unit of the plasma processing device. The present embodiment utilizes UHF waves and magnetic field as means for generating plasma. According to FIG. 1, reference number [0012] 1 denotes an antenna for introducing the UHF waves, 2 denotes a solenoid coil for generating a magnetic field, 3 denotes a UHF wave transmission window (such as a silica plate), 4 denotes a vacuum chamber, 5 denotes a sample stage for mounting a sample which is a wafer, 6 denotes a driving mechanism for moving the stage up and down, 7 denotes a high frequency power source for applying high frequency bias voltage to the sample stage during plasma treatment such as etching, and 8 denotes a static attraction power source for statically attracting and supporting the wafer mounted on the sample stage. In the interior of the vacuum chamber 4, an earth electrode 9 being a ground potential member is disposed near the sample stage 5 also being an electrode. The earth electrode 9 is set to ground potential, which is mounted to the inner side of the vacuum chamber 4 functioning so as to secure the electrical conductivity between the vacuum chamber 4 and plasma 10.
  • According to this device, when providing an etching treatment to a wafer (sample), process gas is introduced to the interior of the vacuum chamber [0013] 4 which is evacuated by a vacuum pump (not shown) and a turbo molecular pump (not shown). The pressure within the vacuum chamber is adjusted by a variable valve (not shown), and then UHF waves are introduced to the interior of the chamber using the antenna 1.
  • By the function of the magnetic field created by the [0014] solenoid coil 2 wound around the exterior of the vacuum chamber 4 and the UHF waves introduced by the antenna 1 through the UHF wave transmission window 3, the electrons within the process gas receive energy efficiently, thereby generating a high-density plasma 10 by electron cyclotron resonance (hereinafter abbreviated ECR). After the plasma 10 is generated, the static attraction power source 8 outputs DC voltage for attracting the wafer on the sample stage 5. After the wafer is attracted on the stage 5, high frequency bias voltage is output from the high frequency power source 7 to start the processing.
  • FIG. 2 illustrates a method for manufacturing the semiconductor device according to a preferred embodiment of the present invention utilizing the device shown in FIG. 1. [0015]
  • The preferred embodiment of the present invention will now be explained with reference to FIG. 2. [0016]
  • As shown in FIG. 2, a [0017] resist 15 is already patterned corresponding to exposure regions. The patterned resist 15 is used as a pattern to perform etching to a mask composed of a pad oxide film 12 and a silicon nitride 11 using a dedicated etching device. Thereafter, a separate ashing device is used to remove the resist, and then either the above-mentioned etching device or another etching device is used to etch the silicon substrate 13 using a mixed gas including CHF3 and HBr as etching gas.
  • A first etching is performed for 15 seconds with the etching conditions set so that the pressure is 2.0 Pa and the gas flow ratio of HBr/CHF3 at this time is substantially 5/1 (the ratio of the amount of CHF3 gas against HBr gas being approximately 20%), while adding approximately 3 mL/min of O[0018] 2 gas for controlling the reaction product on the wafer surface. Thereafter, a second etching is performed utilizing CL2, O2 and HBr gas to form the main trench portion.
  • During the first etching, a reaction product caused by [0019] silicon substrate 13 and etching gas is gradually adhered onto the side surfaces of the mask as side walls 14. At this time, the silicon substrate 13 is anisotropically etched, thus the finished cross-section has a forward taper shape.
  • The shape of the forward taper can be controlled by adjusting the added O2 gas, the total gas flow, the pressure and so on. [0020]
  • Thereafter, the second etching is performed to realize element isolation. At this time, the [0021] side walls 14 created (adhered) by the first etching is also somewhat etched, so the upper end portion projecting in the element isolation region is also etched, thus being connected smoothly with the second etched portion.
  • If it is not desirable to greatly etch the upper end projecting in the element isolation region, a mixed gas including HBr, O[0022] 2 and CF4 can be used to form the main trench portion.
  • Next, FIG. 3 is referred to in explaining the example where it is preferable to etch greatly the upper end projected in the element isolation region. [0023]
  • The embodiment illustrated in FIG. 3 is different from that of FIG. 2 in that according to FIG. 3, the first etching time is reduced from approximately 15 seconds to about 5 seconds (the wafer bias unchanged, which is approximately 100W), and then after reducing the wafer bias from approximately 100W to 20W, performing etching for about 10 seconds. According to such etching conditions and etching steps, it is possible to vary the angle of the taper and to provide roundness in a more aggressive manner. [0024]
  • Moreover, since it is desirable to provide sufficient roundness to the lower end of the trench, it is possible to etch the bottom portion of the trench by adjusting the power supplied by the high frequency power source or by utilizing HBr, O[0025] 2 and CF4 gas.
  • Next, with reference to FIG. 4, the etching process performed to provide roundness to the bottom surface of the trench portion will be explained. [0026]
  • According to the embodiment of FIG. 4, at approximately 80-90% of the desired trench depth, the wafer bias is reduced from approximately 100W to 20W before performing further etching, thus creating a sufficient roundness. [0027]
  • As explained, the present embodiment enables to create a sufficient roundness to the upper end portion of the trench formed to the semiconductor substrate without having to perform processes other than etching, such as deposition and thermal oxidation, to the semiconductor device. [0028]
  • Although the present embodiment is explained where UHF waves and magnetic field are used as means for generating plasma, but the present invention is not limited to such example. In other words, the present invention can not only be applied to ECR plasma systems, but also to semiconductor devices utilizing other plasma systems such as RF plasma. [0029]
  • According to the present invention, by processing a resist as a mask, removing the resist, and utilizing an insulation film as mask on the semiconductor substrate when etching the substrate so that the reaction product is adhered on the side walls of the mask, a sufficient roundness is created to the upper end portion of the trench. [0030]

Claims (3)

1. A method for manufacturing a semiconductor device comprising the steps of:
forming a multilayer film including an insulation film on a semiconductor substrate;
forming a resist mask by patterning a resist applied on said multilayer film;
etching said multilayer film using said resist mask;
removing said resist mask after completing said etching; and
processing said semiconductor substrate to create a trench utilizing said multilayer film having removed said resist as mask.
2. A method for manufacturing a semiconductor device comprising forming a multilayer film including an insulation film on a semiconductor substrate, subsequently patterning a resist to create a resist mask, subsequently etching said multilayer film, subsequently removing said resist mask, and subsequently processing said semiconductor substrate to create a trench utilizing as mask said multilayer film having removed of said resist mask.
3. A method for manufacturing a semiconductor device comprising the steps of:
forming a mask layer having openings corresponding to element isolation regions on a semiconductor substrate;
etching said semiconductor substrate utilizing said mask layer as mask to form upper end portions of a trench in tapered shape; and
etching said semiconductor substrate utilizing said mask layer as mask to form the main trench portion.
US10/658,393 2002-08-13 2003-09-10 Method for manufacturing semiconductor device Abandoned US20040048477A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/658,393 US20040048477A1 (en) 2002-08-13 2003-09-10 Method for manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/216,720 US6709984B2 (en) 2002-08-13 2002-08-13 Method for manufacturing semiconductor device
US10/658,393 US20040048477A1 (en) 2002-08-13 2003-09-10 Method for manufacturing semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/216,720 Continuation US6709984B2 (en) 2002-08-13 2002-08-13 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US20040048477A1 true US20040048477A1 (en) 2004-03-11

Family

ID=31714297

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/216,720 Expired - Fee Related US6709984B2 (en) 2002-08-13 2002-08-13 Method for manufacturing semiconductor device
US10/658,393 Abandoned US20040048477A1 (en) 2002-08-13 2003-09-10 Method for manufacturing semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/216,720 Expired - Fee Related US6709984B2 (en) 2002-08-13 2002-08-13 Method for manufacturing semiconductor device

Country Status (1)

Country Link
US (2) US6709984B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020936A1 (en) * 2005-07-19 2007-01-25 Micron Technology, Inc. Methods of etching features into substrates
CN112397897A (en) * 2016-07-27 2021-02-23 华为技术有限公司 Wireless transceiver device, antenna unit and base station

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100595065B1 (en) * 2001-06-22 2006-06-30 동경 엘렉트론 주식회사 Dry-etching method
US20040036131A1 (en) * 2002-08-23 2004-02-26 Micron Technology, Inc. Electrostatic discharge protection devices having transistors with textured surfaces
JP2008098281A (en) * 2006-10-10 2008-04-24 Toshiba Corp Process for fabricating semiconductor device
KR20080038503A (en) * 2006-10-30 2008-05-07 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
CN104576340A (en) * 2013-10-16 2015-04-29 上海华虹宏力半导体制造有限公司 Method for forming top fillets of deep trenches

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4693781A (en) * 1986-06-26 1987-09-15 Motorola, Inc. Trench formation process
US4857477A (en) * 1986-09-18 1989-08-15 Oki Electric Industry Co., Ltd. Process for fabricating a semiconductor device
US5843846A (en) * 1996-12-31 1998-12-01 Intel Corporation Etch process to produce rounded top corners for sub-micron silicon trench applications
US6001706A (en) * 1997-12-08 1999-12-14 Chartered Semiconductor Manufacturing, Ltd. Method for making improved shallow trench isolation for semiconductor integrated circuits
US6153494A (en) * 1999-05-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Method to increase the coupling ratio of word line to floating gate by lateral coupling in stacked-gate flash
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6432832B1 (en) * 1999-06-30 2002-08-13 Lam Research Corporation Method of improving the profile angle between narrow and wide features
US20020160615A1 (en) * 2000-05-31 2002-10-31 Shinzi Kawada Semiconductor apparatus and method for fabricating the same
US20030022504A1 (en) * 2001-07-25 2003-01-30 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
US6579801B1 (en) * 2001-11-30 2003-06-17 Advanced Micro Devices, Inc. Method for enhancing shallow trench top corner rounding using endpoint control of nitride layer etch process with appropriate etch front
US6589879B2 (en) * 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US20030143854A1 (en) * 2002-01-28 2003-07-31 Nanya Technology Corporation Method of forming a shallow trench isolation in a semiconductor substrate

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4693781A (en) * 1986-06-26 1987-09-15 Motorola, Inc. Trench formation process
US4857477A (en) * 1986-09-18 1989-08-15 Oki Electric Industry Co., Ltd. Process for fabricating a semiconductor device
US5843846A (en) * 1996-12-31 1998-12-01 Intel Corporation Etch process to produce rounded top corners for sub-micron silicon trench applications
US6001706A (en) * 1997-12-08 1999-12-14 Chartered Semiconductor Manufacturing, Ltd. Method for making improved shallow trench isolation for semiconductor integrated circuits
US6153494A (en) * 1999-05-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Method to increase the coupling ratio of word line to floating gate by lateral coupling in stacked-gate flash
US6432832B1 (en) * 1999-06-30 2002-08-13 Lam Research Corporation Method of improving the profile angle between narrow and wide features
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US20020160615A1 (en) * 2000-05-31 2002-10-31 Shinzi Kawada Semiconductor apparatus and method for fabricating the same
US6589879B2 (en) * 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US20030022504A1 (en) * 2001-07-25 2003-01-30 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
US6579801B1 (en) * 2001-11-30 2003-06-17 Advanced Micro Devices, Inc. Method for enhancing shallow trench top corner rounding using endpoint control of nitride layer etch process with appropriate etch front
US20030143854A1 (en) * 2002-01-28 2003-07-31 Nanya Technology Corporation Method of forming a shallow trench isolation in a semiconductor substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020936A1 (en) * 2005-07-19 2007-01-25 Micron Technology, Inc. Methods of etching features into substrates
US7857982B2 (en) * 2005-07-19 2010-12-28 Micron Technology, Inc. Methods of etching features into substrates
CN112397897A (en) * 2016-07-27 2021-02-23 华为技术有限公司 Wireless transceiver device, antenna unit and base station

Also Published As

Publication number Publication date
US20040033695A1 (en) 2004-02-19
US6709984B2 (en) 2004-03-23

Similar Documents

Publication Publication Date Title
KR101811910B1 (en) Method of etching features in silicon nitride films
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
JP3527901B2 (en) Plasma etching method
US7745309B2 (en) Methods for surface activation by plasma immersion ion implantation process utilized in silicon-on-insulator structure
KR100702723B1 (en) Dry-etching method
KR20010073107A (en) Techniques for forming contact holes through to a silicon layer of a substrate
JP2003023000A (en) Production method for semiconductor device
US6709984B2 (en) Method for manufacturing semiconductor device
US11373875B2 (en) Plasma processing method
US6066567A (en) Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
JP3950446B2 (en) Anisotropic etching method
US20090081872A1 (en) Plasma etching method for etching sample
US6426299B1 (en) Method and apparatus for manufacturing semiconductor device
JP4577328B2 (en) Manufacturing method of semiconductor device
US8143175B2 (en) Dry etching method
US10937662B2 (en) Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry
JP3272442B2 (en) Method for manufacturing semiconductor device
JP2004259927A (en) Dry etching method
JP4142492B2 (en) Plasma processing method
JP5171091B2 (en) Plasma processing method
JP2004063921A (en) Manufacturing method for semiconductor device
JP4994161B2 (en) Metal gate dry etching method
TW202322214A (en) Method for etching of metal
JP2009260092A (en) Dry etching method for multilayer resist film
JP2002368078A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION