TWI839015B - 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統 - Google Patents

用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統 Download PDF

Info

Publication number
TWI839015B
TWI839015B TW111147694A TW111147694A TWI839015B TW I839015 B TWI839015 B TW I839015B TW 111147694 A TW111147694 A TW 111147694A TW 111147694 A TW111147694 A TW 111147694A TW I839015 B TWI839015 B TW I839015B
Authority
TW
Taiwan
Prior art keywords
width
sraf
mask
widths
srafs
Prior art date
Application number
TW111147694A
Other languages
English (en)
Other versions
TW202338489A (zh
Inventor
彭星月
賈寧寧
施展
羅福 C 何威爾
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202338489A publication Critical patent/TW202338489A/zh
Application granted granted Critical
Publication of TWI839015B publication Critical patent/TWI839015B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明揭示用於判定遮罩圖案之方法、軟體及系統。該判定可包括獲得包含各自具有恆定寬度之次解析度輔助特徵(SRAF)之一遮罩圖案。該等寬度設置為連續變數且因此可在該遮罩圖案之一遮罩最佳化程序期間與其他變數一起最佳化。基於其母體及/或統計,最佳化連續寬度隨後離散化至有限數目個全域寬度位準。進一步遮罩最佳化程序可使用具有經離散最佳化全域寬度位準之該等SRAF來執行,其中指派給個別SRAF之該寬度可調整至該等全域寬度位準之一不同位準。

Description

用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
本文中之描述通常係關於遮罩製造及圖案化程序。更特定而言,本發明包括用於判定次解析度輔助特徵之設備、方法及電腦程式。
微影投影設備可用於例如積體電路(IC)之製造中。在此類情況下,圖案化裝置(例如,遮罩)可含有或提供對應於IC之個別層之圖案(「設計佈局」),且此圖案可由諸如經由圖案化裝置上之圖案照射目標部分的方法轉印於基板(例如,矽晶圓)上之已塗佈有一層輻射敏感材料(「光阻」)之目標部分(例如,包含一或多個晶粒)上。一般而言,單個基板含有由微影投影設備順次地將圖案轉印至其上的複數個鄰近目標部分,一次一個目標部分。在一種類型微影投影設備中,整個圖案化裝置上之圖案一次性轉印至一個目標部分上;此類設備亦可稱作步進器。在替代設備中,步進掃描設備可使得投影光束在給定參考方向(「掃描」方向)上掃描圖案化裝置,同時平行或反平行於此參考方向同步地移動基板。將圖案化裝置上之圖案之不同部分漸進地轉印至一個目標部分。一般而言,由於微影投影設備將具有縮減比M(例如,4),因此基板移動之速度F將為投影光束掃描圖案化裝置之速度的1/M倍。關於微影裝置之更多資訊可見於例如 以引用之方式併入本文中之US 6,046,792。
在將圖案自圖案化裝置轉印至基板之前,基板可經歷各種工序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序(「曝光後工序」),諸如曝光後烘烤(PEB)、顯影、硬烘烤及轉印圖案之量測/檢測。此工序陣列用作製得例如IC之裝置之個別層的基礎。基板接著可經歷各種製程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械研磨等,該等製程皆意欲精整裝置之個別層。若在裝置中需要若干層,則針對各層來重複整個工序或其變體。最終,裝置將存在於基板上之各目標部分中。接著由諸如切塊或鋸切之技術來使此等裝置彼此分離,據此,可將個別裝置安裝於載體上、連接至銷釘等。
因此,製造諸如半導體裝置之裝置通常涉及使用數個製造程序來處理基板(例如,半導體晶圓)以形成該等裝置之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械研磨及離子植入來製造及處理此類層及特徵。可在基板上之複數個晶粒上製作多個裝置,且接著將該等裝置分離成個別裝置。此裝置製造程序可視為圖案化程序。圖案化程序涉及圖案化步驟,諸如使用微影設備中之圖案化裝置來將圖案化裝置上之圖案轉印至基板之光學及/或奈米壓印微影,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如由顯影設備進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻設備使用圖案進行蝕刻等。
如所提及,微影為在諸如IC之裝置之製造中的中心步驟,其中形成於基板上之圖案限定裝置之功能元件,諸如微處理器、記憶體晶片等。類似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他裝置。
隨著半導體製造程序繼續進步,幾十年來,功能元件之尺寸已不斷地減小,而每一裝置之諸如電晶體的功能元件之量已在穩定地增加,此遵循稱作「莫耳定律(Moore's law)」之趨勢。在當前技術狀態下,使用微影投影設備來製造裝置之層,該等微影投影設備使用來自深紫外照明源之照明將設計佈局投影至基板上,從而產生尺寸遠小於100nm(亦即,小於來自照明源(例如,193nm照明源)之輻射的波長之一半)的個別功能元件。
印刷尺寸小於微影投影設備之經典解析度限制之特徵的此製程根據解析度公式CD=k1×λ/NA可稱作低k1微影,其中λ為使用輻射之波長(例如,248nm或193nm),NA為微影投影設備中之投影光學器件之數值孔徑,CD為「臨界尺寸」-通常為印刷之最小特徵大小-且k1為經驗解析度因數。一般而言,k1愈小,則在基板上再現類似於由設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用於微影投影設備、設計佈局或圖案化裝置。此等步驟包括例如,但不限於NA及光學相干設定之最佳化、自訂照明方案、相移圖案化裝置之使用、設計佈局中之光學近接校正(OPC,有時亦稱作「光學及程序校正」),或通常定義為「解析度增強技術」(RET)之其他方法。如本文中所使用之術語「投影光學器件」應廣泛地解譯為涵蓋各種類型之光學系統,包括例如折射光學器件、反射光學器件、光圈及反射折射光學器件。術語「投影光學器件」亦可包括根據此等設計類型中任一者操作以用於共同地或單一地引導、塑形或控制投影輻射光束之組件。術語「投影光學器件」可包括微影投影設備中之任何光學組件,而不管光學組件在微影投影設備之光學路徑上位於何處。投影光學器件可包括用於在 來自源之輻射通過圖案化裝置之前塑形、調整及/或投影輻射的光學組件,及/或用於在輻射通過圖案化裝置之後塑形、調整及/或投影輻射的光學組件。投影光學器件通常不包括源及圖案化裝置。
根據實施例,一種判定遮罩圖案之方法包括:獲得包含各自具有恆定寬度之次解析度輔助特徵(SRAF)之一遮罩圖案;及在該遮罩圖案之一遮罩最佳化程序期間調整該等寬度。
在一些實施例中,方法亦可包括:存取限定用於該等SRAF之初始離散寬度位準;及將該等寬度指派為來自該等初始離散寬度位準之初始寬度。方法可包括產生SRAF邊緣,其中該等SRAF邊緣可產生為處於與對應於SRAF之一位置之脊點大致相等的距離。在一些實施例中,該等所產生SRAF邊緣可為曲面的。此外,可判定該等脊點使得該等SRAF邊緣平滑地變化。在一些實施例中,可自一SRAF導引映圖(SGM)判定脊點,該等脊點定位於對應SRAF邊緣之間。
在一些實施例中,該等SRAF邊緣之產生可包括當兩個脊點之間的一距離超出一距離限制時對至少兩個脊點執行內插,該內插產生內插脊點。可在兩個脊點之間的一分段之一中點處產生內插脊點。可沿該等兩個脊點之間的一樣條內插曲線產生內插脊點且利用至少一個其他脊點產生該樣條內插曲線。
該等SRAF邊緣之該產生可包括在垂直於該SRAF之該等脊點的分段之兩個末端處產生控制點。該等分段可具有對應於該SRAF之該恆定寬度之一長度。
在一些實施例中,該方法亦可包括將尖端附加至該SRAF 邊緣。
在一些實施例中,各SRAF之該寬度可設置為由該遮罩最佳化程序最佳化之一連續變數。該遮罩最佳化程序可包括:利用一微影模型來模擬一微影程序;預測如由該微影模型模擬之該遮罩之一成像特性;及通過與該成像特性相關之一成本函數之使用來調整一或多個SRAF的該寬度以最佳化該成像特性。
在一些實施例中,該遮罩最佳化程序可包括執行光學鄰近校正最佳化以產生包括輔助特徵(AF)之遮罩特徵之邊界且亦可包括在一微影系統之一源遮罩最佳化中共同最佳化一照明源以及最佳化該等遮罩特徵。
在一些實施例中,用於該遮罩最佳化程序中之一成本函數可包括描述一邊緣置放誤差、旁瓣印刷、遮罩規則檢查(MRC)順應性或一使用者自定義要求中之一或多者的參數,其中該等參數中之至少一者為該寬度之一函數。
在一些實施例中,該方法可包括判定該等SRAF之選定寬度。選定寬度之數量可小於五。
在一些實施例中,方法可包括判定該等最佳化寬度之一母體或一母體分佈;基於一或多個規則將該等選定寬度設置在該母體或該母體分佈之一寬度範圍內;及將各SRAF之該寬度設置為最接近選定寬度。該等規則可包括在該等寬度範圍內均勻地設置選定寬度。
在一些實施例中,各SRAF之該寬度可為由進一步遮罩最佳化程序最佳化之一離散變數,其中存在比SRAF更少的離散變數。各離散變數可對應於一全域寬度位準。全域寬度位準可在遮罩最佳化程序期間 固定或全域寬度位準可在遮罩最佳化程序期間最佳化。
在一些實施例中,該等寬度之調整可包括:將該等SRAF之連續寬度判定為連續變數;將該等SRAF之該等連續寬度離散化為離散寬度;及藉由變化選自該等離散寬度之該等寬度來繼續該遮罩最佳化程序。
在一些實施例中,可存在一種其上記錄有指令之非暫時性電腦可讀媒體,該等指令在由具有至少一個可程式化處理器之一電腦執行時引起包含上述方法實施例中之該等操作中之任一者的操作。
在一些實施例中,可存在一種用於判定遮罩圖案之系統,系統包含:至少一個可程式化處理器;及其上記錄有指令之非暫時性電腦可讀媒體,該等指令在由具有至少一個可程式化處理器之電腦執行時引起包含上述方法實施例中之該等操作中之任一者的操作。
10A:微影投影設備
12A:輻射源
14A:光學器件
16Aa:光學器件
16Ab:光學器件
16Ac:透射光學器件
18A:圖案化裝置
20A:孔徑
22A:基板平面
31:源模型
32:投影光學器件模型
33:設計佈局
35:設計佈局模型
36:空中影像
37:抗蝕劑模型
38:抗蝕劑影像
300:遮罩圖案
310:主要特徵
320:次解析度輔助特徵
410:S形狀次解析度輔助特徵
420:構架
430:恆定寬度
440:例示性尖端
510:步驟
520:步驟
530:步驟
540:步驟
610:脊點
620:分段
630:內插脊點
632:分段
640:樣條內插曲線
650:控制點
652:分段
660:分段
662:分段
664:分段
670:次解析度輔助特徵邊緣
680:尖端
710:母體
722:寬度
724:寬度
726:寬度
732:區域
734:區域
736:區域
810:操作
820:操作
830:操作
AD:調整裝置
B:輻射光束
BS:匯流排
C:目標部分
CC:游標控制件/收集器腔室
CI:通信介面
CO:聚光器/收集器光學器件/輻射收集器
CS:電腦系統
CT:污染物截留器/污染物障壁
DS:顯示器/下游輻射收集器側
ES:圍封結構
Ex:光束擴展器
FM:琢面化場鏡面裝置
GR:掠入射反射器
HC:主電腦
HP:電漿
ID:輸入裝置
IF:干涉式量測設備/虛擬源點/中間焦點
IL:照明系統/照明光學器件單元
IN:積光器
INT:網際網路
LA:雷射
LAN:區域網路
LPA:微影投影設備
M1:圖案化裝置對準標記
M2:圖案化裝置對準標記
MA:圖案化裝置
MM:主記憶體
MT:第一物件台/支撐結構
NDL:網路鏈路
O:點虛線/光軸
OP:開口
P1:基板對準標記
P2:基板對準標記
PB:光束/圖案化光束
PL:透鏡
PM:第一***
PRO:處理器
PS:投影系統/物品
PS1:位置感測器
PS2:位置感測器
PW:第二***
RE:反射元件
ROM:唯讀記憶體
SC:源腔室
SD:儲存裝置
SF:光柵光譜濾光器
SO:輻射源/源收集器模組
US:上游輻射收集器側
W:基板
WT:第二物件台/基板台
X:方向
Y:方向
併入本說明書中且構成其一部分的隨附圖式展示本文中所揭示之主題的某些態樣,且與描述一起,有助於闡明與所揭示之實施方式相關聯的一些原理。在圖式中,圖1說明根據本發明之實施例之微影投影設備的各種子系統之方塊圖。
圖2說明根據本發明之實施例之用於模擬微影投影設備中的微影之例示性流程圖。
圖3說明根據本發明之實施例之含有主要特徵(MF)及次解析度輔助特徵(SRAF)的遮罩之例示性部分。
圖4說明根據本發明之實施例之例示性恆定寬度SRAF。
圖5說明根據本發明之實施例之判定遮罩圖案的例示性方法。
圖6說明根據本發明之實施例之用於產生SRAF邊緣的例示性方法。
圖7說明根據本發明之實施例之離散SRAF寬度的例示性方法。
圖8說明根據本發明之實施例之包括在最佳化程序的不同部分中將寬度最佳化為連續及離散值兩者之組合最佳化方法。
圖9為根據本發明之實施例之例示性電腦系統的方塊圖。
圖10為根據本發明之實施例之微影投影設備的示意圖。
圖11為根據實施例之另一微影投影設備之示意圖。
圖12為根據本發明之實施例之微影投影設備的詳細圖。
圖13為根據本發明之實施例之微影投影設備的源收集器模組之詳細圖。
儘管在本文中可特定地參考IC製造,但應明確地理解,本文之描述具有許多其他可能應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。熟習此項技術者將瞭解,在此類替代應用之上下文中,在本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應解釋為可分別與更一般術語「遮罩」、「基板」以及「目標部分」互換。
在本文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有約365、248、193、157或126 nm之波長)及極紫外線(EUV輻射,例如,具有在約5至100nm之範圍內之波長)。
圖案化裝置可包含或可形成一或多個設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局,此程序常常稱作電子設計自動化(EDA)。大多數CAD程式遵循預定設計規則集合,以便產生功能設計佈局/圖案化裝置。藉由處理及設計限制而設置此等規則。舉例而言,設計規則限定裝置(諸如,閘極、電容器等)或互連線之間的空間容許度,以便確保裝置或線不會以不合意的方式彼此相互作用。設計規則限制中之一或多者可稱作「臨界尺寸」(CD)。可將裝置之臨界尺寸限定為線或孔之最小寬度或兩條線或兩個孔之間的最小空間。因此,CD判定所設計裝置之母體大小及密度。當然,裝置製造中之目標中之一者係在基板上如實地再現初始設計意圖(經由圖案化裝置)。
如本文中所採用之術語「遮罩」或「圖案化裝置」可廣泛地解釋為係指可用於向入射輻射光束賦予經圖案化橫截面之通用圖案化裝置,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除經典遮罩(透射性或反射性;二元、相移、混合式等)以外,其他此類圖案化裝置之實例包括可程式化鏡面陣列及可程式化LCD陣列。
可程式化鏡面陣列之實例可為具有黏彈性控制層及反射表面的矩陣可定址表面。此類設備所隱含之基本原理為(例如):反射表面之經定址區域使入射輻射反射為繞射輻射,而未經定址區域使入射輻射反射為非繞射輻射。在使用適當濾光器之情況下,可自經反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定 址圖案而變得圖案化。可使用適合的電子方法來執行所需矩陣定址。
可程式化LCD陣列之實例在以引用的方式併入本文中之美國專利第5,229,872號中給出。
圖1說明根據實施例之微影投影設備10A之各種子系統的方塊圖。主要組件為:輻射源12A,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源之其他類型的源(如上文所論述,微影投影設備本身無需具有輻射源);照明光學器件,其例如限定部分相干性(表示為均方偏差)且其可包括塑形來自源12A之輻射的光學器件14A、16Aa及16Ab;圖案化裝置18A;及透射光學器件16Ac,其將圖案化裝置圖案之影像投影至基板平面22A上。在投影光學器件之光瞳平面處的可調整濾光器或孔徑20A可限制照射於基板平面22A上之光束角度之範圍,其中最大可能角度限定投影光學器件之數值孔徑NA=n sin(Θmax),其中n為基板與投影光學器件之最後元件之間的介質之折射率,且Θmax為自投影光學器件射出的仍可照射於基板平面22A上之光束的最大角度。
在微影投影設備中,源將照明(亦即,輻射)提供至圖案化裝置,且投影光學器件經由圖案化裝置將照明引導至基板上且塑形照明。投影光學器件可包括組件14A、16Aa、16Ab及16Ac中之至少一些。空中影像(AI)為在基板層級處之輻射強度分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,其實例可在美國專利申請公開案第US 2009-0157630號中,公開案之揭示內容特此以全文引用之方式併入。抗蝕劑模型僅與抗蝕劑層之性質(例如,在曝光、曝光後烘烤(PEB)及顯影期間發生的化學製程之效應)有關。微影投影設備之光學屬性(例如,照明、圖案化裝置及投影光學件之性質)指示空中影像且可限定於光學模型中。由於可改變微影 投影設備中所使用之圖案化裝置,因此需要將圖案化裝置之光學性質與包括至少源及投影光學器件之微影投影設備之其餘部分的光學性質分離。用以將設計佈局變換成各種微影影像(例如,空中影像、抗蝕劑影像等)、使用彼等技術及模型應用OPC且評估效能(例如,依據程序窗)的技術及模型之細節描述於美國專利申請公開案US 2008-0301620、2007-0050749、2007-0031745、2008-0309897、2010-0162197及2010-0180251中,前述各案之揭示內容特此以全文引用之方式併入。
理解微影程序之一個態樣為理解輻射與圖案化裝置之相互作用。在輻射通過圖案化裝置之後的輻射之電磁場可自在輻射到達圖案化裝置之前的輻射之電磁場及特性化相互作用之函數予以判定。此函數可稱為遮罩透射函數(其可用於描述透射圖案化裝置及/或反射圖案化裝置之相互作用)。
遮罩透射函數可具有各種不同形式。一種形式為二元的。二元遮罩透射函數在圖案化裝置上之任何給定位置處具有兩個值(例如,零及正常數)中之任一者。呈二元形式之遮罩透射函數可稱作二元遮罩。另一形式為連續的。即,圖案化裝置之透射率(或反射率)之模數為圖案化裝置上之位置的連續函數。透射率(或反射率)之相位亦可為圖案化裝置上之位置的連續函數。呈連續形式之遮罩透射函數可稱作連續色調遮罩或連續透射遮罩(CTM)。舉例而言,可將CTM表示為像素化影像,其中可向各像素指派介於0與1之間的值(例如,0.1、0.2、0.3等)而非0或1之二元值。在實施例中,CTM可為像素化灰階影像,其中各像素具有若干值(例如,在範圍[-255,255]內、在範圍[0,1]或[-1,1]或其他適當範圍內之正規化值)。
薄遮罩近似(亦稱為克希荷夫(Kirchhoff)邊界條件)廣泛地用於簡化對輻射與圖案化裝置之相互作用之判定。薄遮罩近似假定圖案化裝置上之結構之厚度與波長相比極小,且遮罩上的結構之寬度與波長相比極大。因此,薄遮罩近似假定在圖案化裝置之後的電磁場為入射電磁場與遮罩透射函數之乘積。然而,當微影程序使用具有愈來愈短之波長的輻射,且圖案化裝置上之結構變得愈來愈小時,對薄遮罩近似之假定可分解。舉例而言,由於結構(例如,頂部表面與側壁之間的邊緣)之有限厚度,輻射與結構之相互作用(「遮罩3D效應」或「M3D」)可變得顯著。在遮罩透射函數中涵蓋此散射可使得遮罩透射函數能夠更好地捕捉輻射與圖案化裝置之相互作用。在薄遮罩近似下之遮罩透射函數可稱作薄遮罩透射函數。涵蓋M3D之遮罩透射函數可稱作M3D遮罩透射函數。
根據本發明之實施例,可產生一或多個影像。該等影像包括可由各像素之像素值或強度值特性化的各種類型之信號。取決於影像內像素之相對值,信號可稱作例如弱信號或強信號,如一般熟習此項技術者可理解。術語「強」及「弱」為基於影像內之像素之強度值的相對術語,且強度之具體值可能不限制本發明之範疇。在實施例中,強及弱信號可基於所選擇臨限值而識別。在實施例中,臨限值可為固定的(例如,影像內像素之最高強度與最低強度的中點。在實施例中,強信號可指具有大於或等於越過影像之平均信號值之值的信號,且弱信號可指具有小於平均信號值之值的信號。在實施例中,相對強度值可基於百分比。舉例而言,弱信號可為具有小於影像內像素(例如,對應於目標圖案之像素可視為具有最高強度之像素)之最高強度的50%的強度之信號。此外,影像內之各像素可視為變數。根據本實施例,導數或偏導數可相關於影像內之各像素判 定,且各像素之值可根據基於成本函數之評估及/或成本函數之基於梯度的計算來判定或修改。舉例而言,CTM影像可包括像素,其中各像素為可採用任何實數值之變數。
圖2說明根據實施例之用於模擬微影投影設備中之微影的例示性流程圖。源模型31表示源之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學器件模型32表示投影光學器件之光學特性(包括由投影光學器件引起的輻射強度分佈及/或相位分佈之改變)。設計佈局模型35表示設計佈局之光學特性(包括由設計佈局33造成的輻射強度分佈及/或相位分佈之改變),設計佈局為在圖案化裝置上或由圖案化裝置形成之特徵配置的表示。可自源模型31、投影光學器件模型32及設計佈局模型35模擬空中影像36。可使用抗蝕劑模型37自空中影像36模擬抗蝕劑影像38。微影之模擬可例如預測抗蝕劑影像中之輪廓及CD。
更具體而言,應注意,源模型31可表示源之光學特性,光學特性包括但不限於數值孔徑設定、照明均方偏差(σ)設定以及任何特定照明形狀(例如,離軸輻射源,諸如環圈、四極子、偶極子等)。投影光學器件模型32可表示投影光學器件之光學特性,光學特性包括像差、失真、一或多個折射率、一或多個實體大小、一或多個實體尺寸等。設計佈局模型35可表示實體圖案化裝置之一或多個物理性質,如例如以全文引用之方式併入本文中之美國專利第7,587,704號中所描述。模擬之目標為精確地預測例如邊緣置放、空中影像強度斜率及/或CD,其可隨後與預期設計進行比較。預期設計通常限定為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。
自此設計佈局,可識別稱作「片段」之一或多個部分。在 實施例中,提取片段集合,其表示設計佈局中之複雜圖案(通常為約50個至1000個片段,但可使用任何數目個片段)。此等圖案或片段表示設計之小部分(亦即,電路、單元或圖案),且更具體而言,該等片段通常表示需要特定注意及/或驗證的小部分。換言之,片段可為設計佈局之部分,或可為相似的或具有設計佈局之部分的相似行為,其中一或多個臨界特徵由體驗(包括由客戶提供之片段)、由試誤法或藉由運行全晶片模擬來予以識別。片段可含有一或多個測試圖案或量規圖案。
可由客戶基於設計佈局中需要特定影像最佳化之一或多個已知臨界特徵區域而先驗地提供初始較大片段集合。替代地,在另一實施例中,可藉由使用識別一或多個臨界特徵區域之某種自動(諸如,機器視覺)或手動演算法自整個設計佈局提取初始較大片段集合。
在微影投影設備中,作為實例,可將成本函數表達為
Figure 111147694-A0305-02-0015-1
其中(z 1,z 2,…,z N )為N個設計變數或其值。f p (z 1,z 2,…,z N )可為設計變數(z 1,z 2,…,z N )的函數,諸如對於(z 1,z 2,…,z N )之設計變數之值的集合之特性之實際值與預期值之間的差。w p 為與f p (z 1,z 2,…,z N )相關聯之權重常數。舉例而言,特性可為在邊緣上之給定點處量測之圖案的邊緣之位置。不同f p (z 1,z 2,…,z N )可具有不同權重w p 。舉例而言,若特定邊緣具有所准許位置之窄範圍,則用於表示邊緣之實際位置與預期位置之間的差的f p (z 1,z 2,…,z N )之權重w p 可給出較高值。f p (z 1,z 2,…,z N )亦可為層間特性之函數,層間特性又為設計變數(z 1,z 2,…,z N )之函數。當然,CF(z 1,z 2,…,z N )不限於上文所顯示之形式。CF(z 1,z 2,…,z N )可呈任何其他合適形式。
成本函數可表示微影投影設備、微影程序或基板之任一或 多個合適特性,例如,焦點、CD、影像移位、影像失真、影像旋轉、隨機變化、產出量、局部CD變化、程序窗、層間特性或其組合。在一個實施例中,設計變數(z 1,z 2,…,z N )包含選自劑量、圖案化裝置之全域偏置及/或照明形狀中之一或多者。由於抗蝕劑影像常常規定基板上之圖案,故成本函數可包括表示抗蝕劑影像之一或多個特性之函數。舉例而言,f p (z 1,z 2,…,z N )可僅係抗蝕劑影像中之一點與彼點之預期位置之間的距離(亦即,邊緣置放誤差EPE p (z 1,z 2,…,z N ))。設計變數可包括任何可調整參數,諸如源、圖案化裝置、投影光學器件、劑量、焦點等之可調整參數。
微影設備可包括可用於調整波前及強度分佈之形狀及/或輻射光束之相移的統稱為「波前操控器」之組件。在一實施例中,微影設備可調整沿著微影投影設備之光學路徑之任何位置處的波前及強度分佈,諸如在圖案化裝置之前、在光瞳平面附近、在影像平面附近及/或在焦點平面附近。波前操控器可用以校正或補償由例如源、圖案化裝置、微影投影設備中之溫度變化、微影投影設備之組件之熱膨脹等所導致的波前及強度分佈及/或相移的某些失真。調整波前及強度分佈及/或相移可改變由成本函數表示之特性的值。可自一模型模擬此類改變或實際上量測此類改變。設計變數可包括波前操控器之參數。
設計變數可具有約束,約束可表達為(z 1,z 2,…,z N )
Figure 111147694-A0305-02-0016-3
Z,其中Z為設計變數之可能值之一集合。可由微影投影設備之所要產出量設置對設計變數之一個可能約束。在無由所要產出量而設置之此約束的情況下,最佳化可得到不切實際之設計變數之值的一集合。舉例而言,若劑量為一設計變數,則在無此約束之情況下,最佳化可得到使產出量經濟上不可能的劑量值。然而,約束之有用性不應解釋為必要性。舉例而言,產出量可受 光瞳填充比影響。對於一些照明設計,低光瞳填充比可捨棄輻射,從而導致較低產出量。產出量亦可受抗蝕劑化學性質影響。較慢抗蝕劑(例如,要求適當地曝光較高量之輻射的抗蝕劑)導致較低產出量。
如本文中所用,術語「圖案化程序」意謂作為微影程序之部分的由施加光之所指定圖案產生經蝕刻基板的程序。
如本文中所使用,術語「目標圖案」意謂待蝕刻於基板上之理想化圖案。
如本文中所使用,術語「印刷圖案」意謂基板上之基於目標圖案蝕刻的實體圖案。經印刷圖案可包括例如凹槽、通道、凹陷、邊緣或由微影程序產生之其他二維及三維特徵。
如本文中所使用,術語「程序模型」意謂包括模擬圖案化程序之一或多個模型的模型。舉例而言,程序模型可包括以下各者之任何組合:一光學模型(例如,模型化用以在微影程序中遞送光的透鏡系統/投影系統且可包括模型化到抗蝕劑上的光之最終光學影像)、一抗蝕劑模型(例如,模型化抗蝕劑之物理效應,諸如歸因於光的化學效應)、一OPC模型(例如,可用於形成目標圖案且可包括次解析度輔助特徵(SRAF)等)、一成像裝置模型(例如,模型化成像裝置可自經印刷圖案成像之物)。
如本文中所使用,術語「成像裝置」意謂可經組態以產生目標之影像(諸如,經印刷圖案或其部分)的任何數目個裝置及相關聯電腦硬體及軟體或其之組合。成像裝置之非限制性實例可包括:掃描電子顯微鏡(SEM)、x射線機器等。
如本文中所使,術語「校準」意謂修改(例如,改良或調整)及/或驗證某物,諸如程序模型。
圖3說明含有主要特徵(MF)及次解析度輔助特徵(SRAF)之遮罩的例示性部分。本文中所描述之遮罩模擬/最佳化程序可用以產生含有MF之遮罩(或遮罩圖案),MF通常符合要利用遮罩印刷之所要特徵(例如,電路跡線)。圖3描繪具有MF 310之幾個簡化實例之遮罩圖案300的例示性部分。由於製造限制、繞射效應或其他間接或精細尺度效應,可產生遮罩圖案以亦包括輔助特徵(AF)及/或SRAF。AF未在圖3之實例中描繪,但理解為與主要特徵之形狀稍微偏差。此類實例可加寬及/或變窄,在特定位置之主要特徵之拐角置放凹口等以促進用遮罩進行精確印刷。然而,本發明主要針對與該等SRAF相關之特徵的判定。如圖3中所見,SRAF 320為與主要(及輔助)特徵分離之遮罩特徵且在遮罩上進一步使用以使最終經印刷圖案更好地接近目標圖案。一般而言,SRAF形狀可變化,包括沿SRAF之寬度變化。然而,如本文中更詳細地描述,本發明提供用於判定及產生SRAF之程序,其中各SRAF可具有恆定寬度。
如本文中所使用,術語「恆定寬度」意謂SRAF之寬度沿其長度實質上恆定,例如變化不超過5%。包括該等SRAF之最終實體遮罩之此類變化可為由於製造不定性引起。然而,本文中所描述之計算/模擬「恆定寬度」SRAF可具有類似小變化,小變化可由於例如用於形成標稱「恆定寬度」SRAF之邊緣的樣條出現。
圖4說明例示性恆定寬度SRAF。遮罩最佳化/產生程序產生之SRAF之形狀可非常不同於對應於最佳化經模擬遮罩所需之複雜形狀。因此,圖4中描繪之S形狀SRAF 410之實例為例示性的且應理解SRAF的任何形狀視為在本發明之範疇內。在如本文中所使用之實施例中,SRAF之通用結構可視為具有SRAF「構架」,其中圖4中之SRAF具 有在SRAF之中心處由虛線描繪的構架420。因此SRAF構架420通常表示SRAF應在遮罩圖案中之位置。SRAF位置可用遮罩最佳化程序來判定,遮罩最佳化程序可包括最佳化指示SRAF可置放之位置的連續色調(灰色調)影像(有時稱作SRAF導引映圖(SGM)或CTM)以便利用用最終遮罩製得之抗蝕劑來改良印刷。SGM可具有將呈現為脊之可偵測變化,因此這可指示候選SRAF位置。
一般而言,SRAF之寬度可變化且在一些實施中為最佳化程序之部分。然而,本發明描述用於判定/最佳化SRAF之寬度之程序,其中各個別SRAF之寬度恆定。圖4中描繪之實例SRAF理解為具有恆定寬度430(即,具有垂直於SRAF構架之任一側的實質上相同的距離)。亦描繪SRAF之例示性尖端440,該尖端可包括以在開口末端處關閉SRAF。
圖5說明判定遮罩圖案之例示性方法。在下文描述可選初始方法步驟510及520。在一些實施例中,方法可包括在530獲得具有各自具有恆定寬度之SRAF之遮罩圖案。方法亦可包括在540處在遮罩圖案之遮罩最佳化程序期間調整寬度。舉例而言,返回參考圖3,儘管所描繪SRAF呈現為具有相同寬度,但所揭示遮罩最佳化程序可變化/判定最好滿足印刷需求所需之個別SRAF的恆定寬度。
在一些實施例中,初始寬度可選自預定寬度組。舉例而言,圖5中之方法可包括在510存取限定用於該等SRAF之初始離散寬度位準。隨後,在520處,方法可包括將該等寬度指派為為初始離散寬度位準之初始寬度。
在整個本發明中,該等術語「離散」及「連續」用於與各種實施例相關以描述SRAF之寬度或表示SRAF之寬度的變數。如本文中 所使用,術語「離散」指代在最佳化程序期間可變化但選自有限數目個可使用離散寬度的數目(例如,寬度)。「離散」寬度之實例可為5nm、7nm、10nm等。相比之下,術語「連續」指代在最佳化程序期間可精細變化之數目/寬度。「連續」寬度之實例可例如在3至15nm之間的範圍內,通過此類範圍之改變的實例為5.0nm、至5.01nm、至5.000001nm或任何其他類型之微小改變。本文中給定之寬度之特定數值僅視為實例,因為實際值高度依賴於具體實施。
接著可通過遮罩最佳化程序變化初始寬度。取決於實施,初始寬度可保持離散或可取決於具體實施視為離散或連續。舉例而言,如下文更詳細地論述,圖8描述其中遮罩最佳化程序可自最佳化連續寬度進行至最佳化離散寬度之實施例。
在討論如何可變化/最佳化SRAF之寬度之前,揭示與實際SRAF邊緣之產生相關的實施例。儘管參考使用脊產生SRAF詳細描述實施例,但本發明不限於此。在不脫離本發明之範疇的情況下可使用任何合適的方法。圖6說明用於產生SRAF邊緣之例示性方法。如先前論述,可在遮罩圖案之具體位置處判定SRAF之候選位置,諸如對應於脊之點處。圖6之左上方部分描繪表示沿脊之例示性位置且在本文中稱作「脊點」之多個點610。如圖6所顯示,所揭示之方法可包括產生可在距對應於SRAF之構架的脊點610大致相等距離處產生之SRAF邊緣。儘管所產生SRAF邊緣可為曲面的(例如,如圖3中所展示),但在其他實施例中,他們可基本線性或具有線性或曲面之變化部分(例如,如圖4之實例中所描繪)。在一些實施中,可判定脊點使得SRAF邊緣平滑地變化。在一些實施中,可根據先前對SRAF位置之判定之論述自SGM判定脊點。因此,脊點可定位於 將參考圖6之剩餘部分進一步描述之對應SRAF邊緣之間。
圖6之右上方部分描繪SRAF產生程序中之例示性下一步驟。在SRAF構架之左上方部分中可見,在SRAF構架之中心附近存在兩個分段620,其中該等分段的長度(對應於端點之脊點610之間的距離)實質上長於SRAF構架中之其他。為了提供更均勻脊點分佈,產生SRAF邊緣可包括對至少兩個脊點執行內插。此可出現,例如,當兩個脊點之間的距離超出距離限制時,內插藉此產生內插脊點630。系統可在滿足某些準則時執行內插,例如脊點之間的絕對距離(例如,大於5nm、10nm等)、脊點之間的相對距離(例如,超過脊點之間平均間隔的1.5倍或2.0倍)或其他準則。在一些實施例中,諸如圖6之右上方中所展示,可使用線性內插使得內插脊點630可在兩個脊點之間的分段632之中點處產生,儘管在其他實施中內插脊點可處於沿分段之任何位置。在一些實施例中,內插脊點可沿兩個脊點之間的樣條內插曲線640產生。在一些實施中,為了具有更受約束且更可能逼真曲線,可利用至少一個其他脊點來產生樣條內插曲線。右上方部分描繪樣條內插曲線看起來可如何之實例,儘管僅顯示例示性線性內插脊點。
繼續圖6之左下方部分,在一些實施例中,SRAF邊緣之產生可包括在垂直於SRAF之脊點的分段652之兩個末端處產生控制點650。如本文中所使用,術語「控制點」指代沿最終SRAF之點。如所顯示,該等分段可具有對應於SRAF之恆定寬度的長度。在本發明之情況下應理解,圖6中所描繪分段主要僅用於說明目的,且基於脊點產生該等SRAF之計算演算法不必逐字地計算、產生或顯示所描述分段中的任一者。舉例而言,所描繪控制點可僅基於知道對應脊點處之法線方向而計算為處於合 適位置。
圖6之左下方部分中亦描繪實例,其中該等分段之兩個(660、662)將交叉,藉此若使用此類點則可能在所得SRAF中產生假影或其他。在一些實施例中,可存在可移除此類不規則性之平滑演算法。在此實例中,兩個碰撞分段描繪為摺疊成單個分段664。
右下方部分描繪基於控制點之該等SRAF邊緣670之產生。如所顯示,該等SRAF邊緣可穿過控制點且可由例如通過任何數目個控制點之一或多個樣條來產生。如先前所提及,關於「恆定寬度」之定義,對例示性SRAF邊緣之仔細檢查顯示由於用於產生他們的樣條之具體特徵(例如,樣條拉力),該等SRAF寬度可不沿SRAF之長度精確恆定。因此,再次理解,當本發明指代恆定寬度SRAF時,此類解釋為包括此等分類之微小變化。在一些實施例中,該等SRAF之產生可包括在適當時將尖端680附加至SRAF邊緣。此類尖端可為半圓形、半橢圓形等。在一些實施例中,尖端亦可為SRAF的邊緣之末端處之兩個控制點之間的引導閉合(例如,線)。
如參考圖5之方法所描述,本發明之某些實施例可包括用於最佳化該等SRAF之寬度以獲得最佳可能印刷結果的具體方法。取決於實施,寬度可視為連續變數或離散變數。
在一些實施例中,各SRAF之該寬度可設置為由該遮罩最佳化程序最佳化之連續變數。以此方式,遮罩最佳化程序可允許寬度以極高精度或以精細漸變變化以便在經模擬遮罩中獲得SRAF之最佳化集合。舉例而言,此類連續變數可視為浮點價值且具有相對大量小數位置(例如,3、5、7等)以描述最佳化寬度。此類實施因此可具有提供高度最佳化 遮罩圖案之技術優勢。
此類實施可併入至遮罩最佳化程序中,遮罩最佳化程序可包括:例如利用微影模型模擬微影程序;預測如由微影模型模擬之遮罩之成像特性;及通過與成像特性相關之成本函數的使用調整一或多個SRAF之寬度以最佳化成像特性。微影模型可包括執行光學鄰近校正最佳化以產生包括輔助特徵之遮罩特徵的邊界。在其他實施例中,微影模型可進一步包括在微影系統中之源遮罩最佳化(SMO)中共同最佳化照明源以及最佳化遮罩特徵。
儘管上文描述成本函數及其在最佳化微影程序中之用途(在一般意義上),但在與揭示之該等SRAF之最佳化一致的一些實施中,與恆定寬度SRAF相關之成本函數可用於遮罩最佳化程序中。成本函數可包括描述邊緣置放誤差、旁瓣印刷、MRC順應性或使用者自定義要求中之一或多者的參數,其中該等參數中之至少一者為該等寬度的函數。作為一個實例,成本函數成本函數可為成本(S),其為此類參數之任何組合之成本函數的函數(例如,總和):S=S EPE (x 1)+S sidelobe (x 2)+S MRC (x 3)+S custom (x 4)+…。 (等式1)
在等式1中,「x」變數可包含經計算成本函數之任何適合依賴性,且如上所指出,可包括SRAF寬度使得成本為一或多個經計算SRAF寬度的函數。此類依賴性可為顯然的(即,在計算中直接具有寬度變數)或不明顯的(即,基於由於寬度之變化而改變的量,諸如旁瓣印刷量)。此外,並非上述全部項需要取決於寬度且考慮依賴寬度或獨立寬度之表達式之任何組合。
圖7說明離散SRAF寬度之例示性方法。所描繪方法表示一 些所揭示實施例以判定該等SRAF之選定寬度的能力。判定可基於來自上文呈現之最佳化程序之該等SRAF的所得寬度之統計。在各種實施例中,並非各SRAF具有其自身個別寬度,而是可存在特定數目個寬度,該等SRAF可各自具有其自身獨特值。在一些實施例中,所選擇寬度之數量可小於五,但亦可例如小於10、小於4或精確為2、3、5、10等。一個例示性方法,其中三個寬度在圖7中由四個圖形描繪,其中變化寬度之大母體調整為三個寬度中之一者。
圖7之頂部部分說明方法可包括判定最佳化寬度之母體710或母體分佈。母體由曲線表示,其中SRAF之寬度位於水平軸線上且寬度處之SRAF的數量由豎直軸線給定。由於可存在各自具有其自身寬度之數十或甚至數百個SRAF,因此此類「母體」可由具有任意但通常精細尺度分箱之直方圖表示。此外,所描繪圖解並不需要表示此類直方圖之曲線可由系統來產生而實際上僅特定化具體寬度之SRAF的母體。
圖7之第二部分說明SRAF之母體覆蓋的寬度範圍內之三個例示性寬度此處,方法可包括基於一或多個規則將選定寬度(例如,寬度722、724、726)設置在母體寬度範圍內。在一些實施例中,該等規則可包括在寬度範圍內均勻設置選定寬度使得該等寬度之該等值均勻分離,或使得該等寬度中之各者處存在偶數(相同)數目個SRAF。
圖7之第三部分說明系統可將各SRAF之寬度設置為最接近選定寬度。此藉由將母體分成三個區域(732、734、736)(由不同交叉影線描繪)來說明,其中各區域之間的邊界選擇為鄰近選定寬度之間的中間(例如,區域732與734之間的邊界介於選定寬度722與724之間)。箭頭說明先前變化寬度接著可改變或摺疊成區域中選定寬度。
圖7之第四(底部)部分隨後說明寬度之最終分佈,其中該等SRAF所有現具有對應於選定寬度722、724或726的寬度。儘管實際母體之各寬度可相同,取決於區域判定之方法,但可存在具有選定寬度的不同數目個SRAF。所描繪最終結果具有多個技術優勢,包括為實質上最佳化解決方案但提供利用減小數目個寬度的遮罩圖案。藉此SRAF寬度之此類壓緊可簡化(或滿足)製造要求(其中大量數目個SRAF寬度可不切實際),同時實質上保持具有由高度精密最佳化判定之SRAF寬度的益處。此外,在一些實施例中,可存在發生以達到最終寬度之又進一步最佳化。
在其他實施例中,並非該等SRAF之該等寬度為可最佳化的連續變數,各SRAF之寬度可為可由進一步遮罩最佳化程序最佳化之離散變數。因此,在此等實施中,可存在比SRAF更少之離散變數。舉例而言,可存在大量SRAF之可能寬度的三個離散值。在一些實施例中,系統可將「全域寬度位準」限定為選自SRAF在最佳化期間容許之一組寬度中的寬度。因此,在某些實施例中,各離散變數可對應於全域寬度位準。下方表格中描繪容許SRAF寬度、全域寬度位準及其由離散變數表示之間的關係之實例。
Figure 111147694-A0305-02-0025-4
返回參考成本函數之使用以執行遮罩最佳化,此類成本函數(成本「S」將最小化)之利用受限於具體全域寬度位準之寬度的簡化表達,但可變化為下方等式2中展示的此類任何組合中: S=CF(w i (x a,b,or c ))。 (等式2)
在各種實施例中,全域寬度位準可在遮罩最佳化程序期間固定或最佳化。舉例而言,在包括在遮罩最佳化程序期間固定全域寬度位準之實施例中,可變化影響成本函數之其他參數,同時將全域寬度位準保持在其選定值(例如,來自上述實例圖表之1.0nm、4.0nm及5.7nm)。在其他實施例中,方法可包括在遮罩最佳化程序期間最佳化全域寬度位準。舉例而言,系統之約束可為僅存在三個離散寬度位準,但可在連續範圍內最佳化彼等三個寬度位準。因此,舉例而言,系統可判定1.2、4.37及6.245之寬度為該等SRAF之最佳化全域寬度位準。在另一實施例中,最佳化可包括自容許寬度選擇全域寬度位準使得該等SRAF對彼等全域寬度位準之使用導致最佳化解決方案。舉例而言,全域寬度位準為1.0nm、4.0nm及5.7nm之具體解決方案之最終成本可高於(不太理想)全域寬度位準為2.0nm、4.0nm及8.2nm的具體解決方案之最終成本。因此,最佳化解決方案將在遮罩圖案中為SRAF使用後一組全域寬度位準。
圖8說明包括在最佳化程序之不同部分中將寬度最佳化為連續及離散值兩者之組合最佳化方法。如圖8中所展示,調整該等SRAF之寬度之步驟(540)可包括如下描述的額外操作(810至830)。操作810可包括判定該等SRAF之連續寬度。此操作可類似於圖7之頂部部分所描繪的操作,其中該SRAF寬度可連續最佳化。操作820可包括將該等SRAF之連續寬度離散化成離散寬度。此操作亦可類似於圖7之第二至第四部分中描繪之操作,其中經由所揭示的演算法中之任一者,先前所判定連續寬度轉化成指定離散寬度中的一者。藉由變化選自離散寬度之該等寬度來繼續遮罩最佳化程序,此等額外操作可在830處繼續。如參考等式1先前描述之 此類一個實例,其中一旦判定離散寬度,則最佳化程序可自離散寬度判定SRAF寬度之最佳組合。
圖9為根據實施例之一實例電腦系統CS的方塊圖。
電腦系統CS包括用於傳達資訊之匯流排BS或其他通信機制及與匯流排BS耦合以供處理資訊之一處理器PRO(或多個處理器)。電腦系統CS亦包括耦合至匯流排BS以用於儲存待由處理器PRO執行之資訊及指令之一主記憶體MM,諸如一隨機存取記憶體(RAM)或其他動態儲存裝置。主記憶體MM亦可用於在待由處理器PRO執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統CS進一步包括耦合至匯流排BS以用於儲存用於處理器PRO之靜態資訊及指令的一唯讀記憶體(ROM)ROM或其他靜態儲存裝置。提供諸如磁碟或光碟之一儲存裝置SD,且可將儲存裝置耦合至匯流排BS以儲存資訊及指令。
電腦系統CS可經由匯流排BS耦合至用於向電腦使用者顯示資訊之一顯示器DS,諸如一陰極射線管(CRT)或平板或觸控面板顯示器。包括文數字及其他按鍵之一輸入裝置ID耦合至匯流排BS以用於將資訊及命令選擇傳達至處理器PRO。另一類型之使用者輸入裝置為用於將方向資訊及命令選擇傳達至處理器PRO及用於控制顯示器DS上之游標移動之游標控制件CC,諸如一滑鼠、一軌跡球或游標方向鍵。此輸入裝置通常具有在兩個軸線(第一軸(例如,x)及第二軸(例如,y))上之兩個自由度,從而允許裝置指定平面中之位置。一觸控面板(螢幕)顯示器亦可用作一輸入裝置。
根據一個實施例,本文中所描述之一或多種方法的部分可藉由電腦系統CS回應於處理器PRO執行主記憶體MM中所含有之一或多個 指令的一或多個序列來執行。可將此等指令自另一電腦可讀媒體(諸如,儲存裝置SD)讀取至主記憶體MM中。執行主記憶體MM中含有之指令序列使得處理器PRO進行本文中所描述之程序步驟。呈多處理配置之一或多個處理器亦可用於執行主記憶體MM中所含有之指令序列。在替代性實施例中,可代替或結合軟體指令而使用硬連線電路。因此,本文中之描述不限於硬體電路及軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器PRO以供執行之任何媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。舉例而言,非揮發性媒體包括光碟或磁碟,諸如儲存裝置SD。揮發性媒體包括動態記憶體,諸如主記憶體MM。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排BS之電線。傳輸媒體亦可採取聲波或光波之形式,諸如,在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體可為非暫時性的,例如軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣。非暫時性電腦可讀媒體可具有記錄於其上之指令。在由電腦執行時,指令可實施本文中所描述的特徵中之任一者。暫時性電腦可讀媒體可包括載波或其他傳播電磁信號。
可在將一或多個指令之一或多個序列攜載至處理器PRO以供執行時涉及各種形式之電腦可讀媒體。舉例而言,可初始地將指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體內,且使用數據機經由電話線來發送指令。在電腦系統CS本端之數據機可接收電話 線上之資料,且使用紅外線傳輸器以將資料轉換為紅外線信號。耦合至匯流排BS之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排BS上。匯流排BS將資料攜載至主記憶體MM,處理器PRO自該主記憶體MM擷取且執行指令。由主記憶體MM接收到之指令可視情況在由處理器PRO執行之前或之後儲存於儲存裝置SD上。
電腦系統CS亦可包括耦合至匯流排BS之通信介面CI。通信介面CI提供與網路鏈路NDL之雙向資料通信耦合,網路鏈路連接至區域網路LAN。舉例而言,通信介面CI可為整合服務數位網路(ISDN)卡或數據機以提供與對應類型之電話線的資料通信連接。作為另一實例,通信介面CI可為提供與相容LAN之資料通信連接的區域網路(LAN)卡。亦可實施無線鏈路。在任何此實施方式中,通信介面CI發送且接收攜載表示各種類型之資訊的數位資料串流之電信號、電磁信號或光學信號。
網路鏈路NDL通常經由一或多個網路將資料通信提供至其他資料裝置。舉例而言,網路鏈路NDL可經由區域網路LAN將連接提供至主電腦HC。此可包括經由全球封包資料通信網路(現在通常稱作「網際網路」INT)而提供之資料通信服務。區域網路LAN(網際網路)皆使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路資料鏈路NDL上且經由通信介面CI之信號為輸送資訊的例示性形式之載波,該等信號將數位資料攜載至電腦系統CS且自電腦系統攜載數位資料。
電腦系統CS可經由網路、網路資料鏈路NDL及通信介面CI發送訊息及接收資料(包括程式碼)。在網際網路實例中,主機電腦HC可經由網際網路INT、網路資料鏈路NDL、區域網路LAN及通信介面CI傳 輸用於應用程式之請求程式碼。舉例而言,一個此類所下載應用程式可提供本文中所描述之方法中的全部或部分。所接收程式碼可在其接收時由處理器PRO執行,及/或儲存於儲存裝置SD或其他非揮發性儲存器中以供稍後實行。以此方式,電腦系統CS可獲得呈載波形式之應用程式碼。
圖10為根據實施例之微影投影設備之示意圖。
微影投影設備可包括照明系統IL、第一物件台MT、第二物件台WT及投影系統PS。
照明系統IL可調節輻射光束B。在此具體情況下,照明系統亦包含輻射源SO。
第一物件台(例如,圖案化裝置台)MT可具備用於固持圖案化裝置MA(例如,倍縮光罩)之圖案化裝置固持器,且連接至用於相對於物品PS來準確地定位圖案化裝置之第一***。
第二物件台(基板台)WT可具備用以固持基板W(例如,抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於項目PS來精確地定位基板的第二***。
投影系統(「透鏡」)PS(例如折射、反射或反射折射光學系統)可將圖案化裝置MA之經照射部分成像至基板W之目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,設備屬於透射類型(例如,具有透射式圖案化裝置)。然而,一般而言,其亦可屬於反射類型,例如(具有反射圖案化裝置)。設備可採用與經典遮罩不同種類之圖案化裝置;實例包括可程式化鏡面陣列或LCD矩陣。
源SO(例如,水銀燈或準分子雷射、雷射產生電漿(LPP) EUV源)產生輻射光束。舉例而言,此光束係直接地或在已橫穿諸如光束擴展器Ex之調節設備之後饋入至照明系統(照明器)IL中。照明器IL可包含調整裝置AD以用於設定光束中之強度分佈之外部及/或內部徑向範圍「通常分別被稱作σ外部及σ內部)。另外,照明器IL通常可包含各種其他組件,諸如積光器IN及聚光器CO。以此方式,照射於圖案化裝置MA上之光束B在其橫截面中具有所要均勻性及強度分佈。
在一些實施例中,源SO可在微影投影設備之殼體內(如常常為在源SO為例如水銀燈時的情況下),但其亦可遠離微影投影設備,源SO產生的輻射光束經引導至設備中(例如,藉助於適合的導向鏡);此後一情形可為在源SO為準分子雷射(例如,基於KrF、ArF或F2雷射)時的情況。
光束PB隨後截取固持於圖案化裝置台MT上之圖案化裝置MA。在已橫穿圖案化裝置MA之情況下,光束B可穿過透鏡PL,透鏡PL將光束B聚焦至基板W之目標部分C上。憑藉第二定位設備(及干涉式量測設備IF),可準確地移動基板台WT,例如以便將不同目標部分C定位於光束PB之路徑中。類似地,第一定位設備可用以例如在自圖案化裝置庫中機械擷取圖案化裝置MA之後或在掃描期間相對於光束B之路徑精確地定位圖案化裝置MA。一般而言,可憑藉長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在步進器(相對於步進掃描工具)之情況下,圖案化裝置台MT可僅連接至短衝程致動器,或可固定。
可在兩種不同模式-步進模式及掃描模式中使用所描繪工具。在步進模式中,將圖案化裝置台MT保持基本上靜止,且將整個圖案 化裝置影像一次性投影((亦即,單次「閃光」)至目標部分C上。可使基板台WT在x及/或y方向上移位,使得不同目標部分C可由光束PB照射。
在掃描模式中,除了單次「閃光」中不曝光給定目標部分C之外,基本上相同之情形適用。取而代之,圖案化裝置台MT可在給定方向(所謂的「掃描方向」,例如,y方向)上以速度v移動,以使得使投影光束B在圖案化裝置影像上進行掃描;同時,基板台WT以速度V=Mv在相同或相對方向上同時地移動,其中M為透鏡PL之放大率(通常,M=1/4或1/5)。以此方式,可在不必損害解析度之情況下曝光相對較大的目標部分C。
圖11為根據實施例之另一微影投影設備(LPA)的示意圖。
LPA可包括源收集器模組SO、經組態以調節輻射光束B(例如EUV輻射)之照明系統(照明器)IL、支撐結構MT、基板台WT及投影系統PS。
支撐結構(例如,圖案化裝置台)MT可經建構以支撐圖案化裝置(例如,遮罩或倍縮光罩)MA且連接至經組態以準確地定位圖案化裝置之第一***PM; 基板台(例如,晶圓台)WT可經建構以固持基板(例如,抗蝕劑塗佈晶圓)W且連接至經組態以準確地定位基板之第二***PW。
投影系統(例如,反射性投影系統)PS可經組態以將藉由圖案化裝置MA賦予至輻射光束B之圖案投影至基板W的目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,LPA可具有反射類型(例如,使用反射圖案化裝置)。應注意,由於大多數材料在EUV波長範圍內具吸收性,因此圖 案化裝置可具有包含例如鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中各層之厚度為四分之一波長。可利用X射線微影來產生甚至更小之波長。由於大多數材料在EUV及x射線波長下具吸收性,因此圖案化裝置構形上的圖案化吸收材料之薄件(例如,在多層反射器的頂部上之TaN吸收體)限定特徵將印刷(正性抗蝕劑)或不印刷(負性抗蝕劑)在何處。
照明器IL可自源收集器模組SO接收極紫外輻射光束。用以產生EUV輻射之方法包括但未必限於用在EUV範圍內之一或多種發射譜線將具有至少一個元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿(「LPP」))中,可藉由運用雷射光束來照射燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射之EUV輻射系統的部分,雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射(例如,EUV輻射),輸出輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當CO2雷射用於為燃料激發提供雷射光束時,雷射及源收集器模組可為分離實體。
在此等情況下,可不認為雷射形成微影設備之部件,且輻射光束可藉助於包含例如適合導向鏡及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他情況下,舉例而言,當源為放電產生電漿EUV產生器(常常稱為DPP源)時,源可為源收集器模組之整體部分。
照明器IL可包含用於調整輻射光束之角強度分佈的調整器。通常,可調整照射器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別稱作σ外部及σ內部)。另外,照明器IL可包含 各種其他組件,諸如琢面化場及琢面化光瞳鏡面裝置。照射器可用於調節輻射光束,以在其橫截面中具有所需均勻性及強度分佈。
輻射光束B可入射於固持於支撐結構(例如,圖案化裝置台)MT上之圖案化裝置(例如,遮罩)MA上,且藉由圖案化裝置而圖案化。在自圖案化裝置(例如,遮罩)MA反射之後,輻射光束B穿過投影系統PS,投影系統PS將光束聚焦至基板W之目標部分C上。藉助於第二***PWq位置感測器PS2(例如,干涉量測裝置、線性編碼器或電容式感測器),可精確地移動基板台WT,例如以便使不同目標部分C定位於輻射光束B之路徑中。類似地,第一***PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確定位圖案化裝置(例如,遮罩)MA。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置(例如,遮罩)MA及基板W。
所描繪之設備LPA可用於以下模式中之至少一者:步進模式、掃描模式及靜止模式。
在步進模式中,在將經賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,圖案化裝置台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上偏移,使得可曝光不同目標部分C。
在掃描模式下,在將賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,圖案化裝置台)MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,圖案化裝置台)MT之速度及方向。
在靜止模式中,固持可程式化圖案化裝置之支撐結構(例如,圖案化裝置台)MT基本上保持靜止,且移動或掃描基板台WT同時將賦予至輻射光束之圖案投影至目標部分C上。在此模式中,通常採用脈衝式輻射源且視需要在基板台WT之各移動之後或在掃描期間之連續輻射脈衝之間更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如,可程式規劃鏡面陣列)之無遮罩微影。
圖12為根據實施例之微影投影設備的詳細視圖。
如所展示,LPA可包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可在源收集器模組SO之圍封結構ES中維持真空環境。可藉由放電產生電漿源而形成EUV輻射發射熱電漿HP。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)而產生EUV輻射,其中產生熱電漿HP以發射在電磁波譜之EUV範圍內之輻射。舉例而言,藉由產生至少部分離子化電漿之放電來產生極熱電漿HP。為了輻射之高效產生,可需要為例如10Pa之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由熱電漿HP發射之輻射經由定位於源腔室SC中之開口中或後方之視情況選用的氣體障壁或污染物截留器CT(在一些情況下,亦稱為污染物障壁或箔片截留器)而自源腔室SC傳遞至收集器腔室CC中。污染物截留器CT可包括通道結構。污染物截留器CT亦可包括氣體障壁,或氣體障壁與通道結構之組合。此項技術中已知,本文中進一步所指示之污染物截留器或污染物障壁CT至少包括通道結構。
收集器腔室CC可包括可為所謂掠入射收集器之輻射收集器 CO。輻射收集器CO具有上游輻射收集器側US及下游輻射收集器側DS。橫穿輻射收集器CO之輻射可自光柵光譜濾光器SF反射以沿著由點虛線『O』指示之光軸聚焦於虛擬源點IF中。虛擬源點IF可被稱作中間焦點,且源收集器模組可經配置使得中間焦點IF位於圍封結構ES中之開口OP處或附近。虛擬源點IF為輻射發射電漿HP之影像。
隨後,輻射橫穿照明系統IL,照明系統可包括琢面化場鏡面裝置FM及琢面化光瞳鏡面裝置PM,琢面化場鏡面裝置及琢面化光瞳鏡面裝置經配置以提供在圖案化裝置MA處的輻射光束B之所要角度分佈以及在圖案化裝置MA處的輻射振幅之所要均勻性。在由支撐結構MT固持之圖案化裝置MA處反射輻射光束B後,形成圖案化光束PB,且圖案化光束PB由投影系統PS經由反射元件RE成像至由基板台WT固持之基板W上。
比所展示之元件更多的元件通常可存在於照明光學器件單元IL及投影系統PS中。取決於微影設備之類型,光柵光譜濾光器SF可視情況存在。另外,可存在比諸圖所展示之鏡面更多的鏡面,例如,在投影系統PS中可存在1至6個額外反射元件。
收集器光學器件CO可為具有掠入射反射器GR之巢套式收集器,僅作為收集器(或收集器鏡面)之實例。掠入射反射器GR經安置為圍繞光軸O軸向對稱,且此類型之收集器光學器件CO可與通常稱為DPP源之放電產生電漿源組合使用。
圖13為根據實施例之微影投影設備LPA之源收集器模組SO的詳細視圖。
源收集器模組SO可為LPA輻射系統之部分。雷射LA可經 配置以將雷射能量存放至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數10eV之電子溫度的高度離子化電漿HP。在此電漿之去激發及再結合期間所產生之高能輻射自電漿發射,由近正入射收集器光學器件CO收集,且聚焦至圍封結構ES中的開口OP上。
本文中所揭示之概念可模擬或數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其用於能夠產生愈來愈短波長之新興成像技術。已經在使用中之新興技術包括極紫外線(EUV)、DUV微影,其能夠藉由使用ArF雷射來產生193nm之波長,且甚至能夠藉由使用氟雷射來產生157nm之波長。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20至50nm之範圍內的波長,以便產生在此範圍內之光子。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上之裝置製造,但應理解,所揭示概念可供任何類型之微影成像系統使用,例如用於在除矽晶圓以外的基板上之成像的微影成像系統。
本發明之實施例可藉由以下條項進一步描述。
1.一種判定遮罩圖案之方法,該方法包含:獲得包含各自具有恆定寬度之次解析度輔助特徵(SRAF)之遮罩圖案;及在該遮罩圖案之遮罩最佳化程序期間調整該等寬度。
2.如條項1之方法,其進一步包含:存取限定用於該等SRAF之初始離散寬度位準;及將該等寬度指派為來自該等初始離散寬度位準之初始寬度。
3.如條項1之方法,其進一步包含產生SRAF邊緣。
4.如條項3之方法,其進一步包含產生處於與對應於SRAF之位置之脊點大致相等的距離的該SRAF邊緣。
5.如條項4之方法,其中該所產生SRAF邊緣為曲面的。
6.如條項4之方法,其中判定該等脊點使得該等SRAF邊緣平滑地變化。
7.如條項4之方法,其進一步包含自SRAF導引映圖(SGM)判定脊點,該等脊點定位於對應SRAF邊緣之間。
8.如條項7之方法,該等SRAF邊緣之該產生可包含:當兩個脊點之間的距離超出距離限制時對至少兩個脊點執行內插,該內插產生內插脊點。
9.如條項8之方法,其中在兩個脊點之間的分段之中點處產生該內插脊點。
10.如條項8之方法,其中沿該等兩個脊點之間的樣條內插曲線產生該內插脊點且利用至少一個其他脊點產生該樣條內插曲線。
11.如條項3之方法,該等SRAF邊緣之該產生包含:在垂直於該SRAF之該等脊點之分段的兩個末端處產生控制點,其中該等分段具有對應於該SRAF之該恆定寬度之一長度。
12.如條項3之方法,其進一步包含將尖端附加至該等SRAF邊緣。
13.如條項1之方法,其中各SRAF之該寬度設置為由該遮罩最佳化程序最佳化之連續變數。
14.如條項13之方法,該遮罩最佳化程序包含:利用微影模型來模擬微影程序;預測如由該微影模型模擬之該遮罩之成像特性;及 通過與該成像特性相關之成本函數之使用來調整一或多個SRAF的該寬度以最佳化該成像特性。
15.如條項14之方法,其進一步包含執行光學鄰近校正最佳化以產生包括輔助特徵(AF)之遮罩特徵的邊界。
16.如條項15之方法,其進一步包含在微影系統中之源遮罩最佳化(SMO)中共同最佳化照明源以及最佳化該等遮罩特徵。
17.如條項1之方法,其中,用於該遮罩最佳化程序中之成本函數包含描述邊緣置放誤差、旁瓣印刷、遮罩規則檢查(MRC)順應性或使用者自定義要求中之一或多者的參數,且其中該等參數中的至少一者為該等寬度之函數。
18.如條項1之方法,其進一步包含基於寬度之最佳化連續變數來判定該等SRAF之選定寬度。
19.如條項18之方法,其中選定寬度之數目小於五。
20.如條項18之方法,該判定進一步包含:判定該等最佳化寬度之母體或母體分佈;基於一或多個規則將該等選定寬度設置在該母體或該母體分佈之寬度範圍內;及將各SRAF之該寬度設置為最接選定寬度。
21.如條項20之方法,其中該一或多個規則包括將該等選定寬度均勻設置在寬度的範圍內。
22.如條項1之方法,其中各SRAF之該寬度可為由進一步遮罩最佳化程序最佳化之離散變數,其中存在比SRAF更少的離散變數。
23.如條項22之方法,其中各離散變數可對應於全域寬度位準。
24.如條項23之方法,其進一步包含在該遮罩最佳化程序期間固定該全域寬度位準。
25.如條項23之方法,其進一步包含在該遮罩最佳化程序期間最佳化該全域寬度位準。
26.如條項1之方法,該等寬度之該調整包含:將該等SRAF之連續寬度判定為連續變數;將該等SRAF之該等連續寬度離散化為離散寬度;及藉由變化選自該等離散寬度之該等寬度來繼續該遮罩最佳化程序。
27.一種其上記錄有指令之非暫時性電腦可讀媒體,該等指令在由一或多個可程式化處理器執行時引起該處理器以執行條項1至26中之任一項之方法。
28.一種用於判定遮罩圖案之系統,該系統包含:至少一個可程式化處理器;及一種其上記錄有指令之非暫時性電腦可讀媒體,該等指令在由該至少一個可程式化處理器執行時引起該系統執行如條項1至26中任一項之方法。
本文中所揭示之該等元件之組合及子組合構成各別實施例且僅作為實例提供。此外,以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
710:母體
722:寬度
724:寬度
726:寬度
732:區域
734:區域
736:區域

Claims (14)

  1. 一種判定遮罩圖案之方法,該方法包含:獲得包含各自具有恆定寬度(constant widths)之次解析度輔助特徵(sub-resolution assist features)(SRAF)之一遮罩圖案;及在該遮罩圖案之一遮罩最佳化程序期間調整該等SRAF之該等寬度,其中各SRAF之該寬度設置為由該遮罩最佳化程序最佳化之一連續變數。
  2. 如請求項1之方法,其進一步包含:存取限定用於該等SRAF之初始離散寬度位準;及將該等寬度指派為來自該等初始離散寬度位準之初始寬度。
  3. 如請求項1之方法,其進一步包含產生處於與對應於該SRAF之一位置之脊點大致相等的距離的SRAF邊緣,其中該等所產生SRAF邊緣為曲面的,且其中判定該等脊點使得該等SRAF邊緣平滑地變化。
  4. 如請求項3之方法,其進一步包含自一SRAF導引映圖(SGM)判定脊點,及當兩個脊點之間的一距離超出一距離限制時對至少兩個脊點執行內插,該內插產生內插脊點。
  5. 如請求項3之方法,該等SRAF邊緣之該產生包含:在垂直於該SRAF之該等脊點之分段的兩個末端處產生控制點,其中該等分段具有對應於該 SRAF之該恆定寬度之一長度。
  6. 如請求項1之方法,該遮罩最佳化程序包含:利用一微影模型來模擬一微影程序;預測如由該微影模型模擬之該遮罩之一成像特性;及通過與該成像特性相關之一成本函數之使用來調整一或多個SRAF的該寬度以最佳化該成像特性。
  7. 如請求項1之方法,其進一步包含執行光學鄰近校正最佳化以產生包括輔助特徵(AF)之遮罩特徵之邊界,或在一微影系統中之一源遮罩最佳化(SMO)中共同最佳化一照明源以及最佳化該等遮罩特徵。
  8. 如請求項1之方法,其中用於該遮罩最佳化程序中之一成本函數包含描述一邊緣置放誤差、旁瓣印刷(sidelobe printing)、遮罩規則檢查(MRC)順應性或一預定義要求中之一或多者的參數,且其中該等參數中之至少一者為該等寬度之一函數。
  9. 如請求項7之方法,其進一步包含基於表示寬度之最佳化連續變數來判定該等SRAF之選定寬度。
  10. 如請求項9之方法,該判定包含:判定該等最佳化寬度之一母體(population)或一母體分佈;基於一或多個規則將該等選定寬度設置在該母體或該母體分佈之一 寬度範圍內;及將各SRAF之該寬度設置為最接近選定寬度。
  11. 如請求項1之方法,其中各SRAF之該寬度為由進一步遮罩最佳化程序最佳化之一離散變數,且其中存在比SRAF更少的離散變數,其中該進一步遮罩最佳化程序中之各離散變數對應於一全域寬度位準。
  12. 如請求項11之方法,其進一步包含在該遮罩最佳化程序期間固定或最佳化該全域寬度位準。
  13. 如請求項1之方法,該等寬度之該調整包含:將該等SRAF之連續寬度判定為連續變數;將該等SRAF之該等連續寬度離散化為離散寬度;及藉由變化待選自該等離散寬度之該等寬度來執行該遮罩最佳化程序。
  14. 一種其上記錄有指令之非暫時性電腦可讀媒體,該等指令在由至少一個可程式化處理器執行時執行如請求項1至13中任一項之方法。
TW111147694A 2021-12-14 2022-12-13 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統 TWI839015B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN2021137860 2021-12-14
WOPCT/CN2021/137860 2021-12-14

Publications (2)

Publication Number Publication Date
TW202338489A TW202338489A (zh) 2023-10-01
TWI839015B true TWI839015B (zh) 2024-04-11

Family

ID=84463301

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111147694A TWI839015B (zh) 2021-12-14 2022-12-13 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統

Country Status (2)

Country Link
TW (1) TWI839015B (zh)
WO (1) WO2023110346A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050094121A1 (en) * 2003-04-17 2005-05-05 Asml Netherlands B.V. Illuminator controlled tone reversal printing
US20080301620A1 (en) * 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20090064085A1 (en) * 2007-08-31 2009-03-05 Bang Ju-Mi Method of creating photo mask layout, computer readable recording medium storing programmed instructions for executing the method, and mask imaging system
CN101681093A (zh) * 2007-06-04 2010-03-24 睿初科技公司 用于实施基于模型的光刻引导的布局设计的方法
TW202030542A (zh) * 2017-02-25 2020-08-16 荷蘭商Asml荷蘭公司 圖案化裝置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP1920369A2 (en) 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US10262100B2 (en) * 2017-05-24 2019-04-16 Synopsys, Inc. Rule based assist feature placement using skeletons

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050094121A1 (en) * 2003-04-17 2005-05-05 Asml Netherlands B.V. Illuminator controlled tone reversal printing
US20080301620A1 (en) * 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
CN101681093A (zh) * 2007-06-04 2010-03-24 睿初科技公司 用于实施基于模型的光刻引导的布局设计的方法
US20090064085A1 (en) * 2007-08-31 2009-03-05 Bang Ju-Mi Method of creating photo mask layout, computer readable recording medium storing programmed instructions for executing the method, and mask imaging system
TW202030542A (zh) * 2017-02-25 2020-08-16 荷蘭商Asml荷蘭公司 圖案化裝置

Also Published As

Publication number Publication date
WO2023110346A1 (en) 2023-06-22
TW202338489A (zh) 2023-10-01

Similar Documents

Publication Publication Date Title
US20230013919A1 (en) Machine learning based inverse optical proximity correction and process model calibration
TW201539226A (zh) 用於微影程序之最佳化流程
US20220276563A1 (en) Prediction data selection for model calibration to reduce model prediction uncertainty
TWI723292B (zh) 圖案化製程之最佳化流程
TWI759660B (zh) 判定光罩圖案之方法及相關非暫時性電腦程式產品
TWI783185B (zh) 藉由源及遮罩最佳化以建立理想源光譜的方法
US11422473B2 (en) Utilize pattern recognition to improve SEM contour measurement accuracy and stability automatically
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
US20190130060A1 (en) Mapping of patterns between design layout and patterning device
KR20200109372A (ko) 레지스트 모델 예측들을 개선하는 시스템들 및 방법들
WO2022268434A1 (en) Etch simulation model including a correlation between etch biases and curvatures of contours
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TWI842639B (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
US20230010700A1 (en) Method and system for enhancing target features of a pattern imaged onto a substrate
KR20240113965A (ko) 일정한 폭의 서브-분해능 어시스트 피처 결정을 위한 방법, 소프트웨어, 및 시스템
TW202409714A (zh) 用於最佳化微影程序之基於繞射的光瞳判定
WO2024017807A1 (en) Systems and methods for optimizing metrology marks
WO2023180020A1 (en) Lithographic pattern representation with curvilinear elements
TW202424656A (zh) 多層級蝕刻程序之模型化
WO2024041831A1 (en) Modelling of multi-level etch processes
WO2024013038A1 (en) Stochastic-aware source mask optimization based on edge placement probability distribution
WO2023131570A1 (en) Software, methods, and systems for determination of a local focus point
CN115047719A (zh) 基于由光刻设备或过程特性表征的图案表示来选择该图案