KR102642972B1 - 모델 캘리브레이션을 위한 게이지 선택의 향상 - Google Patents

모델 캘리브레이션을 위한 게이지 선택의 향상 Download PDF

Info

Publication number
KR102642972B1
KR102642972B1 KR1020217027283A KR20217027283A KR102642972B1 KR 102642972 B1 KR102642972 B1 KR 102642972B1 KR 1020217027283 A KR1020217027283 A KR 1020217027283A KR 20217027283 A KR20217027283 A KR 20217027283A KR 102642972 B1 KR102642972 B1 KR 102642972B1
Authority
KR
South Korea
Prior art keywords
gauges
model
subset
gauge
models
Prior art date
Application number
KR1020217027283A
Other languages
English (en)
Other versions
KR20210121153A (ko
Inventor
레이 왕
무 펭
치안 자오
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210121153A publication Critical patent/KR20210121153A/ko
Application granted granted Critical
Publication of KR102642972B1 publication Critical patent/KR102642972B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Spectrometry And Color Measurement (AREA)

Abstract

게이지 선택을 위한 방법이 본원에서 설명된다. 게이지 선택을 위한 방법은 패턴화 프로세스와 관련되는 프로세스 모델을 캘리브레이팅함에 있어서 사용될 수도 있다. 방법은, 패턴화 프로세스와 관련되는 하나 이상의 속성(예를 들면, 게이지 이름, 가중치, 선량, 초점, 모델 오차, 등등)을 갖는 초기 게이지의 세트를 획득하는 것; 초기 게이지의 세트로부터 초기 게이지의 서브세트를 선택하는 것을 포함하되, 초기 게이지의 서브세트를 선택하는 것은: 하나 이상의 속성의 제1 속성 파라미터에 기초하여 초기 게이지의 세트로부터 게이지의 제1 서브세트 - 게이지의 제1 서브세트는 프로세스 모델(예를 들면, 광학기기 모델, 레지스트 모드, 등등)을 캘리브레이팅하도록 구성됨 - 를 결정하는 것을 포함한다.

Description

모델 캘리브레이션을 위한 게이지 선택의 향상
관련 출원에 대한 교차 참조
본 출원은 2019년 2월 27일자로 출원된 미국 출원 제62/811,281호의 우선권을 주장하는데, 그 미국 출원은 참조에 의해 그 전체가 본원에 통합된다.
기술 분야
본원의 설명은 일반적으로 리소그래피 프로세스와 관련되는 모델 캘리브레이션을 위한 테스트 패턴에 관한 것으로, 더 구체적으로는 테스트 패턴의 더 큰 세트로부터 테스트 패턴의 최적의 세트를 선택하는 것에 관한 것이다.
리소그래피 투영 장치(lithographic projection apparatus)는, 예를 들면, 집적 회로(integrated circuit; IC)의 제조에서 사용될 수 있다. 그러한 경우, 패턴화 디바이스(예를 들면, 마스크)는 IC의 개개의 층("설계 레이아웃")에 대응하는 패턴을 포함하거나 또는 제공할 수도 있으며, 이 패턴은, 패턴화 디바이스 상의 패턴을 통해 타겟 부분을 조사하는 것과 같은 방법에 의해, 방사선 감응 재료("레지스트")의 층으로 코팅된 기판(예를 들면, 실리콘 웨이퍼) 상의 타겟 부분(예를 들면, 하나 이상의 다이를 포함함) 상으로 전사될 수 있다. 일반적으로, 단일 기판은 패턴이 리소그래피 투영 장치에 의해, 한 번에 하나의 타겟 부분씩, 연속적으로 전사되는 복수의 인접 타겟 부분을 포함한다. 하나의 타입의 리소그래피 투영 장치에서, 전체 패턴화 디바이스 상의 패턴은 한 번에 하나의 타겟 부분 상으로 전사된다; 그러한 장치는 일반적으로 스테퍼로 칭해진다. 일반적으로 스텝 앤 스캔 장치(step-and-scan apparatus)로 칭해지는 대안적인 장치에서, 투영 빔은 지정된 기준 방향("스캐닝" 방향)에서 패턴화 디바이스를 스캔하고, 동시에 기판을 이 기준 방향에 대해 평행 또는 반평행하게 이동한다. 패턴화 디바이스 상의 패턴의 상이한 부분은 점진적으로 하나의 타겟 부분으로 전사된다. 일반적으로, 리소그래피 투영 장치가 감소 비율(M)(예를 들면, 4)을 가질 것이기 때문에, 기판이 이동되는 속도(F)는 투영 빔이 패턴화 디바이스를 스캔하는 속도의 1/M 배가 될 것이다. 리소그래피 디바이스에 관한 더 많은 정보는, 예를 들면, 참조에 의해 본원에 통합되는 US 6,046,792에서 발견될 수 있다.
패턴화 디바이스로부터 기판으로 패턴을 전사하기 이전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 프로시져를 거칠 수도 있다. 노광(exposure) 이후, 기판은 노광 이후 베이킹(post-exposure bake; PEB), 현상, 하드 베이킹(hard bake) 및 전사된 패턴의 측정/검사와 같은 다른 프로시져("노광 이후 프로시져")를 받을 수도 있다. 이 프로시져의 어레이는, 디바이스, 예를 들면, IC의 개개의 층을 만들기 위한 기초로 사용된다. 그 다음, 기판은 에칭, 이온 주입(도핑), 금속화(metallization), 산화, 화학적 기계적 연마, 등등과 같은 다양한 프로세스를 거칠 수도 있는데, 이들 모두는 디바이스의 개개의 층을 마무리하도록 의도된다. 디바이스에서 여러 개의 층이 필요한 경우, 그러면, 전체 프로시져 또는 그 변형이 각각의 층에 대해 반복된다. 결국, 디바이스가 기판 상의 각각의 타겟 부분에 존재할 것이다. 그 다음, 이들 디바이스는 다이싱 또는 쏘잉과 같은 기술에 의해 서로 분리되고, 그러므로, 개개의 디바이스는 캐리어 상에 장착될 수 있거나, 핀에 연결될 수 있거나, 등등으로 될 수 있다.
따라서, 반도체 디바이스와 같은 디바이스를 제조하는 것은, 통상적으로, 다수의 제조 프로세스를 사용하여 기판(예를 들면, 반도체 웨이퍼)을 프로세싱하여 디바이스의 다양한 피쳐(feature) 및 다수의 층을 형성하는 것을 수반한다. 그러한 층 및 피쳐는, 통상적으로, 예를 들면, 퇴적, 리소그래피, 에칭, 화학적 기계적 연마, 및 이온 주입을 사용하여 제조되고 프로세싱된다. 다수의 디바이스가 기판 상의 복수의 다이 상에 제조될 수도 있고, 그 다음, 개개의 디바이스로 분리될 수도 있다. 이 디바이스 제조 프로세스는 패턴화 프로세스로 간주될 수도 있다. 패턴화 프로세스는, 패턴화 디바이스 상의 패턴을 기판으로 전사하기 위한 패턴화 단계, 예컨대 리소그래피 장치에서 패턴화 디바이스를 사용하는 광학적 및/또는 나노임프린트 리소그래피를 수반하지만, 그러나 옵션 사항으로(optionally), 하나 이상의 관련된 패턴 프로세싱 단계, 예컨대 현상 장치에 의한 레지스트 현상, 베이킹 툴을 사용하는 기판의 베이킹, 에칭 장치를 사용하는 패턴을 사용하는 에칭, 등등을 수반한다.
언급한 바와 같이, 리소그래피는 IC와 같은 디바이스 제조에서 중심 단계인데, 기판 상에 형성되는 패턴은, 마이크로프로세서, 메모리 칩, 등등과 같은 디바이스의 기능성 엘리먼트를 정의한다. 플랫 패널 디스플레이, 마이크로 전자기계 시스템(micro-electromechanical system; MEMS) 및 다른 디바이스의 형성에서 유사한 리소그래피 기술이 또한 사용된다.
반도체 제조 프로세스가 계속 발전함에 따라, 기능성 엘리먼트의 치수는 지속적으로 감소하였고, 한편, 일반적으로 '무어의 법칙(Moore's law)'으로 지칭되는 경향에 따라, 디바이스당, 트랜지스터와 같은 기능성 엘리먼트의 양은 수십 년에 걸쳐 지속적으로 증가하고 있다. 기술의 현재 상태에서, 디바이스의 층은 심 자외선 조명 소스로부터의 조명을 사용하여 기판 상에 설계 레이아웃을 투영하는 리소그래피 투영 장치를 사용하여 제조되어, 100 nm 꽤 아래의, 즉 조명 소스(예를 들면, 193 nm 조명 소스)으로부터의 방사선(radiation)의 파장 절반 미만의 치수를 갖는 개개의 기능성 엘리먼트를 생성한다.
리소그래피 투영 장치의 고전적인 분해능 한계보다 더 작은 치수를 갖는 피쳐가 인쇄되는 이 프로세스는, 분해능 공식 CD = k1×λ/NA에 따라 낮은 k1 리소그래피로 일반적으로 알려져 있는데, 여기서 λ는 활용되는 방사선 파장이고(현재 대부분의 경우 248 nm 또는 193 nm), NA는 리소그래피 투영 장치에 있는 투영 광학기기(projection optic)의 개구수이고, CD는 "임계 치수" - 일반적으로, 인쇄되는 가장 작은 피쳐 사이즈 - 이고, k1은 경험적 분해능 인자(empirical resolution factor)이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능성 및 성능을 달성하기 위해, 설계자에 의해 계획되는 형상 및 치수를 닮은 패턴을 기판 상에서 재현하는 것이 더 어려워진다. 이들 어려움을 극복하기 위해, 리소그래피 투영 장치, 설계 레이아웃, 또는 패턴화 디바이스에 정교한 미세 튜닝 단계가 적용된다. 이들은, 예를 들면, NA 및 광학적 가간섭성(coherence) 설정의 최적화, 맞춤형 조명 방식(scheme), 위상 시프팅 패턴화 디바이스의 사용, 설계 레이아웃에서의 광학 근접 보정(optical proximity correction)(OPC, 때때로 "광학 및 프로세스 보정"으로 또한 칭해짐), 또는 일반적으로 "분해능 향상 기술(resolution enhancement technique; RET)"로서 일반적으로 정의되는 다른 방법을 포함하지만, 그러나 이들로 제한되지는 않는다.
OPC 및 다른 RET는 리소그래피 프로세스를 정확하게 설명하는 강건한 모델을 활용한다. 따라서, 프로세스 윈도우 전체에 걸쳐 유효하고 강건하며 정확한 모델을 제공하는 그러한 리소그래피 모델에 대한 캘리브레이션 프로시져가 소망된다. 현재, 캘리브레이션은 웨이퍼 측정과 함께 소정의 개수의 1 차원 및/또는 2 차원 게이지 패턴을 사용하여 행해진다. 더 구체적으로, 그들 1 차원 게이지 패턴은, 다양한 피치 및 CD를 갖는 라인 공간 패턴(line-space pattern), 분리된 라인, 다수의 라인, 등등을 포함하지만, 그러나 이들로 제한되지는 않으며, 2 차원 게이지 패턴은 통상적으로 라인 엔드(line-end), 콘택, 및 랜덤하게 선택된 SRAM(static random access memory; 정적 랜덤 액세스 메모리) 패턴을 포함한다.
본원에서 사용되는 바와 같은 용어 "투영 광학기기(projection optic)"는, 예를 들면, 굴절 광학기기, 반사 광학기기, 어퍼쳐 및 반사굴절 광학기기(catadioptric optic)를 비롯한, 다양한 타입의 광학 시스템을 포괄하는 것으로 광의적으로 해석되어야 한다. 용어 "투영 광학기기"는 또한 방사선의 투영 빔을, 집합적으로 또는 단독으로, 지향, 성형 또는 제어하기 위해 이들 설계 타입 중 임의의 것에 따라 동작하는 컴포넌트를 포함할 수도 있다. 용어 "투영 광학기기"는, 광학 컴포넌트가 리소그래피 투영 장치의 광학 경로 상에서 어느 곳에 위치되더라도, 리소그래피 투영 장치 내에서 임의의 광학 컴포넌트를 포함할 수도 있다. 투영 광학기기는, 방사선이 패턴화 디바이스를 통과하기 이전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하기 위한 광학 컴포넌트, 및/또는 방사선이 패턴화 디바이스를 통과한 이후 방사선을 성형, 조정 및/또는 투영하기 위한 광학 컴포넌트를 포함할 수도 있다. 프로젝션 광학기기는 일반적으로 소스와 패턴화 디바이스를 배제한다.
본 발명은, 다른 것들 중에서도, 모델 캘리브레이션을 위한 테스트 패턴 선택의 영역에서 상기에서 언급되는 리소그래피 관련 요건(예를 들면, 피쳐 사이즈, OPC 관련, 등등)을 다루는 다수의 향상을 제공한다. 본 발명의 이점은, 주어진 테스트 패턴의 특성을 측정하기 위한 향상된 방식을 제공하고, 동시에, 의도된 리소그래피 응답을 적절하게 나타내는 테스트 패턴의 서브세트를 선택하기 위한 효율적인 방식을 제공한다는 것이다. 용어 "캘리브레이션 테스트 패턴", "테스트 패턴" 및 "게이지"는 상호 교환 가능하게 사용된다.
패턴화 프로세스에 대한 프로세스 모델을 캘리브레이팅하기 위해 게이지 선택(gauge selection)을 향상시키기 위한 방법은, 패턴화 프로세스와 관련되는 하나 이상의 속성(property)을 갖는 초기 게이지의 세트를 획득하는 것을 포함한다. 방법은 또한 초기 게이지의 세트로부터 초기 게이지의 서브세트를 선택하는 것을 포함한다. 하나 이상의 속성은 웨이퍼의 임계 치수의 값, 패턴과 관련되는 곡률; 및/또는 패턴화 프로세스에서 사용되는 강도를 포함할 수도 있다.
몇몇 변형예에서, 제1 속성 파라미터는 모델 오차를 포함할 수도 있고, 모델 오차는 기준 윤곽(reference contour)과 패턴화 프로세스의 프로세스 모델의 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽 사이의 차이이고, 기준 윤곽은 주사 전자 현미경으로부터의 측정된 윤곽이다.
방법은 또한, 하나 이상의 속성 중 제1 속성에 기초하여 초기 게이지의 세트로부터 게이지의 제1 서브세트를 결정하는 것을 포함하고, 게이지의 제1 서브세트는 프로세스 모델을 캘리브레이팅하도록 구성될 수 있다.
몇몇 변형예에서, 방법은 또한, 게이지의 제1 서브세트를 결정하기 위해 유저 정의 게이지의 사용에 의해 초기 게이지의 세트가 필터링되는 것을 포함한다.
다른 변형예에서, 하나 이상의 속성 중 제2 속성에 기초하여 초기 게이지의 세트로부터 게이지의 제2 서브세트가 결정된다. 방법은 또한 게이지의 제1 서브세트 및 게이지의 제2 서브세트가 병합되어 게이지의 병합된 서브세트가 되는 것을 포함한다. 게이지의 제1 서브세트 및 게이지의 제2 서브세트를 병합한 이후, 방법은 게이지의 병합된 서브세트가 중복 게이지(duplicate gauge)를 포함하는지의 여부를 결정하는 것을 더 포함한다.
방법은, 게이지의 제3 서브세트가, 제3 서브세트가 중복 게이지를 포함하지 않도록 게이지의 병합된 서브세트로부터 선택되는 것, 및 게이지의 제3 서브세트가 프로세스 모델을 캘리브레이팅하도록 구성되는 것을 더 포함한다.
몇몇 변형예에서, 게이지의 병합된 서브세트는, 중복 게이지가 존재하지 않는다는 결정에 응답하여 프로세스 모델을 캘리브레이팅하도록 선택된다.
다른 변형예에서, 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 초기 게이지가 획득된다.
몇몇 변형예에서, 복수의 모델이 초기 게이지를 사용하는 최적화 알고리즘에 의해 캘리브레이팅되고, 복수의 모델은 게이지를 결정하도록 구성된다. 복수의 모델 중 각각의 모델은 모델 오차 값과 관련된다.
다른 변형예에서, 복수의 모델에서의 특정한 모델의 가장 낮은 모델 오차 값과 관련한 모델 오차 값의 비교에 기초하여 후보 모델이 복수의 모델로부터 결정된다. 그 다음, 후보 모델에 기초하여 패턴화 프로세스에 대한 게이지가 선택된다.
몇몇 변형예에서, 후보 모델의 각각 사이의 코사인 유사도 메트릭(cosine similarity metric)이 결정되고, 코사인 유사도 메트릭은 두 개의 벡터의 코사인인데, 각각의 벡터는 후보 모델의 주어진 모델을 나타낸다.
다른 변형예에서, 후보 모델로부터 유저 정의 개수의 다양한 모델(diverse model)이 유사도 메트릭에 기초하여 선택되고, 다양한 모델은, 최소 모델 오차 값을 갖는 모델의 유사도 메트릭의 값과는 실질적으로 상이한 유사도 메트릭의 값을 갖는다.
몇몇 변형예에서, 모델 오차 값은 모델 오차와 관련되고, 모델 오차는 패턴화 프로세스의 프로세스 모델의 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽과 기준 윤곽 사이의 차이이다. 기준 윤곽은 이미지 캡쳐 디바이스로부터의 측정된 윤곽일 수 있다. 모델 오차 값은 기준 윤곽과 시뮬레이팅된 윤곽 사이의 차이의 제곱 평균 제곱근 값(root mean square value)일 수 있다.
한 실시형태에 따르면, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품이 제공된다. 명령어는, 컴퓨터에 의해 실행될 때, 청구범위에서 나열되는 방법을 구현한다.
본 명세서에 통합되며 본 명세서의 일부를 구성하는 첨부의 도면은, 본원에서 개시되는 주제의 소정의 양태를 나타내며, 설명과 함께, 개시되는 실시형태와 관련되는 원리 중 일부를 설명하는 데 도움이 된다. 도면에서,
도 1은, 한 실시형태에 따른, 리소그래피 투영 장치의 다양한 서브시스템의 블록도를 예시한다.
도 2는, 한 실시형태에 따른, 리소그래피 투영 장치에서 리소그래피를 시뮬레이팅하기 위한 예시적인 플로우차트를 예시한다.
도 3은, 한 실시형태에 따른, 초기 게이지 선택 및 모델 오차 기반의 선택에 의해 게이지 선택을 향상시키는 예시적인 방법의 플로우차트를 예시한다.
도 4는, 한 실시형태에 따른, 초기 게이지를 선택하는 예시적인 방법의 플로우차트를 예시한다.
도 5는, 한 실시형태에 따른, 하나 이상의 속성에 기초하여 게이지를 선택하는 예시적인 방법의 플로우차트를 예시한다.
도 6은, 한 실시형태에 따른, 고속 유전 알고리즘 게이지 선택(fast genetic algorithm gauge selection)의 예시적인 방법의 플로우차트를 예시한다.
도 7은, 한 실시형태에 따른, 모델 선택의 예시적인 방법의 플로우차트를 예시한다.
도 8은, 한 실시형태에 따른, 도 7의 선택된 모델에 기초하여 게이지 선택을 향상시키기 위한 예시적인 방법의 플로우차트를 예시한다.
도 9a는, 한 실시형태에 따른, 패턴화 프로세스와 관련되는 프로세스 모델을 캘리브레이팅함에 있어서 사용하기 위한 게이지 선택의 예시적인 방법을 예시한다.
도 9b는, 한 실시형태에 따른, 초기 게이지의 서브세트를 선택하는 예시적인 방법을 예시한다.
도 10a는, 한 실시형태에 따른, 패턴화 프로세스를 위한 게이지를 생성하는 예시적인 방법을 예시한다.
도 10b는, 한 실시형태에 따른, 도 10a의 초기 게이지를 획득하는 예시적인 프로세스를 예시한다.
도 10c는, 한 실시형태에 따른, 도 10a의 후보 모델의 각각 사이의 코사인 유사도 메트릭을 결정하는 예시적인 방법을 예시한다.
도 11은, 한 실시형태에 따른, 테이블 형태(데이터프레임의 한 예)의 게이지 데이터의 예시를 예시한다.
도 12는, 한 실시형태에 따른, (예를 들면, 도 10a-도 10c의 방법에서의) 복수의 모델의 표현을 예시한다.
도 13은, 한 실시형태에 따른, 상이한 모델의 유사도의 예를 예시한다.
도 14는, 한 실시형태에 따른, 예시적인 컴퓨터 시스템의 블록도이다.
도 15는, 한 실시형태에 따른, 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 16은, 한 실시형태에 따른, 다른 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 17은, 한 실시형태에 따른, 리소그래피 투영 장치의 상세도이다.
도 18은, 한 실시형태에 따른, 리소그래피 투영 장치의 소스 콜렉터 모듈(source collector module)의 상세도이다.
도 19는, 한 실시형태에 따른, 전자 빔 검사 장치의 한 실시형태를 개략적으로 묘사한다.
도 20은, 한 실시형태에 따른, 검사 장치의 또 다른 실시형태를 개략적으로 예시한다.
이제, 본 개시는 도면을 참조하여 상세하게 설명될 것인데, 도면은, 기술 분야의 숙련된 자가 본 개시를 실시하는 것을 가능하게 하기 위해 본 개시의 예시적인 예로서 제공된다. 특히, 하기의 예 및 도면은, 본 개시의 범위를 단일의 실시형태로 제한하도록 의도되는 것이 아니라, 설명된 또는 예시된 엘리먼트 중 일부 또는 모두의 상호 교환을 통해 다른 실시형태도 가능하다. 또한, 본 개시의 소정의 엘리먼트가 공지된 컴포넌트를 사용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 그러한 공지된 컴포넌트 중 본 개시의 이해를 위해 필요한 그들 부분만이 설명될 것이고, 그러한 공지된 컴포넌트의 다른 부분의 상세한 설명은 본 개시를 모호하게 하지 않기 위해 생략될 것이다. 본원에서 달리 명시되지 않는 한, 기술 분야의 숙련된 자에게 명백할 바와 같이, 소프트웨어로 구현되는 것으로 설명되는 실시형태는 그것으로 제한되는 것이 아니라, 하드웨어, 또는 소프트웨어 및 하드웨어의 조합으로 구현되는 실시형태를 포함할 수 있고, 그 반대의 경우도 가능하다. 본원에서 달리 명시적으로 언급되지 않는 한, 본 명세서에서, 단일의 컴포넌트를 나타내는 실시형태는 제한적인 것으로서 간주되어서는 안되며; 오히려, 본 개시는 복수의 동일한 컴포넌트를 포함하는 다른 실시형태를 포함하도록 의도되며, 그 반대의 경우도 가능하다. 또한, 본 출원인은, 명세서 또는 청구범위에서의 임의의 용어가, 일반적이지 않은 또는 특별한 의미를 부여받도록 명시적으로 기술되지 않는 한, 그와 같이 의도하지는 않는다. 게다가, 본 개시는 예시로서 본원에서 언급되는 공지된 컴포넌트에 대한 현재의 그리고 미래의 공지된 등가물을 포괄한다.
본 문서에서 IC의 제조에 대한 특정한 참조가 이루어질 수도 있지만, 본원의 설명은 많은 다른 가능한 애플리케이션을 갖는다는 것이 명백히 이해되어야 한다. 예를 들면, 그것은, 통합된 광학 시스템의 제조, 자기 도메인 메모리에 대한 안내(guidance) 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드, 등등의 제조에서 활용될 수도 있다. 숙련된 기술자는, 그러한 대안적 애플리케이션의 맥락에서, 본 문서에서의 용어 "레티클", "웨이퍼" 또는 "다이"의 임의의 사용이, 더욱 일반적인 용어 "마스크", "기판", 및 "타겟 부분"과, 각각, 상호 교환 가능한 것으로 간주되어야 한다는 것을 인식할 것이다.
본 문서에서, 용어 "방사선(radiation)" 및 "빔"은, 자외선 방사선(예를 들면, 365, 248, 193, 157 또는 126 nm의 파장을 가짐) 및 EUV(예를 들면, 약 5 내지 100 nm의 범위 내의 파장을 갖는 극자외선 방사선)를 비롯한, 모든 타입의 전자기 방사선을 포함하기 위해 사용된다.
패턴화 디바이스는 하나 이상의 설계 레이아웃을 포함할 수 있거나 또는 형성할 수 있다. 설계 레이아웃은 CAD(computer-aided design; 컴퓨터 지원 설계) 프로그램을 활용하여 생성될 수 있으며, 이 프로세스를 종종 EDA(electronic design automation; 전자 설계 자동화)로 칭해진다. 대부분의 CAD 프로그램은 기능적인 설계 레이아웃/패턴화 디바이스를 생성하기 위해 미리 결정된 설계 규칙의 세트를 따른다. 이들 규칙은 프로세싱 및 설계 제한에 의해 설정된다. 예를 들면, 설계 규칙은, 디바이스 또는 라인이 서로 바람직하지 않은 방식으로 상호 작용하지 않는 것을 보장하도록, 디바이스(예컨대, 게이트, 커패시터, 등등) 또는 인터커넥트 라인 사이의 공간 공차(space tolerance)를 정의한다. 설계 규칙 제한 중 하나 이상은 "임계 치수(critical dimension; CD)"로 칭해질 수도 있다. 디바이스의 임계 치수는 라인 또는 구멍의 가장 작은 폭 또는 두 라인 또는 두 구멍 사이의 가장 작은 공간으로서 정의될 수 있다. 따라서, CD는 설계된 디바이스의 전체 사이즈 및 밀도를 결정한다. 물론, 디바이스 제조에서의 목표 중 하나는 (패턴화 디바이스를 통해) 기판 상에서 원래의 설계 의도를 충실하게 재현하는 것이다.
이 본문에서 활용되는 바와 같은 용어 "마스크" 또는 "패턴화 디바이스"는, 기판의 타겟 부분에서 생성될 패턴에 대응하는 패턴화된 단면을 유입하는 방사선 빔에 부여하기 위해 사용될 수 있는 일반적인 패턴화 디바이스를 지칭하는 것으로 광의적으로 해석될 수도 있고; 용어 "라이트 밸브(light valve)"도 이러한 맥락에서 또한 사용될 수 있다. 고전적인 마스크(투과형 또는 반사형; 바이너리, 위상 시프팅, 하이브리드, 등등) 외에, 다른 그러한 패턴화 디바이스의 예는 프로그래밍 가능한 미러 어레이 및 프로그래밍 가능한 LCD 어레이를 포함한다.
프로그래밍 가능한 미러 어레이의 한 예는, 점탄성 제어 층(viscoelastic control layer) 및 반사 표면을 구비하는 매트릭스 주소 지정 가능한 표면(matrix-addressable surface)일 수 있다. 그러한 장치 이면에 있는 기본 원리는, 반사 표면의 (예를 들면) 주소 지정된 영역이 입사 방사선을 회절 방사선으로서 반사하고, 반면 주소 지정되지 않은 영역은 입사 방사선을 비회절 방사선(undiffracted radiation)으로서 반사한다는 것이다. 적절한 필터를 사용하여, 상기 회절되지 않은 방사선은 반사된 빔으로부터 필터링되어, 회절된 방사선만을 뒤에 남길 수 있고; 이러한 방식으로, 빔은 매트릭스 주소 지정 가능 표면의 주소 지정 패턴에 따라 패턴화되게 된다. 요구되는 매트릭스 주소 지정은 적절한 전자적 수단을 사용하여 수행될 수 있다.
프로그래밍 가능한 LCD 어레이의 한 예는 참조에 의해 본원에서 통합되는 미국 특허 제5,229,872호에서 제공된다.
도 1은, 한 실시형태에 따른, 리소그래피 투영 장치(10A)의 다양한 서브시스템의 블록도를 예시한다. 주요 컴포넌트는, 심 자외선 엑시머 레이저 소스 또는 극 자외선(extreme ultra violet; EUV) 소스를 포함하는 다른 타입의 소스일 수도 있는 방사선 소스(12A)(상기에서 논의되는 바와 같이, 리소그래피 투영 장치 그 자체는 방사선 소스를 가질 필요는 없음), 예를 들면, 부분적 가간섭성(시그마로서 표시됨)을 정의하고 소스(12A)로부터의 방사선을 성형하는 광학기기(14A, 16Aa 및 16Ab)를 포함할 수도 있는 조명 광학기기; 패턴화 디바이스(18A); 및 패턴화 디바이스 패턴의 이미지를 기판 평면(22A) 상으로 투영하는 투과 광학기기(16Ac)이다. 투영 광학기기의 동공 평면(pupil plane)에서의 조정 가능한 필터 또는 어퍼쳐(20A)는 기판 평면(22A)에 충돌하는 빔 각도의 범위를 제한할 수도 있는데, 여기서 가장 큰 가능한 각도는 투영 광학기기의 개구수(numerical aperture)(NA = n sin(Θmax))를 정의하고, 여기서 n은 기판과 투영 광학기기의 마지막 엘리먼트 사이의 매질의 굴절률이고, Θmax는 기판 평면(22A)에 여전히 충돌할 수 있는 투영 광학기기로부터 방출되는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 패턴화 디바이스에 조명(즉, 방사선)을 제공하고 투영 광학기기는 그 조명을, 패턴화 디바이스를 통해, 기판 상으로 지향시키고 성형한다. 투영 광학기기는 컴포넌트(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수도 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 강도 분포이다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 사용될 수 있는데, 그 예는 미국 특허 출원 공개 번호 US 2009-0157630에서 발견될 수 있으며, 그 미국 특허 출원의 개시는 참조에 의해 그 전체가 본원에 통합된다. 레지스트 모델은 레지스트 층의 속성(예를 들면, 노광, 노광 이후 베이킹(post-exposure bake; PEB) 및 현상 동안 발생하는 화학적 프로세스의 효과)에만 관련된다. 리소그래피 투영 장치의 광학적 속성(예를 들면, 조명, 패턴화 디바이스 및 투영 광학기기의 속성)은 에어리얼 이미지(aerial image)에 영향을 주며 광학 모델에서 정의될 수 있다. 리소그래피 투영 장치에서 사용되는 패턴화 디바이스가 변경될 수 있기 때문에, 적어도 소스 및 투영 광학기기를 포함하는 리소그래피 투영 장치의 나머지의 광학적 속성으로부터 패턴화 디바이스의 광학적 속성을 분리하는 것이 바람직하다. 설계 레이아웃을 다양한 리소그래피 이미지(예를 들면, 에어리얼 이미지, 레지스트 이미지, 등등)로 변환하기 위해 사용되는 기술 및 모델의 세부 사항, 그들 기술 및 모델을 사용하여 OPC를 적용하기 위한 그리고 (예를 들면, 프로세스 윈도우의 관점에서) 성능을 평가하기 위한 세부 사항은, 미국 특허 출원 공개 번호 US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, 및 2010-0180251에서 설명되는데, 이들의 각각의 개시는 참조에 의해 그 전체가 본원에 통합된다.
도 2는, 한 실시형태에 따른, 리소그래피 투영 장치에서 리소그래피를 시뮬레이팅하기 위한 예시적인 플로우차트를 예시한다. 소스 모델(31)은 소스의 광학적 특성(방사선 강도 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기기 모델(32)은 투영 광학기기의 광학 특성(투영 광학기기에 의해 야기되는 방사선 강도 분포 및/또는 위상 분포에 대한 변화를 포함함)을 나타낸다. 설계 레이아웃 모델(35)은, 설계 레이아웃의 광학적 특성(설계 레이아웃(33)에 의해 야기되는 방사선 강도 분포 및/또는 위상 분포에 대한 변경을 포함함)을 나타내는데, 이것은 패턴화 디바이스 상의 또는 패턴화 디바이스에 의해 형성되는 피쳐의 배열을 나타낸다. 에어리얼 이미지(36)는 설계 레이아웃 모델(35), 투영 광학기기 모델(32), 및 설계 레이아웃 모델(35)로부터 시뮬레이팅될 수 있다. 레지스트 이미지(38)가 레지스트 모델(37)을 사용하여 에어리얼 이미지(36)로부터 시뮬레이팅될 수 있다. 예를 들면, 리소그래피의 시뮬레이션은 레지스트 이미지의 윤곽 및 CD를 예측할 수 있다.
더 구체적으로, 소스 모델(31)은, 개구수(numerical aperture) 설정, 조명 시그마(σ) 설정뿐만 아니라 임의의 특정한 조명 형상(예를 들면, 환형, 4 극자, 쌍극자, 등등과 같은, 축외 방사선 소스)을 포함하는, 그러나 이들로 제한되지는 않는 소스의 광학적 특성을 나타낼 수 있다는 것을 유의한다. 투영 광학기기 모델(32)은, 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 사이즈, 하나 이상의 물리적 치수, 등등을 비롯한, 투영 광학기기의 광학적 특성을 나타낼 수 있다. 설계 레이아웃 모델(35)은, 예를 들면, 참조에 의해 그 전체가 통합되는 미국 특허 제7,587,704호에서 설명되는 바와 같이, 물리적 패턴화 디바이스의 하나 이상의 물리적 속성을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들면, 에지 배치, 에어리얼 이미지 강도 기울기 및/또는 CD를 정확하게 예측하는 것인데, 이들은, 그 다음, 의도된 설계에 대해 비교될 수 있다. 의도된 설계는 일반적으로 GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 OPC 이전 설계 레이아웃(pre-OPC design layout)으로서 정의된다.
이 설계 레이아웃으로부터, "클립"으로서 지칭되는 하나 이상의 부분이 식별될 수도 있다. 한 실시형태에서, 설계 레이아웃에서의 복잡한 패턴을 나타내는 클립의 세트가 추출된다(임의의 개수의 클립이 사용될 수도 있지만, 통상적으로 약 50 내지 1000 개의 클립). 이들 패턴 또는 클립은 설계의 작은 부분(즉, 회로, 셀 또는 패턴)을 나타내며, 더 구체적으로, 클립은 특별한 주의 및/또는 검증이 필요한 작은 부분을 통상적으로 나타낸다. 다시 말하면, 클립은 설계 레이아웃의 일부일 수도 있거나, 또는 설계 레이아웃의 일부와 유사할 수도 있거나 또는 그 일부의 유사한 거동을 가질 수도 있는데, 여기서 하나 이상의 중요한 피쳐는 경험(고객에 의해 제공되는 클립을 포함함), 시행 착오, 또는 전체 칩 시뮬레이션을 실행하는 것 중 어느 하나에 의해 식별된다. 클립은 하나 이상의 테스트 패턴 또는 게이지 패턴을 포함할 수도 있다.
설계 레이아웃에서 특정한 이미지 최적화를 필요로 하는 하나 이상의 공지된 중요한 피쳐 영역에 기초하여, 클립의 초기의 더 큰 세트가 고객에 의해 선험적으로 제공될 수도 있다. 대안적으로, 다른 실시형태에서, 하나 이상의 중요한 피쳐 영역을 식별하는 어떤 종류의 자동화된(예컨대 머신 비전) 또는 수동 알고리즘을 사용하는 것에 의해 전체 설계 레이아웃으로부터 클립의 초기의 더 큰 세트가 추출될 수도 있다.
리소그래피 투영 장치에서, 한 예로서, 비용 함수는 다음과 같이 표현될 수도 있다
Figure 112021098663403-pct00001
여기서 (z1, z2, ..., zN)은 N개의 설계 변수 또는 그 값이다. fp(z1, z2, ..., zN)은, (z1, z2, ..., zN)의 설계 변수의 값의 세트에 대한 특성의 의도된 값과 실제 값 사이의 차이와 같은 설계 변수 (z1, z2, ..., zN)의 함수일 수 있다. wp는 fp(z1, z2, ..., zN)과 관련되는 가중치 상수이다. 예를 들면, 특성은, 에지 상의 주어진 지점에서 측정되는, 패턴의 에지의 위치일 수도 있다. 상이한 fp(z1, z2, ..., zN)은 상이한 가중치(wp)를 가질 수도 있다. 예를 들면, 특정한 에지가 좁은 범위의 허용된 위치를 갖는다면, 에지의 의도된 위치와 실제 위치 사이의 차이를 나타내는 fp(z1, z2, ..., zN)에 대한 가중치(wp)는 더 높은 값을 부여받을 수도 있다. fp(z1, z2, ..., zN)은 또한 층간 특성의 함수일 수 있는데, 이것은, 결국에는, 설계 변수 (z1, z2, ..., zN)의 함수이다. 물론 CF(z1, z2, ..., zN)은 수학식 1의 형태로 제한되지는 않는다. CF(z1, z2, ..., zN)은 임의의 다른 적절한 형태일 수 있다.
비용 함수는, 리소그래피 투영 장치, 리소그래피 프로세스 또는 기판의 임의의 하나 이상의 적절한 특성, 예를 들면, 초점, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률론적 변동(stochastic variation), 스루풋, 로컬 CD 변동, 프로세스 윈도우, 층간 특성, 또는 이들의 조합을 나타낼 수도 있다. 하나의 실시형태에서, 설계 변수 (z1, z2, ..., zN)은, 선량(dose), 패턴화 디바이스의 글로벌 바이어스, 및/또는 조명의 형상으로부터 선택되는 하나 이상을 포함한다. 종종, 기판 상의 패턴을 좌우하는 것이 레지스트 이미지이기 때문에, 비용 함수는 레지스트 이미지의 하나 이상의 특성을 나타내는 함수를 포함할 수도 있다. 예를 들면, fp(z1, z2, ..., zN)은, 단순히, 레지스트 이미지에서의 한 지점 대 그 지점의 의도된 위치 사이의 거리(즉, 에지 배치 오차(edge placement error) EPEp(z1, z2, ..., zN))일 수 있다. 설계 변수는, 소스, 패턴화 디바이스, 투영 광학기기, 선량, 초점, 등등의 조정 가능한 파라미터와 같은 임의의 조정 가능한 파라미터를 포함할 수 있다.
리소그래피 장치는, 방사선 빔의 파면의 형상 및 강도 분포 및/또는 위상 시프트를 조정하기 위해 사용될 수 있는 "파면 조작기(wavefront manipulator)"로 일괄적으로 지칭되는 컴포넌트를 포함할 수도 있다. 한 실시형태에서, 리소그래피 장치는, 리소그래피 투영 장치의 광학적 경로를 따르는 임의의 위치에서, 예컨대 패턴화 디바이스 이전에, 동공 평면 근처에서, 이미지 평면 근처에서, 및/또는 초점 평면 근처에서, 파면 및 강도 분포를 조정할 수 있다. 파면 조작기는, 예를 들면, 소스, 패턴화 디바이스, 리소그래피 투영 장치에서의 온도 변동, 리소그래피 투영 장치의 컴포넌트의 열 팽창, 등등에 의해 야기되는 파면 및 강도 분포 및/또는 위상 시프트의 소정의 왜곡을 보정 또는 보상하기 위해 사용될 수 있다. 파면 및 강도 분포 및/또는 위상 시프트를 조정하는 것은 비용 함수에 의해 표현되는 특성의 값을 변경시킬 수 있다. 그러한 변화는 모델로부터 시뮬레이팅될 수 있거나 또는 실제로 측정될 수 있다. 설계 변수는 파면 조작기의 파라미터를 포함할 수 있다.
설계 변수는 (z1, z2, ..., zN)∈Z로서 표현될 수 있는 제약을 가질 수도 있는데, 여기서 Z는 설계 변수의 가능한 값의 세트이다. 설계 변수에 대한 한 가지 가능한 제약은, 리소그래피 투영 장치의 소망되는 스루풋에 의해 부과될 수도 있다. 소망되는 스루풋에 의해 부과되는 그러한 제약이 없으면, 최적화는 비현실적인 설계 변수의 값의 세트를 산출할 수도 있다. 예를 들면, 선량이 설계 변수인 경우, 그러한 제약이 없으면, 최적화는 스루풋을 경제적으로 불가능하게 만드는 선량 값을 산출할 수도 있다. 그러나, 제약의 유용성은 필수 사항으로서 해석되어서는 안된다. 예를 들면, 스루풋은 동공 충전 비율(pupil fill ratio)에 의해 영향을 받을 수도 있다. 몇몇 조명 설계의 경우, 낮은 동공 충전 비율은 방사선을 폐기할 수도 있고, 더 낮은 스루풋으로 이어질 수도 있다. 스루풋은 또한 레지스트 화학적 성질에 의해 영향을 받을 수도 있다. 더 느린 레지스트(예를 들면, 적절하게 노출되기 위해서는 더 많은 양의 방사선을 필요로 하는 레지스트)는 더 낮은 스루풋으로 이어진다.
본원에서 사용될 때, 용어 "패턴화 프로세스"는 일반적으로, 리소그래피 프로세스의 일부로서 명시된 패턴의 광의 적용에 의해 에칭된 기판을 생성하는 프로세스를 의미한다. 그러나, "패턴화 프로세스"는 또한 플라즈마 에칭을 포함할 수 있는데, 본원에서 설명되는 피쳐 중 많은 것이 플라즈마 프로세싱을 사용하여 인쇄된 패턴을 형성하는 것에 이점을 제공할 수 있기 때문이다.
본원에서 사용될 때, 용어 "타겟 패턴"은 기판 상에서 에칭될 이상적인 패턴을 의미한다.
본원에서 사용될 때, 용어 "인쇄된 패턴"은, 타겟 패턴에 기초하여 에칭된 기판 상의 물리적 패턴을 의미한다. 인쇄된 패턴은, 예를 들면, 트로프(trough), 채널, 함몰부, 에지, 또는 리소그래피 프로세스로부터 유래하는 다른 2 차원 및 3 차원 피쳐를 포함할 수 있다.
본원에서 사용될 때, 용어 "프로세스 모델"은, 패턴화 프로세스를 시뮬레이팅하는 하나 이상의 모델을 포함하는 모델을 의미한다. 예를 들면, 프로세스 모델은, (예를 들면, 리소그래피 프로세스에서 광을 전달하기 위해 사용되는 렌즈 시스템/투영 시스템을 모델링하며 포토레지스트 상으로 진행하는 광의 최종 광학 이미지를 모델링하는 것을 포함할 수도 있는) 광학 모델, (예를 들면, 광에 기인하는 화학적 효과와 같은, 레지스트의 물리적 효과를 모델링하는) 레지스트 모델, 및 (예를 들면, 타겟 패턴을 만들기 위해 사용될 수 있고 분해능 미만 레지스트 피쳐(sub-resolution resist feature; SRAF), 등등을 포함할 수도 있는) OPC 모델을 포함할 수 있다.
본원에서 사용될 때, 용어 "캘리브레이팅하는(calibrating)"은, 프로세스 모델과 같은 것을 어떤 것을 수정(예를 들면, 향상 또는 튜닝) 및/또는 유효성 확인하는 것을 의미한다.
본 개시는, 다른 것들 중에서도, 패턴화 프로세스를 위한 프로세스 모델을 향상시키기 위한 방법을 설명한다. 프로세스 모델 캘리브레이션 동안 계측을 향상시키는 것은, 타겟 패턴에 기초하는 인쇄된 패턴(예를 들면, 인쇄된 웨이퍼 또는 그 일부)의 정확한 이미지를 획득하는 것을 포함할 수 있다. 이미지로부터, 인쇄된 패턴의 피쳐에 대응하는 윤곽이 추출될 수 있다. 그 다음, 윤곽(측정된 윤곽으로서 또한 지칭됨)은, 프로세스 모델의 캘리브레이션을 허용하기 위해, 프로세스 모델에 의해 생성되는 시뮬레이팅된 윤곽에 대해 정렬될 수 있다. 프로세스 모델은, 시뮬레이팅된 윤곽이 측정된 윤곽과 더 정확하게 매치하도록 프로세스 모델의 파라미터를 조정하는 것에 의해 향상될 수 있다.
본 개시는 임의의 타입의 패턴을 수용하기에 충분히 일반적이다. 그 다음, 이들 패턴은 웨이퍼 상으로 이미지화되고 결과적으로 나타나는 웨이퍼 CD 및/또는 접촉 에너지가 측정된다. 원래의 게이지 패턴 및 그들의 웨이퍼 측정치는, 그 다음, 모델 예측치와 웨이퍼 측정치 사이의 차이를 최소화하는 프로세스 모델 파라미터(예를 들면, 선량 및 초점에 관련됨)를 결정하기 위해 공동으로 사용된다.
현재의 실시에서, 게이지 패턴의 선택은 다소 임의적이다. 그들은 단순히 경험으로부터 선택될 수도 있거나 또는 실제 회로 패턴으로부터 랜덤하게 선택될 수도 있다. 그러한 패턴은 종종 캘리브레이션에 대해 충분하지 않거나 또는 중복성에 기인하여 너무 계산 집약적이다. 특히, 몇몇 모델 파라미터(예를 들면, 선량 및 초점에 관련됨, 광학기기 모델, 레지스트 모델, 등등과 관련되는 다른 파라미터)의 경우, 모든 패턴은 매우 둔감할 수도 있고, 따라서, 측정 부정확도에 기인하여 모델 파라미터 값을 결정하는 것이 어려울 수도 있다. 다른 한편으로, 많은 패턴은 파라미터 변동(프로세스 조건으로서 또한 지칭됨)에 대해 매우 유사한 응답을 가질 수도 있고, 따라서, 그들 중 일부는 용장성이고(redundant) 이들 용장성 패턴에 대한 웨이퍼 측정은 많은 리소스를 낭비한다.
한편, 프로세스 모델은 가능한 기하학적 레이아웃 패턴의 매우 큰 콜렉션에 걸쳐 실제 웨이퍼 상의 패턴 윤곽(on-wafer pattern contour)을 정확하게 예측할 필요가 있다. 따라서, 활용될 모델 공식의 적절한 선택 및 모든 모델 파라미터에 대한 값의 정확한 결정 둘 모두가 바람직하다.
또한, 프로세스 모델의 캘리브레이션에서, 모델 파라미터를 최적화하기 위해, 선택된 테스트 패턴에 대한 웨이퍼 CD 측정이 필요로 된다. 그러한 계측 데이터를 수집하는 것은 종종 시간 소모적이고 비용이 많이 든다. 이러한 노력에 비추어 볼 때, 이들 캘리브레이션(예를 들면, OPC 애플리케이션에서의 모델)은 통상적으로 타겟 계층당 기술 노드당 한 번씩만 행해진다. 제조에서 컴퓨터 사용 리소그래피 제품(computational lithography product)(이들은 캘리브레이팅된 프로세스 모델을 활용함)의 경우, 이들 캘리브레이션은 많은 스캐너에 대해 그리고 어느 정도 정기적 기반으로 행해질 필요가 있다. 따라서, 모델 캘리브레이션 프로시져는, 결과적으로 나타나는 모델의 예측 정확도를 손상시키지 않으면서 측정될 필요가 있는 테스트 구조체(test structure)의 개수를 최소화하는 방법의 문제를 해결해야 한다.
모델 캘리브레이션에서의 전통적인 접근법은, 주로, 물리적 회로 설계 커뮤니티에서 바람직한 것으로서 공지되어 있는 그들 패턴의 이미징 거동의 양호한 설명을 제공하는 것을 목표로 한다. 통상적으로, 이것은 상당한 개수의 패턴 타입을 수반하는데, 각각은 적절한 범위의 기하학적 변동에 대해 예시화된다. 하나의 예는, 다수의 자주 사용되는 트랜지스터 채널 길이(폴리 라인 CD)에 대한 그리고 조밀한 라인(최소 피치)으로부터 분리된 라인까지의, 폴리 계층에 대한 라인 CD 대 피치이다. 그러나, 현대의 리소그래피에서, 영향의 광학적 범위(영역(ambit))는 통상적인 테스트 구조체보다 훨씬 더 크고, 따라서, 사전 선택된 개수의 상대적으로 작은 테스트 패턴의 정확한 모델링이 그들의 실제 회로 환경에서 이들 패턴의 정확한 예측을 보장한다는 것은 더 이상 사실이 아니다. 기하학적 형상 기반의 접근법(geometry-based approach)의 대부분은 본질적으로 다소 체험적이며(heuristic), 종종, 다음과 같은 단점 중 하나 또는 모두에 취약하다.
첫째, 사전 정의된 패턴에 대한 강한 초점은, 모델 파라미터의 적절한 커버리지에 대한 그리고 리소그래피 프로세스에서의 모든 중요한 물리적/화학적 특성이 이들 파라미터에 의해 적절하게 표현된다는 것을 보장하기 위한 명시적인 고려가 없다는 것을 의미한다. 제1 원리의 물리적 현상/화학적 성질에 기초하지 않는 모델의 경우, 사전 정의된 패턴은, 유사하게, 모델의 파라미터의 정확한 캘리브레이션을 허용할 필요가 있다. 구별되는 패턴이 없는 것에 기인하여, 패턴은 불량하게 결정될 수 있거나 또는 그들은 다른 파라미터와 함께 고도의 퇴화(degeneracy)를 나타낼 수 있다. 어느 쪽이든, 방법은, 일상적으로는, 모델 특성 묘사에서 포함되는 조건 밖에서의 이미징 거동에서의 변화를 적절하게 설명하지 못한다.
둘째, 캘리브레이션 방법에 의해 캡쳐되는 물리적/화학적 속성 및 관련된 모델 파라미터 중 일부에 대해, 그 접근법은 경제적이지 않고 너무 많은 측정이 본질적으로 용장성 정보를 제공한다.
셋째, 현재의 게이지 선택 방법은 쉽게 일반화 가능하지 않다. 새로운 게이지 기하학적 형상이 제공될 때마다, 유저는 새로운 규칙을 확립할 필요가 있다. 순전히 비 기하학적 형상 기반의 접근법(non-geometry-based approach)을 사용하여 게이지 선택이 행해지면, 그러면, 주어진 게이지의 특정한 피쳐는 무시된다. frugal그들의 원래의 종래의 애플리케이션 밖에서의, 예를 들면, OPC에서의 컴퓨터 사용 리소그래피 모델(computational lithography model)의 증가된 사용은, 모델 캘리브레이션 프로시져도 또한 조정될 필요가 있다는 것을 암시하고, 그 결과, 결과적으로 나타나는 모델은 적어도: 캘리브레이션 테스트 데이터에 포함되지 않는 패턴 타입에 대한 이미징 거동을 예측함에 있어서 더 양호하고, b) 리소그래피 프로세싱 조건(마스크, 스캐너, 레지스트, 또는 에칭 관련)에서의 변동에 대한 이미징 거동을 예측함에 있어서 더 양호하고, 그리고 c) 필요로 되는 계측의 양의 관점에서 더 간소하다. 따라서, 전통적인 방법의 결점 중 하나 이상을 해결할 필요성이 존재한다. 모델 캘리브레이션을 향상시키기 위한 예시적인 게이지 선택 프로세스는, 참조에 의해 그 전체가 본원에 통합되는 미국 특허 제9,588,439호에서 설명되어 있다.
현존하는 접근법에서, 게이지 선택은 초점 노출 매트릭스(focus-exposure matrix; FEM)에 기초한다. 이 방법에서, 전체 게이지 세트의 신호 분석이 패턴 그룹화를 위해 사용되고 하나의 대표적인 게이지가 선택된다. 그러나, 현재의 방법은 선택된 게이지가 모델 오차 제한기(model error limiter)를 포함한다는 것을 보장할 수 없다. 예를 들면, 소정의 모델은, 예컨대 공칭 프로세스 조건에서 선택되는 다른 게이지보다 특정한 게이지에 대해 상대적으로 더 높은 모델 오차를 야기할 수도 있다. 따라서, 본 개시에서는 모델 오차를 인식하는 게이지 선택 프로세스가 제안된다.
본 개시에서, 도 3은, 한 실시형태에 따른, 초기 게이지 선택 및 모델 오차 기반의 게이지 선택에 의해 게이지 선택을 향상시키는 예시적인 방법의 플로우차트를 예시한다.
하나의 실시형태에서, 도 3에서 예시되는 바와 같이, 본 개시는 게이지 선택 모듈의 예시적인 방법(300)의 워크플로우를 제공한다. 방법은, 초기 단계(302)로서, 이용 가능한 전체 게이지 세트(예를 들면, 100만 개보다 더 많은 게이지를 포함함)로부터 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 초기 게이지의 세트를 선택하는 것을 포함한다. 한 실시형태에서, 속성은 프로세스 모델과 관련되는 게이지 이름, 웨이퍼의 임계 치수의 값; 패턴과 관련되는 곡률; 패턴화 프로세스에서 사용되는 강도, 또는 다른 패턴화 관련 프로세스 파라미터일 수 있다. 속성의 한 예는 본 개시에서 나중에 논의되는 도 11에서 나열된다.
초기 선택 단계(302)는, 예를 들면, 도 9a 및 도 9b와 관련하여 추가로 논의되는 다수의 방법에서 달성될 수 있다. 한 실시형태에서, 패턴화 프로세스와 관련되는 하나 이상의 속성(예를 들면, 도 11에서의 속성 1, 속성 2, 속성 3, 등등)을 갖는 입력 게이지의 세트(예를 들면, 도 9a의 902)가 획득된다. 실시형태에서, 입력 게이지는 전체 게이지 세트(예를 들면, 100만보다 더 많은 게이지를 가짐)일 수도 있고 초기 선택 프로세스(302)를 수행한 이후, 입력 게이지의 서브세트가 획득된다. 이 서브세트는 초기 게이지로서 지칭된다. 한 실시형태에서, 게이지 및 그들과 관련되는 속성을 포함하는 관련된 데이터는 컴퓨터 또는 서버의 메모리에서 파일로 저장될 수도 있다. 한 실시형태에서, 유저가 그러한 게이지의 저장된 목록을 검색하는 것을 가능하게 하기 위해 유저 인터페이스가 제공될 수도 있다. 한 실시형태에서, 입력 게이지에서의 게이지의 개수는 매우 많을 수도 있는데, 예를 들면, 100만 개보다 더 많을 수도 있다. 앞서 언급되는 바와 같이, 많은 개수의 게이지는, 패턴화 프로세스의 스루풋을 감소시키고, 계측 시간 및 노력을 증가시키며, 용장성 측정이 취해질 수도 있고, 등등 때문에, 바람직하지 않을 수도 있다.
한 실시형태에서, 입력 게이지는 초기에 수집되는 그리고 (예를 들면, 도 9a 및 도 9b 및 10 및 도 10b에서의 방법에 따라) 감소될 게이지인 것으로서 간주된다. 예를 들면, 입력 게이지(예를 들면, 100,000; 500,000; 100만, 또는 초과, 등등)는 하나 이상의 속성의 제1 속성 파라미터에 기초하여 입력 게이지의 세트로부터 게이지의 제1 서브세트(예를 들면, 10,000; 5000; 1000; 또는 그 미만)로 감소되고, 게이지의 제1 서브세트는 프로세스 모델을 캘리브레이팅하도록 구성된다. 한 실시형태에서, 속성 파라미터는 게이지 이름, 모델 오차, 또는 다른 속성 또는 그들의 값을 가리킨다.
한 실시형태에서, 방법은 초기 게이지 선택을 위한 추가적인 입력을 포함할 수도 있다. 이들 추가적인 입력으로부터의 데이터는 초기 게이지를 필터링하기 위해 사용할 수도 있다. 예를 들면, 입력 및 관련된 데이터는 다음의 것일 수도 있다: (i) 패턴화 프로세스를 통해 이전에 인쇄된 전체 칩 또는 전체 기판과 관련되는 전체 게이지 세트 데이터, (ii) 전체 게이지 세트와 관련되는 하나 이상의 속성 파일, (iii) 선택되도록 소망되는 게이지의 총 개수(예를 들면, 10,000 개 미만)를 정의한 초기 게이지 선택 개수, (iv) 게이지의 획득된 서브세트(예를 들면, 제1 서브세트)와 무관하게 유저가 유지하기를 소망하는 소망되는 게이지 및 그와 관련되는 데이터(예를 들면, 하나 이상의 속성, 속성의 값, 등등)를 포함하는 유저 정의 게이지 파일, 및/또는 (v) 게이지의 선택된 세트를 저장하기 위한 컴퓨터의 기억 장소로의 경로.
한 실시형태에서, 유저 정의 게이지 파일은 유저 유지 게이지(user-kept gauge) 또는 소망되는 게이지로서 또한 지칭된다. 그러한 유저 유지 데이터는 임의의 게이지(예를 들면, 테스트 패턴, OPC를 위해 사용되는 상대적으로 조밀한 패턴, 회로의 메모리 부분, 등등과 같은 특정한 패턴과 관련됨)일 수 있다. 유저 유지 게이지는 전체 게이지 세트의 일부일 수도 있다. 한 실시형태에서, 초기 선택 단계(302)의 적용시, 그러한 유저 유지 게이지 또는 소망되는 게이지는 필터링될 수도 있고, 그러므로 유저 정의 게이지와 함께 선택된 서브세트를 포함하거나 또는 부가하는 옵션이 제공된다. 한 실시형태에서, 유저 유지 게이지는 빈 세트일 수도 있다, 즉, 유저 유지 게이지 파일은 어떠한 데이터도 포함하지 않을 수도 있다.
한 실시형태에서, 방법은 모델 기반의 게이지 선택을 위한 단계를 더 포함할 수 있는데, 여기서 모델 오차와 같은 추가적인 속성이 결정될 수도 있고 특정한 게이지와 관련될 수도 있다. 그러한 모델 오차는 단계(302)로부터 출력되는 게이지 또는 초기 게이지의 서브세트를 생성하기 위해 또는 선택하기 위해 추가로 사용될 수도 있다.
한 실시형태에서, 모델 기반의 게이지 선택 프로세스(304)는 프로세스 모델을 생성하기 위해 최적화 알고리즘을 활용한다. 예를 들면, 최적화 알고리즘은 고속 유전 알고리즘일 수도 있다. 유전 알고리즘은 복수의 모델을 생성하는데, 각각의 모델은, 모델 결과(예를 들면, 시뮬레이팅된 윤곽)와 기준 결과(예를 들면, 소망되는 윤곽) 사이의 차이와 같은 최적화 비용 함수에 기초하여 결정되는 모델 파라미터를 갖는다. 복수의 모델에 기초하여, 추가적인 게이지가 역시 생성될 수도 있다. 그러한 추가적인 게이지는 게이지의 제1 서브세트에 부가(즉, 추가)하기 위해 사용될 수도 있다. 모델 기반의 선택 프로세스(304)는 도 4, 도 5, 및 도 10a 및 도 10b와 관련하여 추가로 논의된다.
한 실시형태에서, 단계(304)(또는 306) 동안, 앞서 논의된 단계(302)에 대한 것과 유사한 추가적인 입력 및 관련된 데이터를 수신할 수도 있다. 예를 들면, 입력은, 앞서 언급된 바와 같은 (i)-(vi); (vii) 제곱 평균 제곱근, (viii) 게이지 선택 프로세스에서 활용될 프로세스 모델과 관련되는 모델 식별자(예를 들면, 모델 번호), (ix) 선택될 모델의 개수(예를 들면, 15, 10, 5 또는 그 미만), 및/또는 (x) 모델 오차 범위 또는 모델 오차 바이어스에 기초하여 결정되는 임의의 이상점(outlier)을 제거하는 하나 이상의 노이즈 제거(de-noise) 파라미터일 수도 있다.
한 실시형태에서, 모델 오차는 프로세스 모델의 시뮬레이션을 통해 획득될 수도 있다. 예를 들면, 모델 오차는 소망되는 패턴의 기준 윤곽(또는 소망되는 윤곽)과 (예를 들면, 도 2에서 논의되는 바와 같이) 패턴화 프로세스의 프로세스 모델 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽 사이의 차이이다. 한 실시형태에서, 기준 윤곽은 인쇄된 패턴의 측정된 윤곽일 수 있다. 측정된 윤곽은 주사 전자 현미경과 같은 계측 도구를 통해 획득될 수도 있다. 실시형태에서, 제곱 평균 제곱근은 모델 오차를 계산하기 위해 사용되는 방법을 지칭하며, 따라서 모델 오차는 평균 제곱근 오차로서 지칭된다. 제곱 평균 제곱근에서, 모델 결과(예를 들면, 프로세스 모델을 실행하는 것을 통해 예측되는 CD 값) 및 모델 결과와 관련되는 평균 값(예를 들면, 패턴의 평균 CD 값)에서의 차이가 획득되고, 차이는 제곱되고, 제곱된 차이의 제곱근이 결정된다.
하나의 실시형태에서, 방법은, 옵션 사항으로, 유전 알고리즘을 통해 획득되는 모델을 미세 튜닝하기 위한 단계(306)를 포함할 수도 있다. 미세 튜닝 프로세스는, 통상적으로, 모델 오차가 최소화되도록 하는 프로세스 모델에 대한 미세 튜닝된 파라미터 값을 획득하기 위해 유전 알고리즘의 파라미터를 수정하는 것을 수반한다. 본 개시는 본 개시의 개념을 설명하기 위한 예로서 유전 알고리즘 또는 그와 관련되는 미세 튜닝 프로세스가 사용된다는 것이 기술 분야의 숙련된 자에 의해 이해될 수 있다. 본 개시의 범위를 제한하지 않으면서 모델 기반의 선택 프로세스를 위해 임의의 다른 최적화 방법이 활용될 수도 있다.
도 4는, 한 실시형태에 따른, 초기 게이지를 선택하는(예를 들면, 도 3의 단계(302)) 예시적인 방법(400)의 더 상세한 단계를 도시한다.
방법(400)은 프로세스 모델을 캘리브레이팅함에 있어서 사용하기 위한 게이지 선택을 위해 사용될 수 있다. 한 실시형태에서, 그러한 캘리브레이팅된 모델은, 성능 메트릭(예를 들면, CD, EPE, 수율, 등등)이 향상될 수도 있도록 패턴화 프로세스의 파라미터를 제어하기 위해 사용될 수도 있다. 한 실시형태에서, 게이지는 또한, 적절한 게이지를 측정하기 위한 패턴화 프로세스와 관련되는 계측 도구를 통해, 측정 프로세스에서 사용될 수도 있고, 그에 의해, 계측 시간을 감소시킬 수도 있는데, 이것은 패턴화 프로세스의 수율을 추가로 향상시킬 수 있다.
방법(400)은 초기 선택 프로세스를 시작하는 초기 단계(402)를 포함한다. 한 실시형태에서, 초기 단계(402)에서, 도 3에서 앞서 논의되는 바와 같이, 유저 유지 게이지, 기준 게이지(기준 데이터로서 또한 지칭됨) 또는 다른 유저 입력을 포함하는 전체 게이지 세트와 같은 입력이 획득될 수도 있다. 단계(404)에서, 프로세스 모델(예를 들면, 도 2의 광학 모델, 레지스트 모델, 등등)이 (예를 들면, 컴퓨터 시스템의) 메모리에서 사전 존재하는지의 여부에 대한 결정이 이루어진다. 모델은 이전의 프로세싱된 기판 또는 인쇄된 기판으로부터 획득되는 패턴화 프로세싱 데이터에 기초하는 캘리브레이팅된 모델일 수도 있다. 프로세스 모델이 존재하는 경우, 그러면, 단계(406)에서, 402의 초기 게이지의 서브세트(예를 들면, 416)를 식별하기 위한 체크가 프로세스 모델을 사용하여 수행된다.
한 실시형태에서, 406에서의 체크는 프로세스 모델과 관련되는 게이지를 결정하는 것, 모델과 관련되는 게이지의 하나 이상의 속성을 체크하는 것, 단계(402)의 입력 게이지와 관련되는 모델 오차 값을 체크하는 것, 및/또는 모델 실행을 통해, 단계(402)의 입력 게이지에 대한 속성(예를 들면, 모델 오차)을 생성하는 것을 수반할 수도 있다. 체크 결과는, 후속하는 단계에서, 게이지의 서브세트(예를 들면, 416)가 된다. 한 실시형태에서, 모델 또는 게이지에 관련되는 하나 이상의 그러한 정보는 컴퓨터 시스템의 데이터베이스 또는 메모리에 저장될 수도 있고 앞서 언급된 게이지 선택 프로세스의 하나 이상의 입력에 따라 검색될 수도 있다.
프로세스 모델(예를 들면, 도 2의 광학기기 모델)이 (예를 들면, 데이터베이스 또는 메모리에서) 존재하지 않는 경우, 그러면, 단계(408)에서, 기준 게이지가 획득될 수도 있거나 또는 초기 단계(402)의 입력이 게이지 선택 프로세스에서 추가로 사용될 수도 있다. 따라서, 한 실시형태에서, 게이지의 서브세트는 기준 게이지를 사용하여 결정될 수도 있다. 한 실시형태에서, 기준 게이지는 앞서 언급되는 바와 같이 이전에 프로세싱된 기판 데이터로부터 (예를 들면, 데이터베이스로부터) 획득될 수도 있다.
단계(412)에서, 앞서 언급되는 바와 같이, 유저 유지 게이지에 기초하여 입력 게이지(예를 들면, 402의 입력 또는 406으로부터의 결과)의 필터링이 수행될 수도 있다. 예를 들면, 입력 게이지(예를 들면, 402의 입력 또는 406의 출력)로부터, 입력 게이지로부터 유저 유지 게이지를 제거하는 것에 의해 게이지의 서브세트(414 또는 416)가 선택될 수도 있다. 한 실시형태에서, 서브세트(414 및 416)는, 각각, 필터링된 게이지(414 및 416)로서 또한 지칭된다. 앞서 언급되는 바와 같이, 100만 개의 입력 게이지가 있을 수도 있으며 이들 100만 개의 입력 게이지는 1000 개의 유저 유지 게이지를 포함할 수도 있다. 그 다음, 필터링 이후, 999,000 개 미만의 필터링된 게이지가 남는다. 이들은 여전히 매우 많은 개수의 게이지이고, 따라서, 게이지의 서브세트의 추가적인 선택이 후속하는 단계에서(예를 들면, 418에서)에서 행해진다.
단계(418)에서, 게이지의 서브세트(예를 들면, 422 및/또는 424)는 필터링된 게이지와 관련되는 하나 이상의 속성에 기초하여 필터링되는 게이지(414 및/또는 416)로부터 선택된다. 하나 이상의 속성은 제1 속성 파라미터일 수도 있다. 예를 들면, 제1 속성은 20 nm의 CD와 같은 소망되는 게이지와 관련되는 게이지 이름이다. 대안적으로 또는 추가적으로, 한 실시형태에서, 속성 파라미터는 패턴화 프로세스의 강도 값일 수도 있다. 따라서, 선택을 위해 사용되는 하나 이상의 속성에 기초하여, (402 또는 406의) 입력 게이지의 서브세트(422)(또는 424)가 선택될 수도 있다. 예를 들면, 선택된 서브세트는 10,000 개 미만의 게이지를 포함할 수도 있다. 앞서 언급되는 바와 같이, 서브세트(422 또는 424)의 선택을 위해 사용되는 하나 이상의 속성은, 웨이퍼의 임계 치수의 값, 패턴과 관련되는 곡률, 모델 오차(예를 들면, 단계(406)로부터 추가되는 추가적인 속성) 및/또는 패턴화 프로세스에서 사용되는 강도일 수도 있다.
후속하는 단계(430)에서, 게이지(422 및/또는 424)의 선택된 서브세트는, 각각, 게이지(426 및/또는 428)를 출력하기 위해 단계(412)에서 사용되었던 유저 유지 게이지를 포함하도록 추가로 부가될 수도 있다. 유저 유지 게이지의 그러한 부가는 그에 의해 보존되며, 그러한 게이지는 소망된 게이지 또는 임계 게이지였다. 한 실시형태에서, 게이지의 서브세트(422/424/426/428)는, 예를 들면, 도 10a 및 10b에서 논의되는 바와 같이 추가적인 모델 기반의 선택 프로세스와 함께 사용될 때 선택된 게이지, 게이지의 선택된 서브세트, 또는 입력 게이지로서 상호 교환 가능하게 지칭될 수도 있다.
도 5는, 예를 들면, 도 4에서 논의되는 단계(418)에서 하나 이상의 속성에 기초하여 게이지를 선택하기 위한 방법(500)의 예시적인 구현예의 플로우차트이다. 한 실시형태에서, 입력이 방법(500)으로 제공될 수도 있다. 제1 입력은 게이지의 초기 세트(예를 들면, 기준 게이지 또는 게이지의 전체 세트)로부터 선택될 게이지의 개수(502)(예를 들면, 유저 정의 또는 사전 결정된 개수)일 수도 있다. 제2 입력(504)은, 게이지 이름, 게이지 또는 패턴화 프로세스의 속성, 속성의 각각의 값, 또는 다른 게이지 관련 데이터와 같은 게이지 데이터를 포함하는 게이지 파일(504)(예를 들면, 컴퓨터 시스템의 메모리에 저장됨)일 수도 있다. 게이지 파일 및 파일 내의 데이터의 예가 도 11에서 예시된다. 제3 입력(506)은 선택 목적으로 사용될 하나 이상의 속성의 목록일 수도 있다. 한 실시형태에서, 하나 이상의 속성의 각각은 특정한 속성의 중요도를 나타내는 가중치와 관련될 수도 있다. 처음에는, 모든 속성은 동일한 가중치, 예를 들면, 값 1을 할당받을 수도 있다. 하나 이상의 속성은, 앞서 언급되는 바와 같이, 웨이퍼의 임계 치수의 값, 패턴과 관련되는 곡률, 및/또는 패턴화 프로세스에서 사용되는 강도, 등등을 포함할 수도 있다.
단계(508)에서, 데이터프레임(508)은 게이지 파일(504)을 사용하는 것에 의해 생성될 수도 있다. 데이터프레임은 게이지 파일(504)(제2 입력) 내의 데이터의 예시적인 표현이다. 예를 들면, 데이터프레임은 속성 및 그들의 값을 포함하는 행과 열을 포함한다. 한 실시형태에서, 각각의 행은 게이지에 관련되는 모든 속성을 나열하는데, 추가로 각각의 행은 열과 관련된다. 열은 나열된 속성의 각각의 값을 나타낸다.
단계(510)에서, 하나 이상의 속성(506)(제3 입력)에 기초하여, 예를 들면, 게이지 파일(504)에서 데이터를 정렬하는 것에 의해 다른 데이터프레임(510)이 생성될 수도 있다. 예를 들면, 단계(510)는 게이지 파일(504)의 이름 또는 가중치의 값에 기초하여 정렬된 데이터프레임을 생성한다. 한 실시형태에서, 하나 이상의 속성(506)은 게이지와 관련되는 새롭게 추가된 속성(예를 들면, 모델 오차)일 수도 있지만, 그러나 그러한 속성(예를 들면, 모델 오차)은 게이지 파일(504)에서 이전에는 존재하지 않는다. 한 실시형태에서, 데이터프레임(510 및 508)은 선택 목적에 사용될 수도 있다. 한 실시형태에서, 데이터프레임(508)은 게이지의 초기 세트의 한 예이고 정렬된 데이터프레임(508)은 게이지의 선택이 수행되는 것에 기초가 되는 하나 이상의 속성의 한 예이다.
단계(512)에서, 데이터프레임(510 및/또는 508), 및 선택될 게이지의 개수(예를 들면, 1000 개의 게이지)(502)는 게이지 선택을 위해 사용될 수도 있다. 단계(512)에서, 게이지의 서브세트의 선택은 상기에서 언급되는 하나 이상의 속성에 기초한다. 예를 들면, 게이지 이름과 같은 제1 속성 파라미터에 기초하여 데이터프레임(510 및 508)으로부터 제1 서브세트가 선택될 수도 있다. 추가적으로 또는 대안적으로, 게이지의 제2 서브세트가 강도와 같은 제2 속성에 기초하여 데이터프레임(510 및 508)으로부터 선택될 수도 있다. 추가적으로 또는 대안적으로, 패턴의 곡률과 같은 제3 속성에 기초하여 데이터프레임(510 및 508)으로부터 게이지의 제3 서브세트가 선택될 수도 있다. 추가적으로 또는 대안적으로, 기판 상의 게이지의 위치(예를 들면, 기판의 에지, 기판의 중심)와 같은 제4 속성에 기초하여 데이터프레임(510 및 508)으로부터 게이지의 제4 서브세트가 선택될 수도 있다.
게다가, 게이지의 제1 서브세트, 게이지의 제2 서브세트, 및 등등은 중복 게이지를 포함할 수도 있다. 예를 들면, 게이지의 제1 서브세트는 명명된 OCI_23_78_X에 의해 식별되는 게이지를 포함할 수도 있고 게이지의 제2 서브세트는 게이지 OCI_23_78_X를 또한 포함할 수도 있다. 그러한 중복은 용장성일 수도 있다. 따라서, 한 실시형태에서, 게이지 이름(또는 모델 오차, 가중치, 등등)과 같은 하나 이상의 속성에 기초하여 제1 서브세트, 제2 서브세트, 및 등등으로부터 추가적인 고유의 게이지가 선택될 수도 있다.
그러므로, 중복 게이지를 식별하기 위해 병합 단계(514)가 포함될 수도 있다. 병합 단계(514)에서, 게이지의 제1 서브세트, 게이지의 제2 서브세트, 및 등등이 병합되어 게이지의 병합된 서브세트(514)를 생성한다. 서브세트의 병합은 단순히 제1 서브세트를 게이지의 제2 서브세트와 부가하는 것을 지칭한다. 한 실시형태에서, 병합은 하나 이상의 속성의 중요도에 기초하여 순서가 정해질 수도 있는데, 여기서 가장 중요한 속성과 관련되는 서브세트가 맨 처음에 배치되고, 가장 중요하지 않은 속성과 관련되는 서브세트가 병합된 서브세트에서 마지막에서 배치된다. 명백할 바와 같이, 중복 게이지를 포함하는 게이지의 병합된 서브세트(514)는 제1 속성, 제2 속성, 및 등등을 가질 것이다.
다음으로, 단계(516)에서, (예를 들면, 게이지 이름에 기초하여) 게이지의 병합된 서브세트가 중복 게이지의 세트를 포함하는지의 여부가 결정된다. 결정은, 상이한 서브세트의 게이지를 비교하고, 하나 이상의 속성에 기초하여 정렬하고, 그 다음, 서로 인접하게 나열되는 게이지를 비교하는 것에 의해, 또는 데이터에서 중복 엔트리를 식별하는 다른 공지된 방법에 의해 이루어질 수도 있다. 예를 들면, 결정은 제1 속성(예를 들면, 이름)에 기초하여 게이지의 제1 서브세트 및 게이지의 제2 서브세트를 비교하는 것에 의해 달성된다.
중복 게이지가 존재한다는 것을 결정하면, 단계(520)에서, 중복 게이지의 세트는 게이지의 병합된 서브세트(516)로부터 필터링될 수도 있다. 패턴화 프로세스의 캘리브레이션 프로세스, 측정 프로세스, 등등의 성능을 향상시키기 위해, 중복 게이지를 제거하는 것이 바람직할 수도 있다. 중복과 함께 게이지의 선택된 서브세트가 추가적인 프로세싱(예를 들면, 프로세스 모델의 캘리브레이션 또는 인쇄된 패턴의 측정)을 위해 사용되는 경우, 용장성 데이터는 저하된 성능(예를 들면, 잘못된 모델 적합, 낭비된 측정 시간 및 노력, 등등)을 야기할 수도 있다.
한 실시형태에서, 게이지의 서브세트의 추가적인 선택은, 중복 게이지가 없는 병합된 서브세트(516)에 기초하여 수행될 수도 있다. 예를 들면, 단계(522)에서, 게이지의 시퀀스에 기초한 게이지의 서브세트의 선택이 다시 수행될 수도 있다. 게이지의 그러한 시퀀스는 병합된 서브세트(516) 내에서의 게이지의 순위 또는 순서를 가리킨다. 한 실시형태에서, 서브세트는 게이지 이름과 같은 하나 이상의 속성, 또는 다른 속성, 예를 들면, 선량, 초점, 가중치, 등등에 기초하여 병합된 서브세트(516)로부터 선택될 수도 있다.
게이지의 병합된 서브세트(516)가 중복 게이지를 포함하지 않는 경우, 단계(522)에서의 것과 유사하게, 단계(518)에서, 게이지의 시퀀스에 기초한 게이지의 서브세트의 선택이 다시 수행될 수도 있다.
후속하는 단계(524)에서, 중복이 없는 게이지의 병합된 서브세트(516)의 게이지의 선택된 서브세트가 출력될 것이다. 단계(524)에서, 게이지의 서브세트는 프로세스 모델을 캘리브레이팅하도록 구성될 수도 있다. 예를 들면, 서브세트는 (예를 들면, 도 2의 프로세스에서) 패턴화 프로세스 모델의 시뮬레이션 동안 허용 가능한 다른 파일 포맷 또는 GDS 파일 포맷으로 구성될 수도 있다. 그 다음, 캘리브레이션 프로세스 동안, 프로세스 모델의 파라미터를 결정하기 위해 선택된 게이지로부터 적절한 게이지 정보가 추출될 수도 있다. 그러한 캘리브레이션 프로세스는 반복 프로세스인데, 여기서 파라미터의 값은, 소망되는 모델 성능(예를 들면, CD, EPE, 또는 다른 성능 메트릭의 관점에서 정의됨)이 달성될 때까지 수정된다.
도 6은, 한 실시형태에 따른, 게이지의 모델 기반의 선택의 방법에 대한 플로우차트를 예시한다. 한 실시형태에서, 방법은 유전 알고리즘(GA)과 같은 최적화 알고리즘에 기초하여 모델(예를 들면, 프로세스 모델)의 상이한 버전을 활용한다. 유전 알고리즘은, 자연 선택에 기초하는 (예를 들면, 모델 파라미터에 대한) 제약된 및 제약되지 않은 최적화 문제 둘 모두를 해결하기 위한 방법일 수도 있다. 유전 알고리즘은 개개의 솔루션(예를 들면, 모델 파라미터)의 모집단을 반복적으로 수정할 수도 있다. 다음의 설명은 유전 알고리즘을 사용하는 방법을 한 예로서 설명하지만, 그러나 그러한 알고리즘으로 범위를 제한하지는 않는다. 상이한 버전의 모델을 생성하기 위해 다른 적절한 알고리즘이 사용될 수도 있다.
방법은, 초기 단계(602)로서, 도 4와 관련하여 앞서 논의되는 바와 같이, 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 선택된 게이지의 세트(422/424)(또는 426/428)를 획득하는 것을 포함한다. 선택된 게이지(422/424)(또는 426/428)는 게이지의 하나 이상의 속성에 기초하여 획득되었다. 게이지의 그러한 속성 기반의 선택은, 전체 세트 게이지의 개수(예를 들면, 수백만 단위)를 게이지의 서브세트(예를 들면, 수백만 대신 수천 개의 게이지를 가짐)로 10의 몇 승배만큼 감소시켰다. 따라서, 그러한 선택된 게이지를 사용하는 시뮬레이션(예를 들면, 프로세스 시뮬레이션, GA 기반의 시뮬레이션)은, 게이지의 전체 세트를 사용하는 시뮬레이션과 비교하여 더 빠를 것이다.
단계(604)에서, 최적화 알고리즘을 위한 튜닝 데이터가 존재하는지 또는 그렇지 않은지의 여부가 결정된다. 한 실시형태에서, 튜닝 데이터는, 이전에 프로세싱된 기판 데이터 또는 테스트 패턴에 기초하여 결정되는 GA와 관련되는 모델 파라미터 또는 파라미터들을 지칭한다. 그러한 튜닝 데이터는 더 나은 초기 시뮬레이션 조건을 제공할 수도 있는데, 이것은 통상적으로 모델의 더 빠른 실행 또는 GA 알고리즘의 수렴으로 이어진다. 따라서, 한 실시형태에서, 튜닝 데이터는, 단계(606)에서, 모델 기반의 선택 프로세스 동안 사용될 수도 있다. 튜닝 데이터가 존재하지 않는 경우, 사전 선택된 초기화 조건(예를 들면, 모델 파라미터 또는 GA 파라미터)이 사용되어 단계(608)에서 GA 알고리즘을 실행할 수도 있다.
게다가, 단계(610)에서, GA 알고리즘의 실행에 기초하여 복수의 모델(612)이 캘리브레이팅된다. 한 실시형태에서, 복수의 모델(612)은 GA 알고리즘을 사용하여 결정되는 소정의 파라미터 값을 갖는 프로세스 모델이다. 한 실시형태에서, GA 알고리즘은 1000 개의 모델을 생성한다. 한 실시형태에서, 각각의 모델은, 앞서 논의되는 바와 같이, 모델 오차와 관련된다. 게다가, 모델(612)은, 선택된 게이지(422/424)를 사용하여 실행될 때, 422/424의 특정한 게이지와 관련될 수도 있는 모델 오차를 생성한다. 한 실시형태에서, 선택된 게이지(422/424)는 도 4에서 앞서 언급되는 바와 같이 유저 유지 게이지를 포함하지 않는다.
단계(616)에서, 다양한 모델을 식별하기 위해, 모델(612)로부터 제한된 개수의 모델이 선택될 수도 있다. 다양한 모델은, 복수의 모델(612) 중 최상의 모델(예를 들면, 최소 모델 오차를 가짐)과는 실질적으로 상이한 파라미터를 갖는 모델을 가리킨다. 유사한 모델이 유사한 게이지를 생성할 수도 있기 때문에, 다양한 모델을 선택하는 것은 상이한 게이지 세트를 생성하는 데 이점이 있을 수도 있다. 그러한 유사한 게이지는 용장성일 수도 있으며 패턴화 프로세스에서의 광범위한 변동을 캡쳐하기에 충분한 정보를 제공할 수 없을 수도 있다. 다른 한편으로, 다양한 모델은 극한의 프로세스 조건을 캡쳐할 수도 있고, 계산 시간 및 리소스를 감소시킬 수도 있으며, 더 빠른 결과가 달성될 수도 있다. 한 실시형태에서, 모델 선택은 나중에 논의되는 도 7에서 상세하게 논의되는 바와 같이 수행될 수도 있다.
단계(622)에서, 선택된 다양한 모델(616)은 모델 오차 관련된 데이터를 결정하기 위해 선택된 게이지(426/428)를 사용하여 실행된다. 그 다음, 모델 오차 데이터가 선택된 게이지의 각각과 관련된다. 예를 들면, 각각의 게이지는 모델 오차의 평균, 표준 편차, 및/또는 오차 범위와 관련될 수도 있다.
게다가, 단계(626)에서, 게이지의 서브세트(628)가 관련되는 모델 오차 데이터에 기초하여 선택될 수도 있다. 한 실시형태에서, 다양한 모델은 또한 추가적인 게이지 세트를 생성하기 위해 실행될 수도 있다. 예를 들면, 게이지의 세트(628)는, 모델 오차의 평균 값 및 모델 오차의 오차 범위에 기초하여 게이지(422/424)로부터 선택된다. 한 실시형태에서, 평균 값 및 오차 범위 값과 같은 필터링 데이터는 사전 정의된 값일 수도 있거나 또는 유저 인터페이스를 통해 유저로부터 획득될 수도 있다.
더구나, 게이지의 서브세트(628)는 도 4에서 앞서 논의되는 바와 같이 유저 유지 게이지를 포함하도록 추가로 부가될 수도 있다.
도 7은, 한 실시형태에 따른, 도 6의 단계(616)에서 사용되는 모델 선택의 예시적인 방법의 플로우차트를 예시한다. 단계(702)에서, 유저는 복수의 모델(612)로부터 선택될 모델의 개수(702)를 입력할 수도 있다. 게다가, 단계(702)에서, 유저는 모델 오차와 관련되는 임계 값으로서 또한 지칭되는 임계 비율(704)(예를 들면, 0.5)을 입력할 수도 있다. 예를 들면, 비율은 복수의 모델(612) 중 주어진 모델의 제1 모델 오차 값을, 최상의 모델(예를 들면, 최소 모델 오차를 가짐)의 제2 모델 오차 값으로 나누는 것에 의해 계산될 수도 있다.
한 실시형태에서, 단계(702)에서, 캘리브레이션 데이터(706)는 복수의 모델(612) 중에서 최상의 모델을 결정하기 위해 제공될 수도 있다. 예를 들면, 캘리브레이션 데이터는 패턴화 프로세스의 이전에 프로세싱된 기판과 관련되는 데이터를 포함한다. 그러한 데이터는 CD 값, 선량, 초점, 또는 다른 프로세스 조건을 포함할 수도 있다. 한 실시형태에서, 캘리브레이션 데이터(706)는 웨이퍼, 레티클, 또는 시뮬레이팅된 구조체에 대한 하나 이상의 측정 데이터를 포함한다.
복수의 모델(612)은 모델 오차를 결정하기 위해 그러한 캘리브레이션 데이터(706)를 사용하여 실행될 수도 있다. 예를 들면, 모델 오차는 모델 결과(예를 들면, CD)와 캘리브레이션 데이터(예를 들면, CD) 사이의 차이이다. 한 실시형태에서, 모델 오차는 도 3에서 앞서 언급되는 바와 같이 계산되는 제곱 평균 제곱근(root mean square; RMS) 값일 수도 있다.
단계(708)에서, 임계 비율(704), 및 복수의 모델(612)의 각각과 관련되는 모델 오차 값을 사용하여 후보 모델 목록이 생성될 수도 있다. 예를 들면, 612의 주어진 모델의 모델 오차 값과 단계(702)에서 최상의 모델의 모델 오차의 비율이 계산되고 임계 비율(704)과 비교된다. 한 실시형태에서, 비율은 캘리브레이션 데이터를 사용하여 주어진 모델의 실행에 의해 획득되는 모델 오차와 관련하여 결정될 수도 있다. 비율이 임계 비율(예를 들면, 1.5)을 초과하지 않으면, 모델은 후보 모델로서 간주된다. 한 실시형태에서, 1000 개의 모델이 이용 가능할 수도 있고 임계 비율(예를 들면, 1.5)과 같은 명세와 비교하는 것에 의해 200 개의 후보 모델이 선택될 수도 있다. 그러나, 모델의 사전 결정된 개수 또는 유저 정의 개수(예를 들면, 유저 입력(706))를 선택하는 것이 소망될 수도 있다. 예를 들면, 200 개의 후보 모델 중, 단지 5 개 또는 10 개의 다양한 모델만이 소망될 수도 있다.
단계(712)에서, 후보 모델의 개수(708)가 사전 결정된 개수(예를 들면, 706)보다 더 큰지의 여부의 결정이 이루어진다. 후보 모델(708)의 개수가 사전 결정된 개수보다 더 많은 경우, 단계(716)가 실행된다.
단계(716)에서, 후보 모델(708)의 유사도 메트릭이 결정된다. 유사도 메트릭은 주어진 후보 모델이 최상의 모델(예를 들면, 최소 RMS 값을 가짐)과 얼마나 유사한지의 척도이다. 한 실시형태에서, 유사도 메트릭은 코사인 유사도 메트릭일 수도 있는데, 이것은 두 개의 벡터의 코사인으로서 계산되되, 여기서 각각의 벡터는 후보 모델(708)의 주어진 모델을 나타낼 수도 있다. 한 실시형태에서, 상대적으로 낮은(또는 높은) 코사인 값을 갖는 모델은, 모델이 다양한 모델이다는 것을 나타낸다.
단계(718)에서, 유사도 메트릭에 기초하여 후보 모델(708)로부터 다양한 모델(720)의 목록이 선택된다. 예를 들면, 후보 모델은 코사인 유사도 메트릭의 값의 오름차순으로 배열된다. 그 다음, 사전 결정된 개수의 모델(예를 들면, 유저 입력(706))이 정렬된 후보 모델로부터 선택될 수도 있다. 예를 들면, 200 개의 후보 모델로부터 5 개의 다양한 모델이 선택될 수도 있다.
단계(714)에서, 후보 모델의 개수가 사전 결정된 개수(예를 들면, 유저 입력(706))보다 더 적으면, 그러면, 전체 후보 모델 목록은 다양한 모델(720)로서 제공될 수도 있다.
도 8은 선택된 모델에 기초하여 게이지 선택을 향상시키기 위한, 상기에서 논의되는, 도 4, 도 5, 도 6, 및 도 7의 여러 단계의 실행을 수반하는 예시적인 방법(800)의 플로우차트의 개요를 예시한다.
방법(800)은, (i) 캘리브레이션 데이터(808)(도 7에서 앞서 논의된 것과 유사함), (ii) 이상점 데이터를 식별하고 제거하기 위한 모델 오차와 관련되는 노이즈 제거 파라미터(806), (iii) 선택될 게이지의 소망되는 개수와 관련되는 반복 횟수(804), (iv) 선택 프로세스 동안 획득될 상이한 서브세트 게이지를 병합하기 위한 기초를 제공하는 병합 규칙(802), 및 (v) 모델 목록(810)(예를 들면, 언급된 도 7의 후보 모델(708) 또는 다양한 모델(720))을 포함하는 여러 가지 입력을 수신한다.
단계(812)에서, 캘리브레이션 데이터(808), 모델 목록(810)(예를 들면, 5 개의 다양한 모델), 및 게이지의 전체 세트(예를 들면, 100만 개)에 기초하여 체크 작업이 생성될 수도 있다. 체크 작업은, 게이지의 전체 세트를 사용하여 모델 목록(810)의 각각의 모델을 시뮬레이팅하는 것에 의해 생성되는 데이터(예를 들면, 모델 오차, CD 값, 등등)를 포함한다. 예를 들면, 체크 작업은 모델당 100만 개의 게이지와 관련되는 데이터를 포함한다. 게다가, 단계(814)에서, 체크 작업에서의 데이터는, 예를 들면, 단일의 테이블에서 결합된다.
단계(816)에서, 노이즈 제거 파라미터(806)에 기초하여 이상점을 제거하기 위해 결합된 데이터는 클리닝된다. 예를 들면, 작은 오차 또는 상대적으로 큰 바이어스를 갖는 게이지는 체크 작업의 결합된 데이터로부터 제거될 수도 있다.
단계(818)에서, 데이터프레임은 모델(810)의 시뮬레이션의 클리닝된 결과에 기초하여 생성될 수도 있다. 앞서 언급되는 바와 같이, 실시형태에서, 데이터프레임은 행 및 열 포맷의 데이터의 표현이다. 한 실시형태에서, 데이터프레임은 게이지당 모델 오차 데이터를 포함한다. 이 모델 오차 데이터는 게이지당 오차의 평균 값, 게이지당 오차 범위, 또는 통계 분석을 위해 사용될 수 있는 다른 통계 메트릭을 계산하기 위해 사용될 수도 있다. 게다가, 데이터프레임은 오차 범위 히스토그램(820) 및 평균 오차 히스토그램(822)을 생성하기 위해 사용될 수도 있다. 히스토그램은, 오차 범위 값 및 평균 오차 값과 같은, 수치 데이터의 분포의 표현이다.
단계(824)에서, 선택될 게이지의 소망되는 개수(예를 들면, 입력(804)) 또는 모델 오차 범위 또는 오차 범위 히스토그램(820)에 기초하여 데이터프레임으로부터 게이지의 제1 서브세트가 선택될 수도 있다. 한 실시형태에서, 선택될 게이지의 소망되는 개수(예를 들면, 입력(804)) 및 평균 오차 값 또는 평균 오차 히스토그램(822)에 기초하여 데이터프레임으로부터 게이지의 제2 서브세트가 선택될 수도 있다. 한 실시형태에서, 제1 서브세트의 선택은 에러 범위의 임계 값에 기초할 수도 있다. 예를 들면, 최상의 모델과 관련하여 10 %보다 더 큰 오차 범위를 갖는 게이지를 선택하고 및/또는 20 %보다 더 큰 평균 오차 값을 갖는 게이지를 선택한다.
단계(828)에서, 게이지의 제1 서브세트 및 게이지의 제2 서브세트는, 그 다음, 병합 규칙(802)에 기초하여 병합될 수도 있다. 게이지의 그러한 병합은, 병합 규칙을 충족하지 않는 몇몇 게이지로 하여금 제거되게 할 수도 있다. 한 실시형태에서, 병합 규칙은 오차 범위 및/또는 평균 모델 오차와 관련되는 규칙(예를 들면, if 조건문)을 포함한다. 예를 들면, 병합 규칙은 평균 오차 값의 15 % 이내에 있는 병합 게이지 및/또는 오차 범위 값의 10 % 증분 이내에 있는 병합 게이지일 수도 있다. 게다가, 단계(828)의 결과는 선택된 게이지(830)로서 출력될 수도 있다.
도 9a는, 한 실시형태에 따른, 패턴화 프로세스와 관련되는 프로세스 모델을 캘리브레이팅함에 있어서 사용하기 위한 게이지 선택의 예시적인 방법을 예시한다.
몇몇 실시형태에서, 방법(900)은, P902에서, 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 입력 게이지의 세트(902)를 획득하는 것을 포함한다. 입력 게이지(902)는 도 3/4의 단계(302/402)에서 논의되는 바와 같이 획득될 수도 있다. 예를 들면, 입력 게이지는 전체 게이지 세트, 기준 게이지, 등등일 수도 있다. 더구나, 앞서 언급되는 바와 같이, 하나 이상의 파라미터는, 웨이퍼의 임계 치수의 값, 패턴과 관련되는 곡률; 및/또는 패턴화 프로세스에서 사용되는 강도를 포함할 수도 있다. 제1 속성 파라미터는 모델 오차를 포함할 수도 있고, 모델 오차는 기준 윤곽과 패턴화 프로세스의 프로세스 모델의 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽 사이의 차이일 수도 있다. 기준 윤곽은 주사 전자 현미경으로부터의 측정된 윤곽일 수도 있다.
방법(900)은, P904에서, 입력 게이지의 세트(902)로부터 초기 게이지의 서브세트(904)를 선택하는 것을 포함한다. 예를 들면, 입력 게이지의 세트(902)의 개수는 100만 개일 수도 있고, 하나 이상의 속성에 기초하여 입력 게이지의 세트(902)로부터 초기 게이지의 서브세트(904)를 선택한 이후, 초기 게이지의 서브세트(904) 내의 게이지의 개수는 속성당 1000 개로 감소될 수도 있다. 한 실시형태에서, 입력 게이지의 세트(902)로부터 초기 게이지의 서브세트(904)를 선택하는 것은, 도 4의 단계(412)에서 앞서 논의되는 바와 같이 수행될 수 있다.
도 9b는, 한 실시형태에 따른, 패턴화 프로세스와 관련되는 프로세스 모델을 캘리브레이팅함에 있어서 사용하기 위한 초기 게이지의 서브세트(904)를 입력 게이지의 세트(902)로부터 선택하는 예시적인 프로세스를 예시한다.
몇몇 실시형태에서, 패턴화 프로세스와 관련되는 측정 프로세스에서 사용하기 위한 초기 게이지의 서브세트(904)를 입력 게이지의 세트(902)로부터 선택하기 위한 프로세스(P904)는, P912에서, 하나 이상의 속성의 제1 속성 파라미터에 기초하여 입력 게이지의 세트(902)로부터 게이지의 제1 서브세트(912)를 결정하는 것을 포함할 수 있는데, 게이지의 제1 서브세트(912)는 프로세스 모델을 캘리브레이팅하도록 구성된다. 게이지의 제1 서브세트(912)에 의해 사용되는 프로세스 모델의 캘리브레이션은 도 3, 도 4, 및 도 5에서 앞서 논의되는 바와 같이 수행될 수 있다. 예를 들면, 게이지의 제1 세트(912)는 하나 이상의 속성의 제1 속성 파라미터를 포함할 수도 있고, 제1 속성 파라미터를 갖는 게이지의 제1 세트(912)는 모델 오차일 수도 있고, 모델 오차는 프로세스 모델의 모델 오차를 캘리브레이팅하기 위해 사용될 수도 있다.
입력 게이지의 세트(902)로부터 게이지의 제1 서브세트(912)의 결정은 도 5의 단계(512)에서 앞서 논의되는 바와 같이 수행될 수 있다.
P912-2에서, 프로세스는 게이지의 제1 서브세트(912)를 결정하기 위해 유저 정의 게이지에 기초하여 입력 게이지의 세트(902)를 필터링하는 것을 수반한다. 입력 게이지의 세트(902)의 필터링은 도 4의 단계(412 및 418)에서 그리고 추가로 도 5에서 앞서 논의되는 바와 같이 수행될 수 있다.
P914에서, 하나 이상의 속성 중 제2 속성 파라미터에 기초하여 입력 게이지의 세트(902)로부터 게이지의 제2 서브세트(914)를 결정한다. 입력 게이지의 세트(902)로부터 게이지의 제2 서브세트(914)의 결정은 도 4의 단계(418) 및 추가로 도 5에서 논의되는 바와 같이 수행될 수 있다.
P916에서, 게이지의 병합된 서브세트(916)가 되도록 게이지의 제1 서브세트(912) 및 게이지의 제2 서브세트(914)를 병합한다. 게이지의 제1 서브세트(912) 및 게이지의 제2 서브세트(914)를 병합하는 것은 도 5의 단계(514)에서 앞서 논의되는 바와 같이 수행될 수 있다.
P918에서, 게이지의 병합된 서브세트(916)가 중복 게이지를 포함하는지를 결정한다.
P920에서, 제3 서브세트(920)가 중복 게이지를 포함하지 않도록 게이지의 병합된 서브세트(916)로부터 게이지의 제3 서브세트(920)를 선택하는데, 게이지의 제3 서브세트(920)는 프로세스 모델을 캘리브레이팅하도록 구성된다. 중복 게이지를 포함하는 게이지의 병합된 서브세트(916)의 결정은 도 5의 단계(516)에서 논의되는 이전 단계에서 발견될 수 있다.
P922에서, 중복 게이지가 존재하지 않는다는 결정에 응답하여, 프로세스 모델을 캘리브레이팅하기 위해 게이지의 병합된 서브세트(916)를 선택한다. 게이지의 병합된 서브세트(916)를 선택하는 것은 도 5에서 앞서 논의되는 바와 같이 수행될 수 있다.
도 10a는, 한 실시형태에 따른, 패턴화 프로세스를 위한 게이지를 생성하는 예시적인 방법을 예시한다. 방법은 또한, 예를 들면, 도 6, 도 7, 및 도 8을 참조하는 한 실시형태에서, 모델 기반의 선택 프로세스로서 지칭된다.
몇몇 실시형태에서, 패턴화 프로세스를 위한 게이지를 생성하기 위한 방법(1000)은, P1002에서, 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 초기 게이지(1002)를 획득하는 것을 포함할 수 있다. 한 실시형태에서, 하나 이상의 속성을 갖는 초기 게이지(1002)는 도 3 및 도 6의 단계(602)에서 앞서 논의되는 바와 같이 획득될 수도 있다.
앞서 언급되는 바와 같이, 하나 이상의 파라미터는, 웨이퍼의 임계 치수의 값, 패턴과 관련되는 곡률; 및/또는 패턴화 프로세스에서 사용되는 강도를 포함할 수도 있다.
P1004에서, 방법은, 초기 게이지(1002)를 사용하는 최적화 알고리즘을 통해, 게이지(1008)를 결정하도록 구성되는 복수의 모델(M1004)을 캘리브레이팅하는 것을 수반하는데, 복수의 모델(M1004)의 각각의 모델은 모델 오차 값과 관련된다. 복수의 모델(M1004)은, 광학 모델, 레지스트 모델, 또는 에칭 모델일 수도 있고, 모델(M1004)은 모델 오차와 같은 하나 이상의 속성을 생성하기 위해 사용될 수도 있고, 모델 오차는 초기 게이지 선택을 위해 사용될 수도 있다. 게이지(1008)를 결정하도록 구성되는 복수의 모델(M1004)의 캘리브레이션은 도 6의 단계(610)에서 앞서 논의되는 바와 같이 수행될 수 있다.
앞서 논의되는 바와 같이, 모델 오차 값은 모델 오차와 관련될 수도 있는데, 모델 오차는 기준 윤곽과 패턴화 프로세스의 프로세스 모델의 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽 사이의 차이인데, 기준 윤곽은 이미지 캡쳐 디바이스로부터의 측정된 윤곽이다. 모델 오차 값은 기준 윤곽과 시뮬레이팅된 윤곽 사이의 차이의 제곱 평균 제곱근 값일 수도 있다.
제곱 평균 제곱근은, 값의 제곱의 산술 평균의 제곱근일 수도 있다. 예를 들면, 기준 윤곽과 시뮬레이팅된 윤곽 사이의 차이의 제곱 평균 제곱근은, 본 발명에서의 기준 윤곽과 시뮬레이팅된 윤곽 사이의 차이의 제곱의 산술 평균의 제곱 평균 제곱근일 수도 있다. 한 실시형태에서, 모델 오차는, 도 3에서 앞서 논의되는 바와 같이 계산될 수도 있는 RMS이다.
P1006에서, 복수의 모델(M1004)에서의 특정한 모델의 가장 낮은 모델 오차 값에 대한 모델 오차 값의 비교에 기초하여 복수의 모델(M1004)로부터 후보 모델(M1006)을 결정한다. 후보 모델(M1006)은, 광학 모델, 레지스트 모델, 또는 에칭 모델일 수도 있고, 후보 모델(M1006)은 모델 오차와 같은 하나 이상의 속성을 생성하기 위해 사용될 수도 있고, 모델 오차는 초기 게이지 선택을 위해 사용될 수도 있다. 한 실시형태에서, 복수의 모델(M1004)로부터의 후보 모델(M1006)의 결정은 도 7의 단계(708)에 따라 수행될 수 있다.
P1008에서, 후보 모델(M1006)에 기초하여 패턴화 프로세스를 위한 게이지(1008)를 선택한다. 게이지(1008)의 선택은 모델 오차의 평균 값; 모델 오차의 표준편차 값; 및/또는 후보 모델(M1003)에 의해 결정되는 모델 오차의 피크 대 피크 값에 기초할 수도 있다. 한 실시형태에서, 패턴화 프로세스를 위한 게이지(1008)를 선택하는 것은 도 6과 관련한 본 개시의 초기에서 발견될 수 있다.
도 10b는, 한 실시형태에 따른, 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 초기 게이지(1002)를 획득하는 예시적인 프로세스(P1002)를 예시한다. 몇몇 실시형태에서, 프로세스(P1002)는, P1012에서, 하나 이상의 속성 중 제1 속성에 기초하여 초기 게이지(1002)로부터 게이지의 제1 서브세트(1012)를 결정하는 것을 포함하는데, 게이지의 제1 서브세트는 프로세스 모델을 캘리브레이팅하도록 구성된다. 게이지의 제1 서브세트(1012)에 의해 사용되는 프로세스 모델의 캘리브레이션은 도 5와 관련하여 논의되는 것과 유사할 수도 있다. 예를 들면, 게이지의 제1 세트(1012)는 하나 이상의 속성의 제1 속성 파라미터를 포함할 수도 있고, 제1 속성 파라미터를 갖는 게이지의 제1 세트(1012)는 모델 오차일 수도 있고, 모델 오차는 프로세스 모델을 캘리브레이팅하기 위해 사용될 수도 있다. 한 실시형태에서, 하나 이상의 속성 중 제1 속성에 기초하여 초기 게이지(1002)로부터 게이지의 제1 서브세트(1012)를 결정하는 것이 도 5와 관련하여 논의된다.
P1012-2에서, 게이지의 제1 서브세트(1012)를 결정하기 위해 유저 정의 게이지(1002-2)의 사용에 의해 초기 게이지의 세트(1002)를 필터링한다. 초기 게이지의 세트(1002)의 필터링은 도 4 및 도 5에서 앞서 논의된 필터링 프로세스와 유사할 수도 있다.
P1014에서, 하나 이상의 속성 중 제2 속성에 기초하여 초기 게이지(1002)로부터 게이지의 제2 서브세트(1014)를 결정한다. 게이지의 제2 서브세트(1014)의 결정은 도 4 및 도 5에서 앞서 논의된 것과 유사할 수도 있다.
P1014-2에서, 게이지의 제2 서브세트(1014)를 결정하기 위해 유저 정의 게이지(1002-2)의 사용에 의해 초기 게이지의 세트(1002)를 필터링한다. 초기 게이지의 세트(1002)의 필터링은 도 4 및 도 5에서 앞서 논의된 필터링 프로세스와 유사할 수도 있다.
P1016에서, 게이지의 병합된 서브세트(1016)가 되도록 게이지의 제1 서브세트(1012) 및 게이지의 제2 서브세트(1014)를 병합한다.
P1018에서, 게이지의 병합된 서브세트(1016)가 중복 게이지를 포함하는지를 결정한다. 한 실시형태에서, 결정은 도 5에서 논의되는 것과 유사하다.
P1020에서, 제3 서브세트(1020)가 중복 게이지를 포함하지 않도록, 패턴화 프로세스의 하나 이상의 속성에 기초하여 게이지의 병합된 서브세트의 제3 서브세트(1020)를 선택한다. 하나 이상의 속성에 기초하여 게이지의 병합된 서브세트의 제3 서브세트(1020)를 선택하는 것은 앞서 논의된 것과 유사하다.
도 10c는, 한 실시형태에 따른, 후보 모델(M1006)의 각각 사이의 코사인 유사도 메트릭을 결정하는 예시적인 방법을 예시한다.
몇몇 실시형태에서, 후보 모델(M1006)의 각각 사이의 코사인 유사도 메트릭을 결정하기 위한 방법(P1008)은, P1022에서, 후보 모델(M1006)의 각각 사이의 코사인 유사도 메트릭을 결정하는 것을 포함할 수 있는데, 코사인 유사도 메트릭은 두 개의 벡터의 코사인이고, 각각의 벡터는 후보 모델(M1006)의 주어진 모델을 나타낸다.
후보 모델(M1006)의 각각 사이의 코사인 유사도 메트릭의 결정은 도 7의 이전에 논의된 단계(716)에서 발견될 수 있다.
P1024에서, 유사도 메트릭에 기초하여, 후보 모델로부터 다양한 모델의 유저 정의 개수(1024)를 선택하는데, 여기서 다양한 모델은, 최소 모델 오차 값을 갖는 모델의 유사도 메트릭의 값과는 실질적으로 상이한 유사도 메트릭의 값을 갖는다. 유사도 메트릭에 기초하여 후보 모델로부터 다양한 모델의 유저 정의 개수(1024)를 선택하는 것은, 도 7의 이전에 논의되는 단계(718)에서 발견될 수 있다.
도 11은 테이블 형태(데이터프레임의 예)의 게이지 데이터의 예시를 예시한다. 게이지 데이터는, 예를 들면, 게이지 선택의 방법(900)에서 사용되는 하나 이상의 속성을 포함한다. 게이지는 타입(예를 들면, 1D 또는 2D와 같은 패턴 타입), 속성 1(예를 들면, 톤의 신호), 속성 2(예를 들면, x 방향에서의 베이스), 속성 3(예를 들면, y 방향에서의 베이스), 속성 4(예를 들면, x 방향에서의 머리), 속성 5(예를 들면, y 방향에서의 머리), 속성 6(예를 들면, 플롯의 임계 치수), 속성 7(예를 들면, 드로(draw)의 임계 치수), 속성 8(예를 들면, 웨이퍼의 임계 치수), 속성 9(예를 들면, 가중치), 속성 10(예를 들면, 패턴의 이름), 및/또는 속성 11(예를 들면, 패턴화 프로세스에서 사용되는 강도)과 같은 데이터와 관련될 수도 있다.
도 12는 (예를 들면, 방법(1000)에서의) 복수의 모델의 예시적인 표현이다. 한 실시형태에서, 각각의 모델은 192, 207, 122, 등등과 같은 모델 번호에 의해 식별될 수도 있다. 도시되는 바와 같이, 복수의 모델의 각각의 모델은, 게이지, 모델 오차(예를 들면, RMS), 오차 범위(예를 들면, 2D_range), 프로세스 파라미터 1(예를 들면, b0의 래트(rat)), 프로세스 파라미터 2(예를 들면, b0m의 래트), 파라미터 3(예를 들면, b0n의 래트), 프로세스 파라미터 4(예를 들면, cA), 파라미터 5(cAg1), 프로세스 파라미터 6(예를 들면, cag2), 파라미터 7(예를 들면, 캠(cam)), 프로세스 파라미터 8(예를 들면, 캡(cap)), 파라미터 9(예를 들면, cbn), 프로세스 파라미터 10(예를 들면, cbp), 파라미터 11(예를 들면, ccso_2d), 프로세스 파라미터 12(예를 들면, cdetdev), 파라미터 13(예를 들면, cmg1), 프로세스 파라미터 14(예를 들면, cmg2), 및/또는 파라미터 15(예를 들면, cmgs1_dev)와 관련될 수도 있다. 도 12에서의 모델은 광학 모델, 레지스트 모델, 또는 에칭 모델의 표현일 수도 있다. 한 실시형태에 따르면, 그러한 모델은 모델 오차와 같은 하나 이상의 속성을 생성하기 위해 사용될 수도 있고, 모델 오차는, 예를 들면, 도 3, 도 4, 도 8에서 논의되는 바와 같이, 게이지 선택을 위해 추가로 사용될 수도 있다.
도 13은 상이한 모델의 유사도의 예를 예시한다. 앞서 언급된 바와 같이, 복수의 모델은, 스테이지, 모델 오차, 범위, 프로세스 파라미터 1(예를 들면, b0의 래트), 프로세스 파라미터 2(예를 들면, b0m의 래트), 파라미터 3(예를 들면, b0n의 래트), 프로세스 파라미터 4(예를 들면, cA), 파라미터 5(cAg1), 프로세스 파라미터 6(예를 들면, cag2), 파라미터 7(예를 들면, 캠), 프로세스 파라미터 8(예를 들면, 캡), 파라미터 9(예를 들면, cbn), 프로세스 파라미터 10(예를 들면, cbp), 파라미터 11(예를 들면, ccso_2d), 프로세스 파라미터 12(예를 들면, cdetdev), 파라미터 13(예를 들면, cmg1), 프로세스 파라미터 14(예를 들면, cmg2), 및/또는 파라미터 15(예를 들면, cmgs1_dev)와 관련될 수도 있다. 예를 들면, 모델(192)은 벡터 형태, 예를 들면, vector1 = [0.86, 7.131675, 1, 2.5, 0.4, 0.59525, 0.564817, 0.007121, -0.014945, -0.187684, -0.507624, 0.605064, 2.820364, 0.465292, 0.062132, 0.014247, 2.854349]에 의해 특성 묘사될 수 있거나 또는 그 벡터 형태로 표현될 수 있다. 유사하게, 모델(122 및 188)은 벡터 형태로 표현될 수도 있다. 벡터는 코사인 유사도 메트릭을 계산하기 위해 추가로 사용될 수도 있다. 또한, 코사인 유사도 메트릭에 기초하여, 본 개시에서 앞서 논의되는 바와 같이, 모델은 다양한 모델로서 고려될 수도 있다. 예를 들면, 모델(192)은 복수의 모델 중 가장 낮은 RMS를 갖는 최상의 모델일 수도 있고, 따라서 그것의 유사도 메트릭 값은 1일 것이다. 모델(188 및 192)의 벡터가 사용되는 경우, 그들의 유사도 메트릭의 값은 0.627이다. 그에 의해, 모델(188)의 유사도 메트릭의 값이 단지 0.627이기 때문에, 모델(188)은 다양한 모델일 수도 있는데, 이것은 모델(188)이 이들 세 개의 모델에서 최상의 모델(192)에 최소로 유사하다는 것을 나타낸다. 다른 예에서, 모델(122 및 188)의 벡터는 0.92와 같은 유사도 메트릭 값으로 귀결되는데, 이것은 모델(122)이 모델(188)과 매우 유사하다는 것을 나타낸다. 그에 의해, 모델 선택 프로세스에서, 모델(122)은 후보 모델로서 선택되지 않을 수도 있다.
상기에서 논의되는 도 3 내지 도 8의 방법에 따라 선택되는 게이지(예를 들면, 422/424/426/428)는, 여러 가지 방식으로 패턴화 프로세스의 성능을 향상시키기 위해 사용될 수 있다. 예를 들면, 단계(524)에서 앞서 언급되는 바와 같이, 프로세스 모델은 리소그래피 프로세싱 조건(예를 들면, 스캐너 속성, 레지스트 속성, 또는 에칭 관련 속성)에서의 변동에 대한 이미징 거동의 더 나은 예측을 행하도록 캘리브레이팅될 수도 있다. 예를 들면, 캘리브레이션은, 광학기기 모델 또는 레지스트 모델과 같은 프로세스 모델의 파라미터(예를 들면, 조명 선량, 초점, 조명 강도, 동공 형상, 등등)의 값을 결정하기 위해 선택된 게이지(422/424)를 사용한다. 예를 들면, 선량 및 초점과 같은 파라미터 값은, 광학기기 모델에 관련될 수도 있기 때문에, 이미징 성능(예를 들면, EPE, CD)이 향상되도록 패턴화 프로세스의 리소그래피 장치로 제공될 수도 있다. 예를 들면, 향상은, 그러한 패턴이 소망되는 패턴과 밀접하게 매치하도록 웨이퍼의 인쇄된 패턴을 향상시키는 것을 가리킨다. 다시 말하면, 인쇄된 패턴과 소망되는 패턴 사이의 차이가 감소된다(예를 들면, 하나의 실시형태에서, 최소화된다).
따라서, 상기에서 논의되는 방법(예를 들면, 400, 500, 800)은, 선택된 게이지를 사용하여 캘리브레이팅된 프로세스 모델(예를 들면, 광학기기 모델 또는 레지스트 모델)을 (예를 들면, 도 2에서 논의되는 바와 같이) 시뮬레이팅하는 것에 의해 프로세스 조건을 결정하는 것; 및 결정된 프로세스 조건을 활용하는 리소그래피 장치를 통해, 기판을 노출시키는 것을 추가로 수반한다. 프로세스 조건은 하나 이상의 프로세스 파라미터를 포함하는데, 여기서 프로세스 파라미터는 다음의 것 중 적어도 하나이다: 선량, 초점, 또는 강도.
다른 애플리케이션에서, 향상은 계측 도구에 관련될 수도 있다. 예를 들면, 선택된 게이지(422/424)는, 하나의 실시형태에서, 인쇄된 기판 상의 측정될 패턴에 대응한다. 그러한 실시형태에서, 그러한 선택된 게이지(422/424)는 패턴화 프로세스에서의 변동에 관련되는 모델 오차에 기초한다. 따라서, 선택된 게이지는, 전체 게이지 세트(예를 들면, 100만 개보다 더 많은 게이지를 가짐)와 비교하여 인쇄된 기판의 상대적으로 더 적은 개수(예를 들면, 10,000; 5,000; 1,000 또는 그 미만)의 측정에서 대부분의 변동을 캡쳐할 수도 있다. 그러므로, 예를 들면, 샘플링 계획에서 그러한 선택된 게이지가 사용되는 경우, 필요로 되는 계측의 양은 실질적으로 감소될 것이고, 그에 의해, 패턴화 프로세스의 스루풋을 향상시킬 것이다.
도 14는, 한 실시형태에 따른, 예시적인 컴퓨터 시스템(computer system; CS)의 블록도이다.
컴퓨터 시스템(CS)은, 정보를 전달하기 위한 버스(bus; BS) 또는 다른 통신 메커니즘, 및 정보를 프로세싱하기 위해 버스(BS)와 커플링되는 프로세서(processor; PRO)(또는 다수의 프로세서)를 포함한다. 컴퓨터 시스템(CS)은 또한, 프로세서(PRO)에 의해 실행될 정보 및 명령어를 저장하기 위한, 버스(BS)에 커플링되는 랜덤 액세스 메모리(random access memory; RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(main memory; MM)를 포함한다. 메인 메모리(MM)는 또한, 프로세서(PRO)에 의해 실행될 명령어의 실행 동안 임시 변수 또는 다른 중간 정보를 저장하기 위해 사용될 수도 있다. 컴퓨터 시스템(CS)은, 프로세서(PRO)에 대한 정적인 정보 및 명령어를 저장하기 위한, 버스(BS)에 커플링되는 리드 온리 메모리(read only memory; ROM) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 정보 및 명령어를 저장하기 위한 자기 디스크 또는 광학 디스크와 같은 스토리지 디바이스(storage device; SD)가 제공되고 버스(BS)에 커플링된다.
컴퓨터 시스템(CS)은, 버스(BS)를 통해, 컴퓨터 유저에게 정보를 디스플레이하기 위한 디스플레이(DS), 예컨대 음극선관(cathode ray tube; CRT) 또는 플랫 패널 또는 터치 패널 디스플레이에 커플링될 수도 있다. 정보 및 커맨드 선택을 프로세서(PRO)로 전달하기 위한 영숫자 및 다른 키를 포함하는 입력 디바이스(input device; ID)가 버스(BS)에 커플링된다. 다른 타입의 유저 입력 디바이스는, 방향 정보 및 커맨드 선택을 프로세서(PRO)로 전달하기 위한 그리고 디스플레이(DS) 상에서 커서 이동을 제어하기 위한 커서 제어부(cursor control; CC), 예컨대 마우스, 트랙볼, 또는 커서 방향 키이다. 이 입력 디바이스는 통상적으로, 디바이스가 평면에서의 위치를 명시하는 것을 허용하는, 제1 축(예를 들면, x) 및 제2 축(예를 들면, y)인 두 개의 축에서 2 자유도를 갖는다. 터치 패널(스크린) 디스플레이도 또한 입력 디바이스로서 사용될 수도 있다.
하나의 실시형태에 따르면, 본원에서 설명되는 하나 이상의 방법의 일부는, 프로세서(PRO)가 메인 메모리(MM)에 포함되는 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 것에 응답하여, 컴퓨터 시스템(CS)에 의해 수행될 수도 있다. 그러한 명령어는 스토리지 디바이스(SD)와 같은 다른 컴퓨터 판독 가능 매체로부터 메인 메모리(MM) 안으로 판독될 수도 있다. 메인 메모리(MM)에 포함되는 명령어의 시퀀스의 실행은, 프로세서(PRO)로 하여금 본원에서 설명되는 프로세스 단계를 수행하게 한다. 메인 메모리(MM)에 포함되는 명령어의 시퀀스를 실행하기 위해, 멀티 프로세싱 장치(multi-processing arrangement)의 하나 이상의 프로세서가 또한 활용될 수도 있다. 대안적인 실시형태에서, 소프트웨어 명령어 대신에 또는 소프트웨어 명령어와 조합하여, 하드웨어에 내장된 회로부(hard-wired circuitry)가 사용될 수도 있다. 따라서, 본원의 설명은 하드웨어 회로부 및 소프트웨어의 임의의 특정한 조합으로 제한되지는 않는다.
본원에서 사용되는 바와 같은 용어 "컴퓨터 판독 가능 매체"는, 실행을 위해 명령어를 프로세서(PRO)에 제공하는 데 참가하는 임의의 매체를 가리킨다. 그러한 매체는, 불휘발성 매체, 휘발성 매체, 및 송신 매체를 포함하는 그러나 이들로 제한되지는 않는 많은 형태를 취할 수도 있다. 불휘발성 매체는, 예를 들면, 스토리지 디바이스(SD)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 메인 메모리(MM)와 같은 동적 메모리를 포함한다. 송신 매체는, 버스(BS)를 포함하는 와이어를 비롯한, 동축 케이블, 구리 와이어 및 광섬유를 포함한다. 송신 매체는 또한, 무선 주파수(radio frequency; RF) 및 적외선(infrared; IR) 데이터 통신 동안 생성되는 것들과 같은 음파 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독 가능 매체는 비일시적일 수 있는데, 예를 들면, 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍의 패턴을 갖는 임의의 다른 물리적 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지일 수 있다. 비일시적 컴퓨터 판독 가능 매체는 그 상에 명령어가 기록될 수 있다. 명령어는, 컴퓨터에 의해 실행될 때, 본원에서 설명되는 피쳐 중 임의의 것을 구현할 수 있다. 일시적 컴퓨터 판독 가능 매체는 반송파 또는 다른 전파하는 전자기 신호를 포함할 수 있다.
실행을 위해 하나 이상의 명령어의 하나 이상의 시퀀스를 하나 이상의 프로세서(PRO)로 전달함에 있어서, 다양한 형태의 컴퓨터 판독 가능 매체가 수반될 수도 있다. 예를 들면, 명령어는 초기에 원격 컴퓨터의 자기 디스크 상에서 제공될 수도 있다. 원격 컴퓨터는, 명령어를 자신의 동적 메모리에 로딩할 수 있고 모뎀을 사용하여 전화선을 통해 명령어를 전송할 수 있다. 컴퓨터 시스템(CS)에 로컬인 모뎀은 전화선을 통해 데이터를 수신할 수 있고 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스(BS)에 커플링되는 적외선 검출기는 적외선 신호에서 운반되는 데이터를 수신할 수 있고 버스(BS) 상에 데이터를 배치할 수 있다. 버스(BS)는 데이터를 메인 메모리(MM)로 전달하는데, 프로세서(PRO)는, 그로부터, 명령어를 검색 및 실행한다. 메인 메모리(MM)에 의해 수신된 명령어는, 옵션 사항으로, 프로세서(PRO)에 의한 실행 이전 또는 이후 중 어느 하나에서 스토리지 디바이스(SD) 상에 저장될 수도 있다.
컴퓨터 시스템(CS)은 또한, 버스(BS)에 커플링되는 통신 인터페이스(communication interface; CI)를 포함할 수도 있다. 통신 인터페이스(CI)는 로컬 네트워크(LAN)에 연결되는 네트워크 링크(NDL)에 양방향 데이터 통신 커플링을 제공한다. 예를 들면, 통신 인터페이스(CI)는, 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 통합 서비스 디지털 네트워크(integrated services digital network; ISDN) 카드 또는 모뎀일 수도 있다. 다른 예로서, 통신 인터페이스(CI)는, 호환 가능한 LAN에 데이터 통신 연결을 제공하기 위한 근거리 통신망(local area network; LAN) 카드일 수도 있다. 무선 링크도 또한 구현될 수도 있다. 임의의 그러한 구현예에서, 통신 인터페이스(CI)는, 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 반송하는(carry) 전기, 전자기 또는 광학 신호를 전송 및 수신한다.
네트워크 링크(NDL)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들면, 네트워크 링크(NDL)는 로컬 네트워크(LAN)를 통해 호스트 컴퓨터(host computer; HC)에 대한 연결을 제공할 수도 있다. 이것은, 현재 일반적으로 "인터넷(Internet)"(INT)으로 지칭되는 전세계 패킷 데이터 통신 네트워크를 통해 제공되는 데이터 통신 서비스를 포함할 수 있다. 로컬 네트워크(LAN)(인터넷) 둘 모두는 디지털 데이터 스트림을 반송하는 전기, 전자기 또는 광학 신호를 사용한다. 다양한 네트워크를 통한 신호 및 컴퓨터 시스템(CS)으로 그리고 컴퓨터 시스템(CS)으로부터 디지털 데이터를 반송하는, 네트워크 데이터 링크(network data link; NDL) 상의 그리고 통신 인터페이스(CI)를 통한 신호는 정보를 운반하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(CS)은, 네트워크(들), 네트워크 데이터 링크(NDL), 및 통신 인터페이스(CI)를 통해, 메시지를 전송할 수 있고, 프로그램 코드를 비롯한, 데이터를 수신할 수 있다. 인터넷 예에서, 호스트 컴퓨터(HC)는, 인터넷(INT), 네트워크 데이터 링크(NDL), 로컬 네트워크(LAN) 및 통신 인터페이스(CI)를 통해 애플리케이션 프로그램에 대한 요청된 코드를 송신할 수도 있을 것이다. 하나의 그러한 다운로딩된 애플리케이션은, 예를 들면, 본원에서 설명되는 방법의 모두 또는 일부를 제공할 수도 있다. 수신된 코드는, 그것이 수신될 때, 프로세서(PRO)에 의해 실행될 수도 있고, 및/또는 나중의 실행을 위해 스토리지 디바이스(SD)에, 또는 다른 불휘발성 스토리지 디바이스에 저장될 수도 있다. 이러한 방식으로, 컴퓨터 시스템(CS)은 반송파의 형태의 애플리케이션 코드를 획득할 수도 있다.
도 15는, 한 실시형태에 따른, 리소그래피 투영 장치의 개략적인 다이어그램이다.
리소그래피 투영 장치는 조명 시스템(IL), 제1 오브젝트 테이블(MT), 제2 오브젝트 테이블(WT), 및 투영 시스템(projection system; PS)을 포함할 수 있다.
조명 시스템(IL)DMS 방사선의 빔(B)을 컨디셔닝할 수 있다. 이 특정한 경우에, 조명 시스템은 또한 방사선 소스(radiation source)(SO)를 포함한다.
제1 오브젝트 테이블(예를 들면, 패턴화 디바이스 테이블)(MT)은 패턴화 디바이스(MA)(예를 들면, 레티클)를 유지하기 위한 패턴화 디바이스 홀더를 구비할 수 있고, 아이템(PS)과 관련하여 패턴화 디바이스를 정확하게 위치 결정하기 위해 제1 포지셔너(positioner)에 연결될 수 있다.
제2 오브젝트 테이블(기판 테이블)(WT)은 기판(W)(예를 들면, 레지스트 코팅된 실리콘 웨이퍼)을 유지하기 위한 기판 홀더를 구비할 수 있고, 아이템(PS)과 관련하여 기판을 정확하게 위치 결정하기 위해 제2 포지셔너에 연결될 수 있다.
투영 시스템("렌즈")(PS)(예를 들면, 굴절, 반사 광학(catoptric), 또는 반사 굴절(catadioptric) 광학 시스템)은 기판(W)의 타겟 부분(C)(예를 들면, 하나 이상의 다이를 포함함) 상으로 패턴화 디바이스(MA)의 조사된 부분을 이미지화할 수 있다.
본원에서 묘사되는 바와 같이, 장치는 투과식 타입을 가질 수 있다(즉, 투과식 패턴화 디바이스를 가짐). 그러나, 일반적으로, 그것은 또한, 예를 들면, (반사식 패턴화 디바이스를 갖는) 반사 타입을 가질 수도 있다. 장치는 고전적인 마스크에 대해 상이한 종류의 패턴화 디바이스를 활용할 수도 있고; 예는 프로그래머블 미러 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)(예를 들면, 수은 램프 또는 엑시머 레이저, LPP(laser produced plasma; 레이저 생성 플라즈마) EUV 소스)는 방사선의 빔을 생성한다. 이 빔은, 직접적으로 또는, 예를 들면, 빔 확장기(Ex)와 같은 컨디셔닝 수단을 통과한 이후, 조명 시스템(일루미네이터)(IL)에 공급된다. 일루미네이터(IL)는 빔의 강도 분포의 외부 및/또는 내부 반경 범위(일반적으로, 각각, σ-외부 및 σ-내부로 지칭됨)를 설정하기 위한 조정 수단(AD)을 포함할 수도 있다. 게다가, 그것은 일반적으로 적분기(integrator)(IN) 및 집광기(condenser)(CO)와 같은 다양한 다른 컴포넌트를 포함할 것이다. 이러한 방식으로, 패턴화 디바이스(MA)에 충돌하는 빔(B)은 그 단면에서 소망되는 균일성 및 강도 분포를 갖는다.
몇몇 실시형태에서, 소스(SO)가 리소그래피 투영 장치의 하우징 내에 있을 수도 있다는 것(예를 들면, 소스(SO)가 수은 램프일 때 흔히 그러함), 그러나 그것은 또한 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있되, 그것이 생성하는 방사선 빔은 (예를 들면, 적절한 지향 미러의 도움으로) 장치 안으로 유도된다는 것을 유의해야 한다; 이 후자의 시나리오는 소스(SO)가 엑시머 레이저(예를 들면, KrF, ArF 또는 F2 레이징(lasing)에 기초함)일 때의 경우일 수 있다.
빔(PB)은, 후속하여, 패턴화 디바이스 테이블(MT) 상에서 유지되는 패턴화 디바이스(MA)를 인터셉트할 수 있다. 패턴화 디바이스(MA)를 관통한 이후, 빔(B)은 렌즈(PL)를 통과할 수 있는데, 렌즈(PL)는 빔(B)을 기판(W)의 타겟 부분(C) 상으로 집속시킨다. 제2 위치 결정 수단(및 간섭 측정 수단(IF))의 도움으로, 기판 테이블(WT)은, 예를 들면, 빔(PB)의 경로에서 상이한 타겟 부분(C)을 위치 결정하기 위해 정확하게 이동될 수 있다. 유사하게, 제1 위치 결정 수단은, 예를 들면, 패턴화 디바이스 라이브러리로부터 패턴화 디바이스(MA)의 기계적 검색 이후, 또는 스캔 동안, 빔(B)의 경로와 관련하여 패턴화 디바이스(MA)를 정확하게 위치 결정하기 위해 사용될 수 있다. 일반적으로, 오브젝트 테이블(MT, WT)의 이동은, 긴 스트로크 모듈(long-stroke module)(거친 위치 결정) 및 짧은 스트로크 모듈(short-stroke module)(미세 위치 결정)의 도움으로 실현될 수 있다. 그러나, (스텝 앤드 스캔 도구(step-and-scan tool)와는 대조적으로) 스테퍼의 경우, 패턴화 디바이스 테이블(MT)은 오로지 짧은 스트로크 액추에이터에만 연결될 수도 있거나, 또는 고정될 수도 있다.
묘사된 도구는 스텝 모드(step mode) 및 스캔 모드의 두 가지 상이한 모드에서 사용될 수 있다. 스텝 모드에서, 패턴화 디바이스 테이블(MT)은 본질적으로 고정된 상태로 유지되고, 전체 패턴화 디바이스 이미지가 타겟 부분(C) 상으로 한 번의 차례(즉, 단일의 "플래시")에서 투영된다. 기판 테이블(WT)은, 상이한 타겟 부분(C)이 빔(PB)에 의해 조사될 수 있도록 x 및/또는 y 방향으로 시프트될 수 있다.
스캔 모드에서, 주어진 타겟 부분(C)이 단일의 "플래시"에서 노광되지 않는다는 점을 제외하면, 본질적으로 동일한 시나리오가 적용된다. 대신, 패턴화 디바이스 테이블(MT)은 속도(v)를 가지고 주어진 방향(소위 "스캔 방향", 예를 들면, y 방향)으로 이동 가능하고, 그 결과, 투영 빔(B)은 패턴화 디바이스 이미지를 스캔하게 된다; 동시에, 기판 테이블(WT)은 속도(V) = Mv에서 동일 또는 반대 방향으로 동시에 이동되는데, 여기서 M은 렌즈(PL)의 배율이다(통상적으로 M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 손상시키지 않으면서, 상대적으로 큰 타겟 부분(C)이 노광될 수 있다.
도 16은, 한 실시형태에 따른, 다른 리소그래피 투영 장치(lithographic projection apparatus; LPA)의 개략적인 다이어그램이다.
LPA는 소스 콜렉터 모듈(SO), 방사선 빔 B(예를 들면, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL), 지지 구조체(MT), 기판 테이블(WT), 및 투영 시스템(PS)을 포함할 수 있다.
지지 구조체(예를 들면, 패턴화 디바이스 테이블)(MT)는, 패턴화 디바이스(예를 들면, 마스크 또는 레티클)(MA)를 지지하도록 구성될 수 있고 패턴화 디바이스를 정확하게 위치 결정하도록 구성되는 제1 포지셔너(PM)에 연결될 수 있다;
기판 테이블(예를 들면, 웨이퍼 테이블)(WT)은, 기판(예를 들면, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성될 수 있고 기판을 정확하게 위치 결정하도록 구성되는 제2 포지셔너(PW)에 연결될 수 있다.
투영 시스템(예를 들면, 반사 투영 시스템)(PS)은, 기판(W)의 타겟 부분(C)(예를 들면, 하나 이상의 다이를 포함함) 상으로 패턴화 디바이스(MA)에 의해 방사선 빔(B)에 부여되는 패턴을 투영하도록 구성될 수 있다.
여기서 묘사되는 바와 같이, LPA는 반사 타입(예를 들면, 반사식 패턴화 디바이스를 활용함)을 가질 수 있다. 대부분의 재료가 EUV 파장 범위 내에서 흡수성이기 때문에, 패턴화 디바이스는, 예를 들면, 몰리브덴 및 실리콘의 다중 스택을 포함하는 다층 반사기(multilayer reflector)를 구비할 수도 있다는 것을 유의해야 한다. 하나의 예에서, 다중 스택 반사기는, 각각의 층의 두께가 1/4 파장인 몰리브덴 및 실리콘의 40 개의 층 쌍을 갖는다. X 선 리소그래피를 사용하여 더욱더 작은 파장이 생성될 수도 있다. 대부분의 재료가 EUV 및 x 선 파장에서 흡수되기 때문에, 패턴화 디바이스 지형 상의 패턴화된 흡수 재료(예를 들면, 다층 반사기 상단 상의 TaN 흡수기)의 얇은 조각은, 피쳐가 프린트될(포지티브 레지스트) 또는 프린트되지 않을(네거티브 레지스트) 곳을 정의한다.
일루미네이터(IL)는 소스 콜렉터 모듈(SO)로부터 극자외선 방사선 빔을 수신할 수 있다. EUV 방사선을 생성하는 방법은, EUV 범위 내의 하나 이상의 방출 라인을 갖는 적어도 하나의 원소, 예를 들면, 제논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 것을 포함하지만, 그러나 이것으로 반드시 제한되는 것은 아니다. 종종 레이저 생성 플라즈마(laser produced plasma; "LPP")로 칭해지는 하나의 그러한 방법에서, 플라즈마는, 라인 방출 엘리먼트를 갖는 재료의 액적, 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사하는 것에 의해 생성될 수 있다. 소스 콜렉터 모듈(SO)은, 연료를 여기시키는 레이저 빔을 제공하기 위한, 도 11에서 도시되지 않은 레이저를 포함하는 EUV 방사선 시스템의 일부일 수도 있다. 결과적으로 나타나는 플라즈마는, 소스 콜렉터 모듈에서 배치되는 방사선 콜렉터를 사용하여 수집되는 출력 방사선, 예를 들면, EUV 방사선을 방출한다. 레이저 및 소스 콜렉터 모듈은, 예를 들면, 연료 여기를 위한 레이저 빔을 제공하기 위해 CO2 레이저가 사용되는 경우, 별개의 엔티티일 수도 있다.
그러한 경우에, 레이저는 리소그래피 장치의 일부를 형성하기 위해 고려되지 않을 수도 있으며, 방사선 빔은, 예를 들면, 적절한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 콜렉터 모듈로 전달될 수 있다. 다른 경우에서, 예를 들면, 소스가, 종종 DPP 소스로 또한 칭해지는 방전 생성 플라즈마 EUV 생성기인 경우, 소스는 소스 콜렉터 모듈의 필수 부분일 수도 있다.
일루미네이터(IL)는 방사선 빔의 각도 강도 분포를 조정하기 위한 조정기(adjuster)를 포함할 수도 있다. 일반적으로, 일루미네이터의 동공 평면에서의 강도 분포의 적어도 외부 및/또는 내부 반경 방향 범위(일반적으로, 각각, σ-외부 및 σ-내부로 지칭됨)가 조정될 수 있다. 게다가, 일루미네이터(IL)는 패싯 필드(facetted field) 및 동공 미러 디바이스(pupil mirror device)와 같은 다양한 다른 컴포넌트를 포함할 수도 있다. 일루미네이터는, 방사선 빔을, 그 단면에서 소망되는 균일성 및 강도 분포를 가지도록 컨디셔닝하기 위해 사용될 수도 있다.
방사선 빔(B)은, 지지 구조체(예를 들면, 패턴화 디바이스 테이블)(MT) 상에 유지되는 패턴화 디바이스(예를 들면, 마스크)(MA) 상에 입사될 수 있고, 패턴화 디바이스에 의해 패턴화된다. 패턴화 디바이스(예를 들면, 마스크)(MA)로부터 반사된 이후, 방사선 빔(B)은 투영 시스템(PS)을 통과하는데, 투영 시스템(PS)은 빔을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 포지셔너(PW) 및 위치 센서(PS2)(예를 들면, 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은, 예를 들면, 방사선 빔(B)의 경로에서 상이한 타겟 부분(C)을 위치 결정하기 위해 정확하게 이동될 수 있다. 제1 포지셔너(PM) 및 다른 위치 센서(PS1)는 방사선 빔(B)의 경로와 관련하여 패턴화 디바이스(예를 들면, 마스크)(MA)를 정확하게 위치 결정하기 위해 사용될 수 있다. 패턴화 디바이스(예를 들면, 마스크)(MA) 및 기판(W)은 패턴화 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수도 있다.
묘사된 장치(LPA)는 다음의 모드, 스텝 모드, 스캔 모드, 및 고정 모드 중 적어도 하나에서 사용될 수 있다.
스텝 모드에서, 지지 구조체(예를 들면, 패턴화 디바이스 테이블)(MT) 및 기판 테이블(WT)은 본질적으로 고정된 상태로 유지되고, 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟 부분(C) 상으로 투영된다(즉, 단일의 정적 노광). 그 다음, 기판 테이블(WT)은, 상이한 타겟 부분(C)이 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
스캔 모드에서, 지지 구조체(예를 들면, 패턴화 디바이스 테이블)(MT) 및 기판 테이블(WT)은 동시적으로 스캔되고, 한편, 방사선 빔에 부여되는 패턴은 타겟 부분(C) 상으로 투영된다(즉, 단일의 동적 노광). 지지 구조체(예를 들면, 패턴화 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 배율(축소율) 및 이미지 반전 특성에 의해 결정될 수도 있다.
고정 모드에서, 지지 구조체(예를 들면, 패턴화 디바이스 테이블)(MT)는 프로그래머블 패턴화 디바이스(programmable patterning device)를 유지하면서 본질적으로 고정된 상태로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여되는 패턴이 타겟 부분(C) 상으로 투영되는 동안 이동되거나 또는 스캔된다. 이 모드에서, 일반적으로 펄스식 방사선 소스가 활용되며, 프로그래머블 패턴화 디바이스는 기판 테이블(WT)의 각각의 이동 이후 또는 스캔 동안 연속하는 방사선 펄스 사이에서 필요에 따라 업데이트된다. 이 동작 모드는 상기에서 언급되는 바와 같은 타입의 프로그래머블 미러의 어레이와 같은 프로그래머블 패턴화 디바이스를 활용하는 마스크가 없는 리소그래피(maskless lithography)에 쉽게 적용될 수 있다.
도 17은, 한 실시형태에 따른, 리소그래피 투영 장치의 상세도이다.
도시되는 바와 같이, LPA는 소스 콜렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함할 수 있다. 소스 콜렉터 모듈(SO)은, 진공 환경이 소스 콜렉터 모듈(SO)의 엔클로징 구조체(enclosing structure)(220)에서 유지될 수 있도록 구성되고 배열된다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의해 형성될 수도 있다. EUV 방사선은 가스 또는 증기, 예를 들면, Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수도 있는데, 여기서 매우 뜨거운 플라즈마(210)는 전자기 스펙트럼의 EUV 범위에서 방사선을 방출하도록 생성된다. 초고온 플라즈마(210)는, 예를 들면, 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 예를 들면, 10 Pa의 Xe, Li, Sn 증기 또는 임의의 다른 적절한 가스 또는 증기의 부분 압력은 방사선의 효율적인 생성을 위해 필요할 수도 있다. 한 실시형태에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
고온 플라즈마(210)에 의해 방출되는 방사선은, 소스 챔버(211)의 개구 내에 또는 후방에 배치되는 옵션 사항의 가스 배리어(barrier) 또는 오염물질 트랩(contaminant trap)(230)(몇몇 경우에 오염물질 배리어 또는 포일 트랩으로 또한 칭해짐)을 통해, 소스 챔버(211)로부터 콜렉터 챔버(212)로 전달된다. 오염물질 트랩(230)은 채널 구조체를 포함할 수도 있다. 오염물질 트랩(230)은 또한 가스 배리어 또는 가스 배리어와 채널 구조체의 조합을 포함할 수도 있다. 본원에서 추가로 나타내어지는 오염물질 트랩 또는 오염물질 배리어(230)는, 기술 분야에서 공지되어 있는 바와 같이, 채널 구조체를 적어도 포함한다.
콜렉터 챔버(211)는 소위 스침 입사 콜렉터(grazing incidence collector)일 수도 있는 방사선 콜렉터(CO)를 포함할 수도 있다. 방사선 콜렉터(CO)는 업스트림 방사선 콜렉터 측(upstream radiation collector side)(251) 및 다운스트림 방사선 콜렉터 측(downstream radiation collector side)(252)을 갖는다. 콜렉터(CO)를 통과하는 방사선은, 일점쇄선(dot-dashed line)('O')에 의해 나타내어지는 광학 축을 따라 가상의 소스 포인트(IF)에서 집속되도록 격자 스펙트럼 필터(240)에서 반사될 수 있다. 가상 소스 포인트(IF)는 일반적으로 중간 초점으로 지칭되며, 소스 콜렉터 모듈은, 중간 초점(IF)이 엔클로징 구조체(220)의 개구(221)에 또는 그 근처에 위치되도록 배열된다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은, 패턴화 디바이스(MA)에서, 방사선 빔(21)의 소망되는 각도 분포를, 뿐만 아니라, 패턴화 디바이스(MA)에서 방사선 강도의 소망되는 균일성을 제공하도록 배열되는 패싯 필드 미러 디바이스(facetted field mirror device)(22) 및 패싯 동공 미러 디바이스(facetted pupil mirror device)(24)를 포함할 수도 있는 조명 시스템(IL)을 통과한다. 지지 구조체(MT)에 의해 유지되는 패턴화 디바이스(MA)에서의 방사선의 빔(21)의 반사시, 패턴화된 빔(26)이 형성되고, 패턴화된 빔(26)은 투영 시스템(PS)에 의해 반사 엘리먼트(28, 30)를 통해 기판 테이블(WT)에 의해 유지된 기판(W) 상으로 이미지화된다.
일반적으로, 조명 광학기기 유닛(IL) 및 투영 시스템(PS)에서는 도시되는 것보다 많은 엘리먼트가 존재할 수도 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라, 옵션 사항으로 존재할 수도 있다. 또한, 도면에서 도시되는 것들보다 더 많은 미러가 존재할 수도 있는데, 예를 들면, 도 12에서 도시되는 것보다 프로젝션 시스템(PS)에서 1 내지 6 개의 추가적인 반사 엘리먼트가 존재할 수도 있다.
도 12에서 예시되는 바와 같이, 콜렉터 광학기기(CO)는, 단지 콜렉터(또는 콜렉터 미러)의 한 예로서, 스침 입사 반사기(253, 254, 및 255)를 갖는 네스트화된 콜렉터로서 묘사된다. 스침 입사 반사기(253, 254, 및 255)는 광학 축(O) 주위에 축 대칭으로 배치되고 이러한 타입의 콜렉터 광학기기(CO)는, 종종, DPP 소스로 칭해지는 방전 생성 플라즈마 소스와 조합하여 사용될 수도 있다.
도 18은, 한 실시형태에 따른, 리소그래피 투영 장치(LPA)의 소스 콜렉터 모듈(SO)의 상세도이다.
소스 콜렉터 모듈(SO)은 LPA 방사선 시스템의 일부일 수도 있다. 레이저(LA)는 제논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 퇴적하도록 배열될 수 있어서, 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(210)를 생성할 수 있다. 이들 이온의 탈여기(de-excitation) 및 재결합 동안 생성되는 에너지 방사선은 플라즈마로부터 방출되어, 거의 수직 입사 콜렉터 광학기기(CO)에 의해 수집되고 엔클로징 구조체(220)의 개구(221) 상으로 집속된다.
본원에서 개시되는 개념은, 파장 미만의 피쳐(sub wavelength feature)를 이미지화하기 위한 임의의 일반적인 이미징 시스템을 시뮬레이팅하거나 또는 수학적으로 모델링할 수도 있고, 점점 더 짧은 파장을 생성할 수 있는 신흥의(emerging) 이미징 기술과 함께 특히 유용할 수도 있다. 이미 사용되고 있는 신흥의 기술은 EUV(극 자외선), ArF 레이저의 사용을 통해 193 nm 파장을, 그리고 플루오르 레이저를 사용하여 심지어 157 nm 파장을 생성할 수 있는 DUV 리소그래피를 포함한다. 또한, EUV 리소그래피는 20-50 nm 범위 내의 파장을, 싱크로트론(synchrotron)을 사용하는 것에 의해 또는 이 범위 내에서 광자를 생성하기 위해 고 에너지 전자를 재료(고체 또는 플라즈마 중 어느 하나)에 충돌시키는 것에 의해 생성할 수 있다.
도 19는, 한 실시형태에 따른, 전자 빔 검사 장치(1920)의 한 실시형태를 개략적으로 묘사한다. 한 실시형태에서, 검사 장치는, 기판 상에서 노출되는 또는 전사되는 구조체(예를 들면, 디바이스의 일부 또는 전체 구조체, 예컨대 집적 회로)의 이미지를 산출하는 전자 빔 검사 장치(예를 들면, 주사 전자 현미경(SEM)과 동일하거나 또는 유사함)일 수도 있다. 전자 소스(1922)로부터 방출되는 1차 전자 빔(1924)은 집광 렌즈(1926)에 의해 집광되고, 그 다음, 빔 편향기(1928), E×B 편향기(1930), 및 대물 렌즈(1932)를 통과하여 기판 테이블(1912) 상의 기판(1910)을 초점을 맞춰 조사한다.
기판(1910)이 전자 빔(1924)으로 조사될 때, 2차 전자가 기판(1910)으로부터 생성된다. 2차 전자는 E×B 편향기(1930)에 의해 편향되고 2차 전자 검출기(1934)에 의해 검출된다. 이차원 전자 빔 이미지는, 예를 들면, 빔 편향기(1928)에 의한 전자 빔의 이차원 스캐닝과 동기화하여 또는 X 또는 Y 방향 중 다른 방향에서 기판 테이블(1912)에 의한 기판(1910)의 연속적인 이동과 함께, X 방향 또는 Y 방향에서 빔 편향기(1928)에 의한 전자 빔(1924)의 반복적인 스캐닝과 동기화하여 샘플로부터 생성되는 전자를 검출하는 것에 의해 획득될 수 있다. 따라서, 한 실시형태에서, 전자 빔 검사 장치는, 전자 빔 검사 장치에 의해 전자 빔이 제공될 수 있는 각도 범위(예를 들면, 편향기(1928)가 전자 빔(1924)을 제공할 수 있는 각도 범위)에 의해 정의되는 전자 빔에 대한 시야를 갖는다. 따라서, 시야의 공간적 범위는, 전자 빔의 각도 범위가 표면에 충돌할 수 있는 공간적 범위이다(여기서 표면은 고정될 수 있거나 또는 필드에 대해 이동될 수 있음).
2차 전자 검출기(1934)에 의해 검출되는 신호는, 아날로그/디지털(analog/digital; A/D) 컨버터(1936)에 의해 디지털 신호로 변환되고, 디지털 신호는 이미지 프로세싱 시스템(1950)으로 전송된다. 한 실시형태에서, 이미지 프로세싱 시스템(1950)은 프로세싱 유닛(1958)에 의한 프로세싱을 위해 디지털 이미지의 모두 또는 일부를 저장하기 위한 메모리(1956)를 구비할 수도 있다. 프로세싱 유닛(1958)(예를 들면, 특별히 설계된 하드웨어 또는 하드웨어와 소프트웨어의 조합 또는 소프트웨어를 포함하는 컴퓨터 판독 가능 매체)은 디지털 이미지를 디지털 이미지를 나타내는 데이터세트로 변환하도록 또는 프로세싱하도록 구성된다. 한 실시형태에서, 프로세싱 유닛(1958)은 본원에서 설명되는 방법의 실행을 야기하도록 구성되거나 또는 프로그래밍된다. 게다가, 이미지 프로세싱 시스템(1950)은 디지털 이미지 및 대응하는 데이터세트를 참조 데이터베이스에 저장하도록 구성되는 저장 매체(1956)를 구비할 수도 있다. 디스플레이 디바이스(1954)는 이미지 프로세싱 시스템(1950)과 연결될 수도 있고, 그 결과 오퍼레이터가 그래픽 유저 인터페이스의 도움으로 기기(equipment)의 필요한 동작을 행할 수 있다.
도 20은, 한 실시형태에 따른, 검사 장치의 또 다른 실시형태를 개략적으로 예시한다. 시스템은 샘플 스테이지(88) 상의 샘플(90)(예컨대 기판)을 검사하기 위해 사용되며 하전 입자 빔 생성기(81), 집광 렌즈 모듈(82), 프로브 형성 대물 렌즈 모듈(83), 하전 입자 빔 편향 모듈(84), 2차 하전 입자 검출기 모듈(85), 및 이미지 형성 모듈(86)을 포함한다.
하전 입자 빔 생성기(81)는 1차 하전 입자 빔(91)을 생성한다. 집광 렌즈 모듈(82)은 생성되는 1차 하전 입자 빔(91)을 집광한다. 프로브 형성 대물 렌즈 모듈(83)은 집광된 1차 하전 입자 빔을 하전 입자 빔 프로브(92)로 집속시킨다. 하전 입자 빔 편향 모듈(84)은, 형성된 하전 입자 빔 프로브(92)를, 샘플 스테이지(88) 상에 고정되는 샘플(90) 상의 주목하는 영역의 표면을 가로질러 스캐닝한다. 한 실시형태에서, 하전 입자 빔 생성기(81), 집광 렌즈 모듈(82) 및 프로브 형성 대물 렌즈 모듈(83), 또는 그들의 등가적 설계, 대안예 또는 이들의 임의의 조합은, 함께, 스캐닝하는 하전 입자 빔 프로브(92)를 생성하는 하전 입자 빔 프로브 생성기를 형성한다.
2차 하전 입자 검출기 모듈(85)은, 하전 입자 빔 프로브(92)에 의해 충격을 받을 때 샘플 표면으로부터 방출되는 2차 하전 입자(93)를 (어쩌면 또한 샘플 표면으로부터의 다른 반사된 또는 산란된 하전 입자와 함께) 검출하여 2차 하전 입자 검출 신호(94)를 생성한다. 이미지 형성 모듈(86)(예를 들면, 컴퓨팅 디바이스)은, 2차 하전 입자 검출기 모듈(85)로부터 2차 하전 입자 검출 신호(94)를 수신하고 그에 따라 적어도 하나의 스캐닝된 이미지를 형성하도록 2차 하전 입자 검출기 모듈(85)과 커플링된다. 한 실시형태에서, 2차 하전 입자 검출기 모듈(85) 및 이미지 형성 모듈(86), 또는 그들의 등가적 설계, 대안예 또는 이들의 임의의 조합은, 함께, 하전 입자 빔 프로브(92)에 의해 충격을 받을 때 샘플(90)로부터 방출되는 검출된 2차 하전 입자로부터 스캐닝된 이미지를 형성하는 이미지 형성 장치를 형성한다.
한 실시형태에서, 모니터링 모듈(87)은 이미지 형성 장치의 이미지 형성 모듈(86)에 커플링되어 패턴화 프로세스를 모니터링하고, 제어하고, 등등을 하고 및/또는 이미지 형성 모듈(86)로부터 수신되는 샘플(90)의 스캐닝된 이미지를 사용하여 패턴화 프로세스 설계, 제어, 모니터링, 등등을 위한 파라미터를 유도한다. 따라서, 한 실시형태에서, 모니터링 모듈(87)은 본원에서 설명되는 방법의 실행을 야기하도록 구성되거나 또는 프로그래밍된다. 한 실시형태에서, 모니터링 모듈(87)은 컴퓨팅 디바이스를 포함한다. 한 실시형태에서, 모니터링 모듈(87)은 본원의 기능성을 제공하기 위한 그리고 모니터링 모듈(87)을 형성하는, 또는 그 내에 배치되는 컴퓨터 판독 가능 매체 상에 인코딩되는 컴퓨터 프로그램을 포함한다.
한 실시형태에서, 기판을 검사하기 위해 프로브를 사용하는 도 19의 전자 빔 검사 도구와 같이, 도 20의 시스템에서의 전자 전류는, 예를 들면, 예컨대 도 19에서 묘사되는 CD SEM과 비교하여 상당히 더 크고, 그 결과, 검사 속도가 빠를 수 있도록 프로브 스팟은 충분히 크다. 그러나, 큰 프로브 스팟 때문에, 분해능은 CD SEM과 비교하여 높지 않을 수도 있다.
예를 들면, 도 19 및/또는 도 20의 시스템으로부터의 SEM 이미지는, 이미지에서 디바이스 구조체를 나타내는 오브젝트의 에지를 설명하는 윤곽을 추출하도록 프로세싱될 수도 있다. 그 다음, 이들 윤곽은 통상적으로 유저 정의 절단선에서 CD와 같은 메트릭을 통해 정량화된다. 따라서, 통상적으로, 디바이스 구조체의 이미지는, 추출된 윤곽에 대해 측정되는 에지 대 에지 간 거리(CD) 또는 이미지 사이의 단순한 픽셀 차이와 같은 메트릭을 통해 비교되어 정량화된다. 대안적으로, 메트릭은 본원에서 설명되는 EP 게이지를 포함할 수 있다.
이제, 패턴화 프로세스에서 기판을 측정하는 것 외에도, 예를 들면, 패턴화 프로세스를 설계하기 위해, 제어하기 위해, 모니터링하기 위해, 등등을 위해 사용될 수 있는 결과를 생성하기 위해 하나 이상의 도구를 사용하는 것이 종종 바람직하다. 이를 위해, 패턴화 디바이스에 대한 패턴 설계(예를 들면, 분해능 미만 지원 피쳐(sub-resolution assist feature) 또는 광학 근접 보정을 추가하는 것을 포함함), 패턴화 디바이스에 대한 조명, 등등과 같은, 패턴화 프로세스의 하나 이상의 양태를 컴퓨터를 사용하여 제어함에 있어서, 설계함에 있어서, 등등에 있어서 사용되는 하나 이상의 도구가 제공될 수도 있다. 따라서, 패턴화를 수반하는 제조 프로세스를 컴퓨터를 사용하여 제어하기 위한, 설계하기 위한, 등등을 위한 시스템에서, 주요 제조 시스템 컴포넌트 및/또는 프로세스는 다양한 기능 모듈에 의해 설명될 수 있다. 특히, 한 실시형태에서, 통상적인 패턴 전사 단계를 비롯한, 패턴화 프로세스의 하나 이상의 단계 및/또는 장치를 설명하는 하나 이상의 수학적 모델이 제공될 수 있다. 한 실시형태에서, 패턴화 디바이스에 의해 제공되는 측정된 또는 설계된 패턴을 사용하여 패턴화 프로세스가 패턴화된 기판을 형성하는 방법을 시뮬레이팅하기 위해, 패턴화 프로세스의 시뮬레이션이 하나 이상의 수학적 모델을 사용하여 수행될 수 있다.
본원에서 개시되는 개념이 실리콘 웨이퍼와 같은 기판 상에서의 이미징을 위해 사용될 수도 있지만, 개시된 개념은 임의의 타입의 리소그래피 이미징 시스템, 예를 들면, 실리콘 웨이퍼 이외의 기판 상에서의 이미징을 위해 사용되는 것들과 함께 사용될 수도 있다는 것이 이해될 수 있을 것이다.
상기의 설명은 제한적인 것이 아니라 예시적인 것으로 의도된다. 따라서, 하기에서 설명되는 청구범위의 범위를 벗어나지 않으면서 설명되는 바와 같이 수정이 이루어질 수도 있다는 것이 기술 분야에서 숙련된 자에게는 명백할 것이다.
실시형태는 다음의 조항(clause)을 사용하여 추가로 설명될 수도 있다:
1. 패턴화 프로세스와 관련되는 프로세스 모델을 캘리브레이팅함에 있어서 사용하기 위한 게이지 선택을 위한 방법으로서, 그 방법은:
패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 입력 게이지의 세트를 획득하는 것;
입력 게이지의 세트로부터 초기 게이지의 서브세트를 선택하는 것을 포함하되, 초기 게이지의 서브세트를 선택하는 것은:
하나 이상의 속성의 제1 속성 파라미터에 기초하여 입력 게이지의 세트로부터 게이지의 제1 서브세트 - 게이지의 제1 서브세트는 프로세스 모델을 캘리브레이팅하도록 구성됨 - 를 결정하는 것을 포함한다.
2. 조항 1의 방법으로서, 게이지의 제1 서브세트를 결정하기 위해 유저 정의 게이지의 사용에 의해 입력 게이지의 세트를 필터링하는 것을 더 포함한다.
3. 조항 1의 방법으로서, 하나 이상의 속성은 다음의 것 중 적어도 하나를 포함한다:
웨이퍼의 임계 치수의 값;
패턴과 관련되는 곡률; 및/또는
패턴화 프로세스에서 사용되는 강도.
4. 조항 1의 방법으로서, 제1 속성 파라미터는 모델 오차를 포함하는데, 모델 오차는 기준 윤곽과 패턴화 프로세스의 프로세스 모델의 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽 사이의 차이이다.
5. 조항 4의 방법으로서, 기준 윤곽은 주사 전자 현미경으로부터의 측정된 윤곽이다.
6. 조항 1의 방법으로서, 초기 게이지의 서브세트를 선택하는 것은 다음의 것을 더 포함한다:
하나 이상의 속성 중 제2 속성 파라미터에 기초하여 입력 게이지의 세트로부터 게이지의 제2 서브세트를 결정하는 것;
게이지의 병합된 서브세트가 되도록 게이지의 제1 서브세트 및 게이지의 제2 서브세트를 병합하는 것;
게이지의 병합된 서브세트가 중복 게이지를 포함하는지를 결정하는 것; 및
게이지의 제3 서브세트 - 게이지의 제3 서브세트는 프로세스 모델을 캘리브레이팅하도록 구성됨 - 를, 제3 서브세트가 중복 게이지를 포함하지 않도록, 게이지의 병합된 서브세트로부터 선택하는 것.
7. 조항 6의 방법으로서, 중복 게이지가 존재하지 않는다는 결정에 응답하여, 프로세스 모델을 캘리브레이팅하기 위해 게이지의 병합된 서브세트를 선택하는 것을 더 포함한다.
8. 패턴화 프로세스를 위한 게이지를 생성하기 위한 방법으로서, 그 방법은:
패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 초기 게이지를 획득하는 것;
초기 게이지를 사용하는 최적화 알고리즘을 통해, 게이지를 결정하도록 구성되는 복수의 모델 - 복수의 모델의 각각의 모델은 모델 오차 값과 관련됨 - 을 캘리브레이팅하는 것;
복수의 모델에서의 특정한 모델의 가장 낮은 모델 오차 값에 대한 모델 오차 값의 비교에 기초하여 복수의 모델로부터 후보 모델을 결정하는 것; 및
후보 모델에 기초하여 패턴화 프로세스를 위한 게이지를 선택하는 것을 포함한다.
9. 조항 8의 방법으로서, 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 초기 게이지를 획득하는 것은:
하나 이상의 속성 중 제1 속성 - 제1 속성은 가중치 및/또는 모델 오차임 - 에 기초하여 초기 게이지로부터 게이지의 제1 서브세트를 결정하는 것;
하나 이상의 속성 중 제2 속성에 기초하여 초기 게이지로부터 게이지의 제2 서브세트를 결정하는 것;
게이지의 병합된 서브세트가 되도록 게이지의 제1 서브세트 및 게이지의 제2 서브세트를 병합하는 것;
게이지의 병합된 서브세트가 중복 게이지를 포함하는지를 결정하는 것; 및
게이지의 병합된 서브세트의 제3 서브세트를, 제3 서브세트가 중복 게이지를 포함하지 않도록, 패턴화 프로세스의 하나 이상의 속성에 기초하여 선택하는 것을 더 포함한다.
10. 조항 9의 방법으로서, 게이지의 제1 서브세트 및 게이지의 제2 서브세트를 결정하기 위해 유저 정의 게이지의 사용에 의해 초기 게이지의 세트를 필터링하는 것을 더 포함한다.
11. 조항 9의 방법으로서, 하나 이상의 모델 속성은 다음의 것 중 적어도 하나를 더 포함한다:
웨이퍼의 임계 치수의 값;
패턴과 관련되는 곡률; 및/또는
패턴화 프로세스에서 사용되는 강도.
12. 조항 8의 방법으로서, 다음의 것을 더 포함한다:
후보 모델의 각각 사이의 코사인 유사도 메트릭 - 코사인 유사도 메트릭은 두 개의 벡터의 코사인이고, 각각의 벡터는 후보 모델의 주어진 모델을 나타냄 - 을 결정하는 것.
13. 조항 12의 방법으로서, 다음의 것을 더 포함한다:
유사도 메트릭에 기초하여, 후보 모델로부터 다양한 모델 - 다양한 모델은, 최소 모델 오차 값을 갖는 모델의 유사도 메트릭의 값과는 실질적으로 상이한 유사도 메트릭의 값을 가짐 - 의 유저 정의 개수를 선택하는 것.
14. 조항 8의 방법으로서, 모델 오차 값은 모델 오차와 관련되는데, 모델 오차는 기준 윤곽과 패턴화 프로세스의 프로세스 모델의 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽 사이의 차이이고, 기준 윤곽은 이미지 캡쳐 디바이스로부터의 측정된 윤곽이다.
15. 조항 14의 방법으로서, 모델 오차 값은 기준 윤곽과 시뮬레이팅된 윤곽 사이의 차이의 제곱 평균 제곱근 값이다.
16. 조항 8의 방법으로서, 게이지를 선택하는 것은 다음의 것 중 적어도 하나에 기초한다: 모델 오차의 평균 값, 모델 오차의 표준 편차 값, 및/또는 후보 모델에 의해 결정되는 모델 오차의 피크 대 피크 값.
17. 조항 8 내지 조항 16 중 임의의 것은 방법은: 다음의 것을 더 포함한다:
선택된 게이지를 사용하여 캘리브레이팅된 프로세스 모델을 시뮬레이팅하는 것에 의해 프로세스 조건을 결정하는 것; 및
결정된 프로세스 조건을 활용하는 리소그래피 장치를 통해, 기판을 노출시키는 것.
18. 조항 17의 방법으로서, 프로세스 조건은 하나 이상의 프로세스 파라미터를 포함하는데, 여기서 프로세스 파라미터는 다음의 것 중 적어도 하나이다: 선량, 초점, 또는 강도.
19. 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품으로서, 명령어는 컴퓨터에 의해 실행될 때 조항 1 내지 조항 18 중 임의의 것의 방법을 구현한다.

Claims (17)

  1. 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품으로서,
    상기 명령어는 컴퓨터에 의해 실행될 때 패턴화 프로세스와 관련되는 프로세스 모델을 캘리브레이팅함에 있어서 사용하기 위한 게이지 선택의 방법을 구현하고, 상기 방법은:
    상기 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 입력 게이지의 세트를 획득하는 단계;
    입력 게이지의 상기 세트로부터 초기 게이지의 서브세트를 선택하는 단계를 포함하되, 초기 게이지의 상기 서브세트를 선택하는 단계는:
    상기 하나 이상의 속성의 제1 속성 파라미터에 기초하여 입력 게이지의 상기 세트로부터 게이지의 제1 서브세트 - 게이지의 상기 제1 서브세트는 프로세스 모델을 캘리브레이팅하도록 구성됨 - 를 결정하는 단계를 포함하고,
    상기 제1 속성 파라미터는 모델 오차를 포함하되, 상기 모델 오차는 상기 프로세스 모델을 캘리브레이팅함에 있어서 사용되는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  2. 제1항에 있어서,
    상기 방법은, 게이지의 상기 제1 서브세트를 결정하기 위해 유저 정의 게이지의 사용에 의해 상기 입력 게이지의 상기 세트를 필터링하는 단계를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  3. 제1항에 있어서,
    상기 하나 이상의 속성은: 웨이퍼의 임계 치수의 값; 상기 패턴과 관련되는 곡률; 및 상기 패턴화 프로세스에서 사용되는 강도 중 하나 이상을 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  4. 제1항에 있어서,
    상기 모델 오차는 기준 윤곽(reference contour)과 상기 패턴화 프로세스의 프로세스 모델의 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽 사이의 차이인, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  5. 제4항에 있어서,
    상기 기준 윤곽은 주사 전자 현미경으로부터의 측정된 윤곽인, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  6. 제1항에 있어서,
    상기 초기 게이지의 상기 서브세트를 선택하는 단계는:
    상기 하나 이상의 속성 중 제2 속성 파라미터에 기초하여 입력 게이지의 상기 세트로부터 게이지의 제2 서브세트를 결정하는 단계;
    게이지의 병합된 서브세트가 되도록 게이지의 상기 제1 서브세트 및 게이지의 상기 제2 서브세트를 병합하는 단계;
    게이지의 상기 병합된 서브세트가 중복 게이지를 포함하는지를 결정하는 단계; 및
    게이지의 제3 서브세트 - 게이지의 상기 제3 서브세트는 상기 프로세스 모델을 캘리브레이팅하도록 구성됨 - 를, 상기 제3 서브세트가 상기 중복 게이지를 포함하지 않도록, 게이지의 상기 병합된 서브세트로부터 선택하는 단계를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  7. 제6항에 있어서,
    중복 게이지가 존재하지 않는다는 결정에 응답하여, 상기 프로세스 모델을 캘리브레이팅하기 위해 게이지의 상기 병합된 서브세트를 선택하는 단계를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  8. 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품으로서,
    상기 명령어는 컴퓨터에 의해 실행될 때:
    패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 초기 게이지를 획득하는 단계;
    상기 초기 게이지를 사용하는 최적화 알고리즘을 통해, 게이지를 결정하도록 구성되는 복수의 모델 - 상기 복수의 모델의 각각의 모델은 모델 오차 값과 관련됨 - 을 캘리브레이팅하는 단계;
    상기 복수의 모델에서 특정한 모델의 모델 오차 값에 대한 상기 각각의 모델과 관련되는 상기 모델 오차 값의 비교에 기초하여 상기 복수의 모델로부터 후보 모델을 결정하는 단계; 및
    상기 후보 모델에 기초하여 상기 패턴화 프로세스를 위한 상기 게이지를 선택하는 단계
    의 방법을 구현하고, 상기 모델 오차는 상기 프로세스 모델을 캘리브레이팅함에 있어서 사용되는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  9. 제8항에 있어서,
    상기 패턴화 프로세스와 관련되는 하나 이상의 속성을 갖는 상기 초기 게이지를 획득하는 단계는:
    상기 하나 이상의 속성 중 제1 속성 - 상기 제1 속성은 가중치 및/또는 모델 오차임 - 에 기초하여 상기 초기 게이지로부터 게이지의 제1 서브세트를 결정하는 단계;
    상기 하나 이상의 속성 중 제2 속성에 기초하여 상기 초기 게이지로부터 게이지의 제2 서브세트를 결정하는 단계;
    게이지의 병합된 서브세트가 되도록 게이지의 상기 제1 서브세트 및 게이지의 상기 제2 서브세트를 병합하는 단계;
    게이지의 상기 병합된 서브세트가 중복 게이지를 포함하는지를 결정하는 단계; 및
    게이지의 상기 병합된 서브세트의 제3 서브세트를, 상기 제3 서브세트가 상기 중복 게이지를 포함하지 않도록, 상기 패턴화 프로세스의 상기 하나 이상의 속성에 기초하여 선택하는 단계를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  10. 제9항에 있어서,
    상기 방법은, 게이지의 상기 제1 서브세트 및 게이지의 상기 제2 서브세트를 결정하기 위해 유저 정의 게이지의 사용에 의해 초기 게이지의 세트를 필터링하는 단계를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  11. 제9항에 있어서,
    상기 하나 이상의 모델 속성은:
    웨이퍼의 임계 치수의 값;
    상기 패턴과 관련되는 곡률; 및
    상기 패턴화 프로세스에서 사용되는 강도
    중 적어도 하나를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  12. 제10항에 있어서,
    상기 방법은:
    상기 후보 모델의 각각 사이의 유사도 메트릭(similarity metric)을 결정하는 단계를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  13. 제12항에 있어서,
    상기 유사도 메트릭은 두 개의 벡터의 코사인인 코사인 유사도 메트릭이되, 각각의 벡터는 상기 후보 모델의 주어진 모델을 나타내는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  14. 제12항에 있어서,
    상기 방법은:
    상기 유사도 메트릭에 기초하여, 상기 후보 모델로부터 다양한 모델(diverse model) - 상기 다양한 모델은, 최소 모델 오차 값을 갖는 모델의 상기 유사도 메트릭의 값과는 실질적으로 상이한 상기 유사도 메트릭의 값을 가짐 - 의 유저 정의 개수를 선택하는 단계를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  15. 제8항에 있어서,
    상기 각각의 모델과 관련되는 상기 모델 오차 값은, 기준 윤곽과 상기 패턴화 프로세스의 프로세스 모델의 시뮬레이션으로부터 생성되는 시뮬레이팅된 윤곽 사이의 차이에 대응하되, 상기 기준 윤곽은 이미지 캡쳐 디바이스로부터의 측정된 윤곽인, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  16. 제10항에 있어서,
    상기 게이지를 선택하는 단계는: 상기 모델 오차의 평균 값, 상기 모델 오차의 표준 편차 값, 및/또는 상기 후보 모델에 의해 결정되는 상기 모델 오차의 피크 대 피크 값 중 적어도 하나에 기초하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
  17. 제10항에 있어서,
    상기 방법은:
    상기 선택된 게이지를 사용하여 상기 캘리브레이팅된 프로세스 모델을 시뮬레이팅하는 것에 의해 프로세스 조건을 결정하는 단계를 더 포함하는, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품.
KR1020217027283A 2019-02-27 2020-02-07 모델 캘리브레이션을 위한 게이지 선택의 향상 KR102642972B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962811281P 2019-02-27 2019-02-27
US62/811,281 2019-02-27
PCT/EP2020/053204 WO2020173687A1 (en) 2019-02-27 2020-02-07 Improve gauge selection for model calibration

Publications (2)

Publication Number Publication Date
KR20210121153A KR20210121153A (ko) 2021-10-07
KR102642972B1 true KR102642972B1 (ko) 2024-03-04

Family

ID=69631512

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217027283A KR102642972B1 (ko) 2019-02-27 2020-02-07 모델 캘리브레이션을 위한 게이지 선택의 향상

Country Status (4)

Country Link
US (1) US20220113632A1 (ko)
KR (1) KR102642972B1 (ko)
TW (2) TWI839854B (ko)
WO (1) WO2020173687A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4399572A1 (en) * 2021-09-07 2024-07-17 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010117716A (ja) 2008-11-10 2010-05-27 Brion Technologies Inc リソグラフィモデル較正のためのパターン選択
US8887105B1 (en) 2011-10-28 2014-11-11 Asml Netherlands B.V. Calibration pattern selection based on noise sensitivity
WO2017102336A1 (en) * 2015-12-18 2017-06-22 Asml Netherlands B.V. Improvements in gauge pattern selection

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP1920369A2 (en) 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US8040573B2 (en) * 2006-08-14 2011-10-18 Asml Masktools B.V. Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036750A1 (nl) * 2008-04-14 2009-10-15 Brion Tech Inc A Method Of Performing Mask-Writer Tuning and Optimization.
US8103979B2 (en) * 2008-10-20 2012-01-24 Advanced Micro Devices, Inc. System for generating and optimizing mask assist features based on hybrid (model and rules) methodology
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US9588439B1 (en) 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010117716A (ja) 2008-11-10 2010-05-27 Brion Technologies Inc リソグラフィモデル較正のためのパターン選択
US8887105B1 (en) 2011-10-28 2014-11-11 Asml Netherlands B.V. Calibration pattern selection based on noise sensitivity
WO2017102336A1 (en) * 2015-12-18 2017-06-22 Asml Netherlands B.V. Improvements in gauge pattern selection

Also Published As

Publication number Publication date
TWI839854B (zh) 2024-04-21
TW202101126A (zh) 2021-01-01
US20220113632A1 (en) 2022-04-14
WO2020173687A1 (en) 2020-09-03
TW202321831A (zh) 2023-06-01
KR20210121153A (ko) 2021-10-07
CN113508339A (zh) 2021-10-15
TWI781374B (zh) 2022-10-21

Similar Documents

Publication Publication Date Title
WO2021037484A1 (en) Semiconductor device geometry method and system
KR102585069B1 (ko) 패터닝 공정을 위한 공정 모델을 개선하는 방법
TWI752539B (zh) 用於模型校準以減少模型預測不確定性的預測資料選擇
JP7438275B2 (ja) デバイス製造方法の制御パラメータを決定する方法
TW201539226A (zh) 用於微影程序之最佳化流程
KR20240005965A (ko) 원시 이미지들로부터 고품질 평균 sem 이미지들의 자동 선택 시 기계 학습 활용
TWI753681B (zh) 用於改良光罩圖案產生中之一致性之方法
WO2021175570A1 (en) Machine learning based subresolution assist feature placement
WO2020078762A1 (en) Methods for generating characteristic pattern and training machine learning model
US20190146358A1 (en) Displacement based overlay or alignment
TW202006317A (zh) 利用圖案識別以自動地改善掃描電子顯微鏡(sem)的輪廓量測精準度及穩定性
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
US20210033978A1 (en) Systems and methods for improving resist model predictions
CN116125756A (zh) 用于减少抗蚀剂模型预测误差的***和方法
CN116940896A (zh) 图案化过程的配置
CN113508339B (zh) 用于模型校准的改进量规选择
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
WO2023131570A1 (en) Software, methods, and systems for determination of a local focus point
WO2024037859A1 (en) Method for radiation spectrum aware souce mask optimization for lithography
WO2023016752A1 (en) Match the aberration sensitivity of the metrology mark and the device pattern
TW202240316A (zh) 依據微影設備或製程特徵所特徵化之表示選擇圖案
WO2023088641A1 (en) Simulation model stability determination method
CN115729052A (zh) 记录有指令的非瞬态计算机可读介质

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant