TWI723292B - 圖案化製程之最佳化流程 - Google Patents

圖案化製程之最佳化流程 Download PDF

Info

Publication number
TWI723292B
TWI723292B TW107135513A TW107135513A TWI723292B TW I723292 B TWI723292 B TW I723292B TW 107135513 A TW107135513 A TW 107135513A TW 107135513 A TW107135513 A TW 107135513A TW I723292 B TWI723292 B TW I723292B
Authority
TW
Taiwan
Prior art keywords
pattern
patterned device
radiation
patterning device
model
Prior art date
Application number
TW107135513A
Other languages
English (en)
Other versions
TW201928504A (zh
Inventor
端孚 徐
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201928504A publication Critical patent/TW201928504A/zh
Application granted granted Critical
Publication of TWI723292B publication Critical patent/TWI723292B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Feedback Control In General (AREA)
  • General Factory Administration (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本發明提供一種用以改良一微影製程的方法,該微影製程用於使用具有一照明系統及投影光學件之一微影投影件將一圖案化裝置圖案之一部分成像至一基板上,該方法包括:(1)獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件中之一遮蔽的一效應,且基於該模型而對該圖案化裝置圖案之該部分進行組態,及/或(2)獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件對輻射之一變形縮小率,且在考量一變形製造規則或變形製造規則比之情況下基於該模型而對該圖案化裝置圖案之該部分進行組態。

Description

圖案化製程之最佳化流程
本說明書在本文中係關於圖案化設備及製程,且更特定言之,係關於一種用於最佳化圖案化製程之態樣的方法或工具,該態樣諸如供用於圖案化製程之微影設備或製程中的照明模式及/或圖案化裝置圖案。
微影設備為將所要圖案施加至基板之目標部分上的機器。微影設備可用於例如諸如積體電路(integrated circuit,IC)之裝置之製造中。在彼情形下,圖案化裝置(例如,光罩或倍縮光罩)可用以產生對應於裝置之個別層的圖案,且可藉由諸如在圖案化裝置上經由圖案輻照基板(矽晶圓)上之具有例如一層輻射敏感材料(抗蝕劑)的目標部分(例如,包含一個或若干個晶粒之部分)之方法,將此圖案轉印至該目標部分上。大體而言,單個基板將含有圖案由微影設備連續地轉印之複數個鄰近目標部分,一次一個目標部分。在一種類型之微影設備中,將整個圖案化裝置上之圖案一次性轉印至一個目標部分上;此裝置通常被稱作步進器。在通常被稱作步進掃描設備之替代設備中,投影束在給定參考方向(「掃描」方向)上遍及圖案化裝置進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化裝置上之圖案之不同部分逐漸地轉印至一個目標部分。因為大 體而言,微影投影設備將具有縮小率因子M(通常>1),所以移動基板之速度F將係因子M乘以投影光束掃描圖案化裝置之速度。可例如自全文係以引用方式併入本文中之US 6,046,792搜集到關於如本文中所描述之微影裝置的更多資訊。
在將圖案自圖案化裝置轉印至基板之前,基板可經歷各種工序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序,諸如曝光後烘烤(post-exposure bake,PEB)、顯影、硬烘烤,及經轉印圖案之量測/檢測。此工序陣列係用作製造裝置(例如,IC)之個別層的基礎。基板接著可經歷各種製程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械拋光等,該等製程皆意欲精整裝置之個別層。若在裝置中需要若干層,則針對各層來重複整個工序或其變體。最終,在基板上之各目標部分中將存在裝置。接著藉由諸如切塊或鋸切之技術來使此等裝置彼此分離,據此,可將個別裝置安裝於載體上、連接至銷釘等。
因此,製造諸如半導體裝置之裝置通常涉及使用數個製造製程來處理基板(例如,半導體晶圓)以形成該等裝置之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械拋光及離子植入來製造且處理此類層及特徵。可在基板上之複數個晶粒上製作多個裝置,且接著將該等裝置分離成個別裝置。此裝置製造製程可被認作是圖案化製程。圖案化製程涉及使用微影設備中之圖案化裝置進行圖案化步驟,諸如光學及/或奈米壓印微影,以將圖案化裝置上之圖案轉印至基板,且圖案化製程通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影設備進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻設備而使用圖案進行蝕刻等。
如所提及,微影可係製造諸如IC之裝置的中心步驟,其中形成於基板上之圖案定義IC之功能元件,諸如微處理器、記憶體晶片等。類似微影技術亦用於形成平板顯示器、微機電系統(micro-electro mechanical system,MEMS)及其他裝置。
在一實施例中,提供一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影投影設備將一圖案化裝置圖案之一部分成像至一基板上,該方法包含:獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件中之一遮蔽的一效應;及基於該模型且藉由一硬體電腦而對該圖案化裝置圖案之該部分進行組態。
在一實施例中,提供一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影投影設備將一圖案化裝置圖案之一部分成像至一基板上,該方法包含:獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件對輻射之一變形縮小率;及基於該模型且藉由一硬體電腦而對該圖案化裝置圖案之該部分進行組態,同時考量一變形製造規則或變形製造規則比。
在一實施例中,提供一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如本文中所描述之一方法。
21:輻射光束
22:琢面化場鏡面裝置
24:琢面化光瞳鏡面裝置
26:經圖案化光束
28:反射元件
30:反射元件
31:照明模型
32:投影光學件模型
33:圖案化裝置圖案模型
36:空中影像
37:抗蝕劑模型
38:抗蝕劑影像
100:電腦系統
102:匯流排
104:處理器
105:處理器
106:主記憶體
108:唯讀記憶體(ROM)
110:儲存裝置
112:顯示器
114:輸入裝置
116:游標控制件
118:通信介面
120:網路鏈路
122:區域網路
124:主機電腦
126:網際網路服務提供者(ISP)
128:網際網路
130:伺服器
210:電漿
211:源腔室
212:收集器腔室
220:圍封結構
221:開口
230:污染物截留器
240:光柵光譜濾光器
251:上游輻射收集器側
252:下游輻射收集器側
253:掠入射反射器
254:掠入射反射器
255:掠入射反射器
300A:特性
300B:特性
300C:特性
302:步驟
304:步驟
306:步驟
402:步驟
404:步驟
406:步驟
408:步驟
410:步驟
502:步驟
504:步驟
506:步驟
508:步驟
510:步驟
512:步驟
514:步驟
516:步驟
518:步驟
610:步驟
620:可選步驟
630:可選步驟
640:可選步驟
650:步驟
660:步驟
670:可選步驟
710:步驟
720:可選步驟
730:步驟
740:步驟
750:步驟
760:步驟
770:可選步驟
810:步驟
820:步驟
830:步驟
840:可選步驟
910:步驟
920:步驟
930:步驟
940:可選步驟
1000:光束
1010:反射元件
1020:反射元件
1030:射線
1040:射線
1050:開口或間隔
1060:開口或間隔
1070:光瞳
1080:遮蔽
1100:實心圓
1110:較長虛線圓
1120:較短虛線圓
1200:曝光窗口
1300:曝光場
1310:掃描方向
1320:輻射/寬度
1330:長度
1400:曝光場
1410:曝光場
1420:寬度
1430:長度
1500:步驟
1510:步驟
1520:步驟
1530:步驟
1540:步驟
1550:步驟
1600:步驟
1610:步驟
1620:步驟
1630:步驟
1640:步驟
1650:步驟
1700:特徵
1710:特徵
1800:主要特徵
1810:輔助特徵
1900:步驟
1905:步驟
1910:步驟
1915:步驟
1920:步驟
1925:步驟
1930:步驟
1935:步驟
1940:步驟
1945:步驟
1950:步驟
1955:步驟
1960:步驟
1965:步驟
1970:步驟
1975:步驟
1980:步驟
AS:對準感測器
B:輻射光束
BD:光束遞送系統
BK:烘烤板
C:目標部分
CH:冷卻板
CO:聚光器/輻射收集器/收集器光學件
DE:顯影器
I/O1:輸入/輸出埠
I/O2:輸入/輸出埠
IF:位置感測器/虛擬源點/中間焦點
IL:照明系統/照明器
IN:積光器
LA:微影設備
LAS:雷射
LACU:微影控制單元
LB:裝載匣
LC:微影製造單元
LS:位階感測器
M1:圖案化裝置對準標記
M2:圖案化裝置對準標記
MA:圖案化裝置
MT:支撐結構
O:光軸
P1:基板對準標記
P2:基板對準標記
PM:第一***
PS:投影系統
PS1:位置感測器
PS2:位置感測器
PW:第二***
RF:參考框架
RO:基板處置器/機器人
SC:旋塗器
SCS:監督控制系統
SO:輻射源/源收集器模組
TCU:塗佈顯影系統控制單元
W:基板
WT:基板台
WTa:基板台
WTb:基板台
X:方向
Y:方向
Z:方向
Φ:方位角
θ:非零CRAO
對於一般熟習此項技術者而言,在結合隨附圖式而檢閱特定實施例之以下描述後,以上態樣及其他態樣及特徵就將變得顯而易見, 在該等圖式中:圖1示意性地描繪根據一實施例之微影設備;圖2示意性地描繪微影製造單元或微影叢集之一實施例;圖3係對應於圖1中之子系統之模擬模型的方塊圖;圖4展示最佳化微影投影設備之一般方法的流程圖;圖5展示最佳化微影投影設備之方法的流程圖,其中交替地執行所有設計變數之最佳化;圖6展示一個例示性最佳化方法;圖7A示意性地描繪根據一實施例之投影系統的一部分;圖7B示意性地描繪根據另一實施例之投影系統的一部分;圖7C示意性地描繪對應於圖7B之系統的光瞳;圖8示意性地描繪來自圖案化裝置圖案之部分地由來自諸如圖7B中所描繪之投影系統的遮蔽之繞射階;圖9依據跨越曝光窗口之位置示意性地描繪投影系統之遮蔽之光瞳的變化;圖10使用非零CRAO處之曝光窗口來示意性地描繪圖案化裝置圖案上之曝光場的照明;圖11A結合用以將曝光場傳遞至基板之曝光窗口示意性地描繪處之曝光場;圖11B示意性地描繪由於投影系統具有變形縮小率之基板處之圖11A的曝光場;圖12展示根據一實施例之最佳化的流程;圖13展示根據一實施例之步驟640的細節; 圖14展示根據一實施例之步驟610的細節;圖15展示根據一實施例之步驟610的細節;圖16展示根據一實施例之最佳化的流程;圖17展示根據一實施例之最佳化的流程;圖18A展示使用同形製造規則組態之圖案化裝置圖案;圖18B展示使用變形製造規則或變形製造規則比組態之圖案化裝置圖案;圖19係關於按間距具有特定定向之各種特徵之影像對數斜率之實例資料的圖表,其中一個資料集係針對考量投影光學件遮蔽而組態之特徵,且另一資料集係針對未如此組態之特徵;圖20展示根據一實施例之最佳化的流程;圖21可供實施實施例之實例電腦系統的方塊圖;圖22係另一微影投影設備之示意圖。
圖23係圖22中之設備的更詳細視圖;且圖24係圖22及圖23之設備之源收集器模組的更詳細視圖。
現將參看圖式詳細地描述實施例,該等圖式被提供為說明性實例以便使熟習此項技術者能夠實踐該等實施例。值得注意地,以下之諸圖及實例不意欲將範疇限於單一實施例,而是藉助於所描述或所說明元件中之一些或全部之互換而使其他實施例係可能的。在任何方便之處,將貫穿圖式而使用相同元件符號以指相同或類似部件。在可使用已知組件來部分地或完全地實施此等實施例之某些元件的情況下,將僅描述理解該等實施例所必需之此等已知組件的彼等部分,且將省略此等已知組件之其他部分的詳細描述以免混淆該等實施例之描述。在本說明書中,展示單數組 件之實施例不應被視為限制性的;實情為,除非本文中另有明確陳述,否則範疇意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然。此外,除非如此明確闡述,否則申請人不意欲使本說明書或申請專利範圍中之任何術語歸結於不常見或特定涵義。另外,範疇涵蓋本文中藉助於說明而提及的組件之目前及未來已知等效者。
在詳細地描述實施例之前,有指導性的是呈現可供實施實施例之實例環境。
圖1示意性地描繪微影投影設備LA之例示性實施例。該設備包含:-照明系統(照明器)IL,其經組態以調節輻射光束B(例如,電磁輻射);-支撐結構(例如,光罩台)MT,其經建構以支撐圖案化裝置(例如,光罩)MA,且連接至經組態以根據某些參數來準確地定位圖案化裝置之第一***PM;-基板台(例如,晶圓台)WT(例如,WTa、WTb或兩者),其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W且連接至經組態以根據某些參數而準確地定位基板之第二***PW;及-投影系統(例如,折射、反射或反射折射投影透鏡系統)PS,其經組態以將由圖案化裝置MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上,該投影系統支撐於參考框架(reference frame,RF)上。
如此處所描繪,設備係透射類型(例如,使用透射光罩)。 替代地,設備可屬於反射型(例如,使用可程式化鏡面陣列或LCD矩陣,或使用反射光罩)。
照明器IL自輻射源SO(例如,汞燈或準分子雷射器)接收一束輻射。舉例而言,當輻射源為係準分子雷射器時,源及微影設備可係分離實體。在此等狀況下,不認為輻射源形成微影設備之部分,且輻射光束係憑藉包含例如適合導向鏡及/或光束擴展器之光束遞送系統BD而自輻射源SO傳遞至照明器IL。在其他狀況下,舉例而言,當輻射源係汞燈時,輻射源可係微影設備之整體部分。輻射源SO及照明器IL,必要時連同光束遞送系統BD,可被稱作輻射系統。
照明器IL可變更光束之強度分佈。照明器可經配置以限制輻射光束之徑向範圍,使得在照明器IL之光瞳平面上之環形區內的強度分佈為非零。另外或替代地,照明器IL可操作以限制光束在光瞳平面上之分佈使得在光瞳平面上之複數個同等間隔之區段中的強度分佈為非零。輻射光束在照明器IL之光瞳平面上之強度分佈可被稱作照明模式。
因此,照明器IL可包含經組態以調整光束之(角度/空間)強度分佈之調整器AM。通常,可調節照明器之光瞳平面上之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。照明器IL可操作以變化光束之角度分佈。舉例而言,照明器可操作以變更強度分佈為非零的光瞳平面上之區段之數目及角度範圍。藉由調整光束在照明器之光瞳平面上之強度分佈,可達成不同照明模式。舉例而言,藉由限制照明器IL之光瞳平面上之強度分佈之徑向範圍及角範圍,強度分佈可具有多極分佈,諸如偶極、四極或六極分佈。可藉由將提供彼照明模式之光學件***至照明器IL中或使用空間光調變器來獲得所要照明模式。
照明器IL可操作以變更光束之偏振且可操作以使用調整器AM來調整偏振。跨越照明器IL之光瞳平面之輻射光束的偏振狀態可被稱作偏振模式。使用不同偏振模式可允許在形成於基板W上之影像中達成較大對比度。輻射光束可係非偏振的。替代地,照明器可經配置以使輻射光束線性地偏振。輻射光束之偏振方向可跨越照明器IL之光瞳平面而變化。輻射之偏振方向在照明器IL之光瞳平面上之不同區中可不同。可取決於照明模式來選擇輻射之偏振狀態。對於多極照明模式,輻射光束之各極之偏振可大體上垂直於照明器IL之光瞳平面上之彼極的位置向量。舉例而言,對於偶極照明模式,輻射可在實質上垂直於平分偶極之兩個對置區段之線的方向上線性地偏振。輻射光束可在兩個不同正交方向中之一者上偏振,其可被稱作經X偏振狀態及經Y偏振狀態。對於四極照明模式,各極之區段中之輻射可在實質上垂直於將彼區段二等分之線之方向上線性地偏振。此偏振模式可被稱作XY偏振。類似地,對於六極照明模式,各極之區段中之輻射可在實質上垂直於將彼區段二等分之線之方向上線性地偏振。此偏振模式可被稱作TE偏振。
另外,照明器IL通常包含各種其他組件,諸如積光器IN及聚光器CO。照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
因此,照明器提供在橫截面中具有所要均一性及強度分佈的經調節輻射光束B。
支撐結構MT以取決於圖案化裝置之定向、微影設備之設計及諸如圖案化裝置是否被固持於真空環境中之其他條件的方式支撐圖案 化裝置。支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化裝置。支撐結構可係例如框架或台,其可視需要固定或可移動。支撐結構可確保圖案化裝置例如相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用與更一般術語「圖案化裝置」同義。
本文中所使用之術語「圖案化裝置」應被廣泛地解譯為係指可用以在基板之目標部分中賦予圖案的任何裝置。在一實施例中,圖案化裝置為可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何裝置。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之諸如積體電路之裝置中的特定功能層。
如在本文中使用之術語圖案化裝置可被廣泛地解譯為指代可用以為輻射光束提供或賦予經圖案化橫截面之裝置,其對應於待在基板之目標部分中產生之圖案。圖案化裝置可係透射或反射的,包括二元、相移、混合等。圖案化裝置之實例包括光罩、可程式化鏡面陣列及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減式相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡在由鏡面矩陣反射之輻射光束中賦予圖案。
本文中所使用之術語「投影系統」應被廣泛地解釋為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因 素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用均與更一般術語「投影系統」同義。
投影系統PS具有可非均一且可影響成像於基板W上之圖案之光學轉移函數。對於非偏振輻射,此類效應可由兩個純量映像相當良好地描述,該兩個純量映像描述依據射出投影系統PS之輻射之光瞳平面上之位置而變化的該輻射之透射(變跡)及相對相位(像差)。可將可被稱作透射映像及相對相位映像之此等純量映像表達為基底函數之全集之線性組合。一特別適宜的集合為任尼克(Zernike)多項式,其形成單位圓上所定義之正交多項式集合。每一純量映射之判定可涉及判定此展開式中之係數。因為任尼克多項式在單位圓上正交,所以可藉由依次演算測定純量映射與每一任尼克多項式之內積且將此內積除以彼任尼克多項式之範數之平方來判定任尼克係數。
透射映像及相對相位映像係場及系統相依的。亦即,一般而言,每一投影系統PS將針對每一場點(亦即,針對投影系統PS之影像平面上之每一空間部位)具有一不同任尼克展開式。可藉由將例如來自投影系統PS之物件平面(亦即,圖案化裝置MA之平面)中之類點位置之輻射投影通過投影系統PS且使用剪切干涉計以量測波前(亦即,具有相同相位之點之軌跡)來判定投影系統PS在其光瞳平面上之相對相位。剪切干涉計為共同路徑干涉計,且因此,有利地,無需次級參考光束來量測波前。剪切干涉計可在投影系統之影像平面(亦即,基板台WT)中包含繞射光柵,例如二維網格,且在與投影系統PS之光瞳平面共軛的平面上包含經配置以檢測干擾圖案之偵測器。干涉圖案係與輻射之相位相對於在剪切方向上之 光瞳平面上之座標的導數相關。偵測器可包含感測元件陣列,諸如電荷耦合裝置(charge coupled device,CCD)。
微影設備之投影系統PS可不產生可見條紋,且因此,可使用諸如移動繞射光柵之相位步進技術來增強波前判定之準確度。可在繞射光柵之平面上且及在垂直於量測之掃描方向的方向上執行步進。步進範圍可係一個光柵週期,且可使用至少三個(均一地分佈)相位步進。因此,舉例而言,可在y方向上執行三個掃描量測,在x方向上針對一不同位置執行每一掃描量測。繞射光柵之此步進將相位變化有效地變換成強度變化,從而允許判定相位資訊。光柵可在垂直於繞射光柵之方向(z方向)上步進以校準偵測器。
可在兩個垂直方向上依序地掃描繞射光柵,該兩個垂直方向可與投影系統PS之座標系統之軸線(x及y)重合或可與此等軸線成諸如45度之角度。可遍及整數個光柵週期(例如,一個光柵週期)執行掃描。掃描使在一個方向上之相位變化達到平均數,從而允許重新建構在另一方向上之相位變化。此允許依據兩個方向而判定波前。
可藉由將例如來自投影系統PS之物件平面(亦即,圖案化裝置MA之平面)中之類點位置之輻射投影通過投影系統PS且使用偵測器來量測與投影系統PS之光瞳平面共軛的平面上之輻射強度來判定投影系統PS在其光瞳平面上之透射(變跡)。可使用與用以量測波前以判定像差的偵測器同一個偵測器。
投影系統PS可包含複數個光學(例如,透鏡)元件,且可進一步包含經組態以調整光學元件中之一或多者以便糾正畸變(跨越整個場中之光瞳平面的相位變化)的調整機構AM。為了達成此情況,調整機構可 操作來以一或多種不同方式操控投影系統PS內之一或多個光學(例如,透鏡)元件。投影系統可具有一座標系,其中該投影系統之光軸在z方向上延伸。調整機構可操作以進行以下各者之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;及/或使一或多個光學元件變形。光學元件之位移可在任何方向(x、y、z或其組合)上進行。光學元件之傾斜通常出自垂直於光軸之平面藉由圍繞在x及/或y方向上之軸線旋轉而進行,但對於非旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如,像散)及/或高頻形狀(例如,自由形式非球面)。可例如藉由使用一或多個致動器以對光學元件之一或多個側施加力且藉由使用一或多個加熱元件以加熱光學元件之一或多個選擇區(諸如在ASML之FlexWaveTM系統中)來執行光學元件之變形。一般而言,沒有可能調整投影系統PS以校正變跡(跨光瞳平面之透射變化)。當設計用於微影設備LA之圖案化裝置(例如,光罩)MA時,可使用投影系統PS之透射映像。使用計算微影技術,圖案化裝置MA可經設計為用以至少部分地校正變跡。
微影設備可屬於具有兩個(雙載物台)或多於兩個台(例如,兩個或多於兩個基板台WTa、WTb、兩個或多於兩個圖案化裝置台、在無專用於促進量測及/或清潔等之基板的情況下在投影系統下方之基板台WTa及台WTb)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可對一或多個台進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,可進行使用對準感測器AS之對準量測及/或使用位階感測器LS之位階(高度、傾角等)量測。
微影設備亦可屬於以下類型:基板之至少一部分可由具有 相對高折射率之液體,例如水,覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影設備中之其他空間,例如圖案化裝置與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增大投影系統之數值孔徑。本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。
因此,在微影設備之操作中,輻射光束經調節且由照明系統IL提供。輻射光束B入射於圖案化裝置(例如,光罩)MA上且由圖案化裝置圖案化,該圖案化裝置固持於支撐結構(例如,光罩台)MT上。在已橫穿圖案化裝置MA之情況下,輻射光束B通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。藉助於第二***PW及位置感測器IF(例如,干涉量測裝置、線性編碼器、2D編碼器或電容式感測器),可準確地移動基板台WT,例如以便在輻射光束B之路徑中定位不同目標部分C。類似地,第一***PM及另一位置感測器(其在圖1中未明確地描繪)可用以例如在自光罩庫機械擷取之後或在掃描期間相對於輻射光束B之路徑準確地定位圖案化裝置MA。一般而言,可藉助於形成第一***PM之部分的長衝程模組(粗略定位)及短衝程模組(精細定位)來實現支撐結構MT之移動。類似地,可使用形成第二***PW之部分之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之情況下,支撐結構MT可僅連接至短衝程致動器,或可固定。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置MA及基板W。儘管所說明基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。類似地,在多於一個晶粒被提供於圖案化裝置MA上之情形中,圖案化裝置對準標記可位 於該等晶粒之間。
可在以下模式中之至少一者下使用所描繪設備:
1.在步進模式下,使支撐結構MT及基板台WT保持基本上靜止,而將賦予至輻射光束之整個圖案一次性投影至目標部分C上(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式下,曝光場之最大大小限制單次靜態曝光中所成像的目標部分C之大小。
2.在掃描模式下,在將賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之縮小率及影像反轉特性來判定基板台WT相對於支撐結構MT之速度及方向。在掃描模式下,曝光場之最大大小限制單次動態曝光中之目標部分的寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。在一典型實施例中,曝光窗口用以曝光曝光場,曝光窗口小於圖案化裝置圖案且可大體上呈縫隙形式。有時曝光窗口被稱作曝光狹縫或掃描器曝光狹縫。舉例而言,曝光窗口可係矩形(例如,細長矩形)的、弧形的等。曝光窗口可由一或多個葉片(有時被稱作一或多個倍縮光罩遮掩(reticle masking,REMA)葉片)或由將輻射限於曝光窗口之光場透鏡界定。
3.在另一模式下,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構MT保持基本上靜止,從而固持可程式化圖案化裝置,且移動或掃描基板台WT。在此模式下,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化裝置。此操作模式可易於應用於利用諸如 上文所提及之類型的可程式化鏡面陣列之可程式化圖案化裝置的無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。
圖案化裝置上或由圖案化裝置提供之各種圖案可具有不同製程窗,亦即,將在規範內產生圖案所根據之處理變數的空間。關於潛在系統性缺陷之圖案規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。可藉由合併每一個別圖案之製程窗口(例如,使該等製程窗口重疊)來獲得圖案化裝置或其區域上之所有圖案之製程窗口。所有圖案之製程窗口之邊界含有個別圖案中之一些之製程窗口之邊界。換言之,此等個別圖案限制所有圖案之製程窗製程窗。此等圖案可被稱作「熱點」或「製程窗限制圖案(process window limiting patterns,PWLP)」,前述兩者可在本文中可互換地使用。當控制圖案化製程之一部分時,有可能且經濟的是集中於熱點。當熱點並未有缺陷時,最有可能的是,所有圖案未有缺陷。
如圖2所展示,微影設備LA可形成微影製造單元LC(有時亦被稱作叢集)之部件,微影製造單元LC亦包括用以對基板執行曝光前製程及曝光後製程之設備。習知地,此等設備包括用以沈積一或多個抗蝕劑層之一或多個旋塗器SC、用以顯影經曝光抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及/或一或多個烘烤板BK。基板處置器或機器人RO自輸入埠I/O1/輸出埠I/O2拾取一或多個基板,將其在不同製程設備之間移動且將其遞送至微影設備之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等設備由塗佈顯影系統控制單元TCU控制,塗佈顯影系統控制 單元TCU自身受監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影設備。因此,不同設備可經操作以最大化產出率及處理效率。
隨著用以製造諸如IC之裝置的裝置製造製程繼續進步,幾十年來,功能元件之尺寸已不斷地縮減,而每裝置的諸如電晶體之功能元件之量已在穩固地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。為實現此情形,一些製程旨在產生處於或低於經典解析度極限之圖案。
根據解析度式CD=k1×λ/NA,印刷尺寸小於微影投影設備之經典解析度極限之特徵的製程通常被稱為低k1微影,其中λ係所使用輻射之波長(例如,193nm或約13nm,例如約13.5nm),NA係微影投影設備中之投影光學件的數值孔徑,CD係「臨界尺寸」--通常係最小所印刷特徵大小--且k1係經驗解析度因子。一般而言,k1愈小,則在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用於微影投影設備及/或圖案化裝置圖案。此等步驟包括例如但不限於NA及光學相干設定之最佳化、自訂照明方案、使用相移圖案化裝置、圖案化裝置圖案中之光學近接校正(optical proximity correction,OPC)、或一般定義為「解析度增強技術(resolution enhancement techniques,RET)」之其他方法。
作為實例,OPC闡述以下事實:除了微影投影設備之任何縮小率以外,基板上投影之圖案化裝置圖案之影像的最終大小及置放將亦與圖案化裝置上之對應圖案化裝置圖案特徵之大小及置放相同,或僅取決於該大小及置放。應注意,術語「光罩」、「倍縮光罩」、「圖案化裝置」在 本文中可被互換地利用。又,熟習此項技術者將尤其在微影模擬/最佳化之上下文中認識到,術語「光罩圖案」、「倍縮光罩圖案」與「圖案化裝置圖案」可以可互換地使用,如在微影模擬/最佳化中,不必使用實體圖案化裝置,但圖案化裝置圖案可用以表示實體圖案化裝置。對於存在於一些圖案化裝置圖案上之小特徵大小及高特徵密度,給定特徵之特定邊緣之位置將在某種程度上受到其他鄰近特徵之存在或不存在影響。此等近接效應起因於自一個特徵耦接至另一特徵的微小量之輻射及/或諸如繞射及干涉之非幾何光學效應。類似地,近接效應可起因於在通常繼微影之後的曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間之擴散及其他化學效應。
為了確保圖案化裝置圖案之經投影影像係根據給出目標設計之要求,應使用圖案化裝置圖案之複雜數值模型、校正或預失真來預測及補償近接效應。論文「Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design」(C.Spence,Proc.SPIE,第5751卷,第1至14頁(2005年))提供當前「以模型為基礎」之光學近接校正製程的綜述。在典型高端設計中,圖案化裝置圖案之幾乎每一特徵皆具有某種修改,以便達成經投影影像至目標設計之高保真度。此等OPC修改可包括邊緣位置或線寬之移位或偏置及/或意欲輔助其他特徵之投影的「輔助」特徵的應用。
在裝置設計中通常存在數百萬個特徵的情況下,將以模型為基礎之OPC應用於目標設計涉及良好的製程模型及相當大的演算資源。然而,應用OPC通常不為嚴正科學,而為不總是補償所有可能近接效應之經驗反覆製程。因此,OPC之效應,例如應用OPC及任何其他RET在之後的圖案化裝置圖案應由設計檢驗驗證,例如使用校準數值製程模型之密集 型全晶片模擬,以便降低或最小化設計缺陷建置至圖案化裝置圖案中之可能性。此情形係藉由如下各者驅使:製造高端圖案化裝置之巨大成本,其在數百萬美元的範圍內;以及對產品製作時程之影響,其係因重工或修復實際圖案化裝置(一旦其已被製造)而引起。OPC及全晶片RET驗證兩者可基於如例如美國專利第7,003,758號及Y.Cao等人,Proc.SPIE,第5754卷,405(2005)之標題為「Optimized Hardware and Software For Fast,Full Chip Simulation」的論文中所描述之數值模型化系統及方法,該論文以全文引用之方式併入本文中。
OPC之最簡單形式中之一者為選擇性偏置。鑒於CD對間距資料,藉由在圖案化裝置位階下改變CD,可強加所有不同間距以產生相同CD,至少充其量聚焦及曝光。因此,若特徵在基板位階處過小地印刷,則圖案化裝置位階特徵將偏置成稍微大於標稱,且反之亦然。由於自圖案化裝置位階至基板位階之圖案轉印製程係非線性的,故偏置之量並非僅僅為在最佳焦點及曝光處之經量測CD誤差乘以縮減比率,而是運用模型化及實驗,可判定適當偏置。選擇性偏置為對近接效應之問題的不完整解決方案(特別是在其僅應用於標稱製程條件下的情況下)。儘管此偏置原則上可應用以給出最佳焦點及曝光處之均一CD對間距曲線,但一旦曝光製程自標稱條件變化,每一偏置間距曲線就將作出不同的回應,從而引起用於不同特徵之不同製程窗。製程窗係充分地恰當產生特徵所根據之兩個或更多個製程參數(例如,微影設備中之聚焦及輻射劑量)的值範圍(例如,特徵之CD在諸如±10%或±5%之某一範圍內)。因此,為給出相同CD相對於間距之「最佳」偏置甚至可對總製程窗有消極影響,從而縮減(而非放大)所有目標特徵在所要製程容許度內印刷於基板上之焦點及曝光範 圍。
已開發供超出以上之一維偏置實例之應用的其他更複雜OPC技術。二維近接效應係線端縮短的。線端具有依據曝光及聚焦而自其所要端點部位「拉回」之傾向。在許多狀況下,長線端之末端縮短的程度可比對應線窄化大若干倍。此類型之線端拉回可在線端不能完全橫越其意欲覆蓋之諸如源極-汲極區上方之多晶矽閘極層之底層的情況下引起所製造的裝置發生嚴重故障。因為此類型之圖案對聚焦及曝光高度敏感,所以使線端簡單地偏置成長於設計長度不充分,此係因為最佳焦點及曝光處或在曝光不足條件下之線將過長,從而在延伸型線端觸摸相鄰結構時引起短路,或在電路中之個別特徵之間添加更多空間的情況下引起不必要大的電路大小。由於積體電路設計及製造之目標中之一者為最大化功能元件之數目,同時最小化每晶片所需之面積,故添加過量間隔係非所要的解決方案。
二維OPC途徑可幫助解決線端拉回問題。諸如「錘頭」或「襯線」之額外結構(亦被稱作「輔助特徵」)可被添加至線端,以將該等線端有效地錨定於適當位置且提供遍及整個製程窗之經縮減拉回。即使在最佳焦點及曝光處,此等額外結構仍未被解析,但其更改主要特徵之外觀,而未被獨自完全解析。如本文中所使用之「主要特徵」意謂在製程窗中之一些或全部條件下意欲印刷於基板上之特徵。輔助特徵可呈現比添加至線端之簡單錘頭更有攻擊性之形式,而達圖案化裝置上之圖案不再簡單地為大小增加縮減比率的所要基板圖案之程度。諸如襯線之輔助特徵可應用於比簡單地縮減線端拉回更多的情形。內部或外部襯線可應用於任何邊緣(尤其是二維邊緣),以縮減隅角圓化或邊緣擠壓。在運用足夠選擇性偏 置以及所有大小及極性之輔助特徵的情況下,圖案化裝置上之特徵承受與基板位階處所要之最終圖案愈來愈小的類似性。一般而言,圖案化裝置圖案變為基板位階圖案之經預失真版本,其中失真意欲抵消或反轉在製造製程期間將出現的圖案變形以在基板上產生儘可能接近於設計者所預期之圖案的圖案。
代替使用連接至主要特徵之彼等輔助特徵(例如,襯線)或除了使用連接至主要特徵之彼等輔助特徵(例如,襯線)以外,另一OPC技術亦涉及使用完全獨立且不可解析之輔助特徵。此處之術語「獨立」意謂此等輔助特徵之邊緣未連接至主要特徵之邊緣。此等獨立輔助特徵不意欲或希望作為特徵印刷於基板上,而是意欲修改附近主要特徵之空中影像以增強彼主要特徵之可印刷性及製程容許度。此等輔助特徵(常常被稱作「散射桿體」或「scattering bar,SBAR」)可包括:子解析度輔助特徵(sub-resolution assist feature,SRAF),其為主要特徵之邊緣外部之特徵;及子解析度逆特徵(sub-resolution inverse feature,SRIF),其為自主要特徵之邊緣內部取出之特徵。SBAR之存在向圖案化裝置圖案添加了又一層之複雜度。散射桿體之使用之簡單實例為:其中在經隔離線特徵之兩個側上拖曳不可解析散射桿體之規則陣列,此情形具有自空中影像之觀點使經隔離線呈現為更表示緻密線陣列內之單一線之效應,從而引起製程窗在聚焦及曝光容許度方面更接近於緻密圖案之聚焦及曝光容許度。此經裝飾隔離特徵與緻密圖案之間的共同製程窗相比於如在圖案化裝置位階處隔離而拖曳之特徵之情形將具有對焦點及曝光變化之更大的共同容許度。
輔助特徵可被視為圖案化裝置上之特徵與圖案化裝置圖案中之特徵之間的差異。術語「主要特徵」及「輔助特徵」並不暗示圖案化 裝置上之特定特徵必須被標註為主要特徵或輔助特徵。
作為對圖案化裝置圖案之最佳化(例如,OPC)之補充或替代,亦可與圖案化裝置最佳化聯合地抑或單獨地最佳化照明模式,以致力於改良總微影保真度。可使用諸如環形、四極及偶極之許多離軸照明模式且許多離軸照明源提供針對OPC設計之更多自由度,藉此改良成像結果。如吾人所知,離軸照明為用以解析圖案化裝置中含有之精細結構(亦即,目標特徵)之被證實方式。然而,相比於傳統照明模式,離軸離軸照明模式通常提供針對空中影像(aerial image,AI)之較小輻射強度。因此,變得需要嘗試最佳化照明模式以在較精細解析度與縮減輻射強度之間達成最佳平衡。舉例而言,可在Rosenbluth等人,Journal of Microlithography,Microfabrication,Microsystems 1(1),第13至20頁,(2002)之標題為「Optimum Mask and Source Patterns to Print a Given Shape」的論文中發現若干照明最佳化方法,該論文以全文引用之方式併入本文中。
不斷減小之設計規則的壓力驅動半導體晶片製造者更深入地移至低k1微影中。朝向較低k1之微影施予對RET、曝光工具及針對微影親和設計的需要之大量需求。因此,為了有助於確保電路設計可運用可工作製程窗產生基板至上,照明模式圖案化裝置圖案最佳化(在一些狀況下被稱作源光罩最佳化或source-mask optimization,SMO)正變成重大RET以達成例如更小特徵。
因此,對於低k1微影,照明模式及圖案化裝置圖案兩者之最佳化適用於確保用於投影臨界裝置圖案之可行製程窗。一些演算法(例如,如在Socha等人,「Simultaneous Source Mask Optimization (SMO)」,Proc.SPIE第5853卷,第180至193頁(2005)」中所描述,其以全文引用的方式併入本文中)將照明分隔成非相依照明分佈點,且將圖案化裝置圖案分隔成空間頻率域中之繞射階,且基於諸如曝光寬容度之製程窗度量而單獨地闡述目標函數(其以及所選設計變數而定義),可藉由光學成像模型自照明分佈點強度及圖案化裝置圖案繞射階預測製程窗度量。
不受約束地且在切實可行的時間量內使用目標函數來允許照明模式及圖案化裝置圖案之同步最佳化之另一照明模式及圖案化裝置圖案最佳化方法及系統描述於標題為「Fast Freeform Source and Mask Co-Optimization Method」之PCT專利申請公開案第WO 2010/059954號中,該專利申請公開案以全文引用的方式併入本文中。涉及藉由調整照明分佈之像素來最佳化照明之另一照明及圖案化裝置最佳化方法及系統描述於標題為「Source-Mask Optimization in Lithographic Apparatus」之美國專利申請公開案第2010/0315614號中,該專利申請公開案以全文引用的方式併入本文中。
上文提及之圖案化裝置現可包含一或多個圖案化裝置圖案。可利用電腦輔助設計(computer-aided design;CAD)程式來產生圖案化裝置圖案,此製程常常被稱作電子設計自動化(electronic design automation;EDA)。大多數CAD程式遵循一組預定設計規則以便為圖案化裝置產生功能性圖案化裝置圖案。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義裝置特徵(諸如閘、電容器等)或互連線之間的空間容許度,以便確保裝置或線不會以不合需要的方式彼此相互作用。設計規則限制可被稱作「臨界尺寸」(critical dimension,CD)。可將裝置之臨界尺寸定義為線或孔之最小寬度,或兩條線或兩個孔之間的最小空 間。因此,CD判定經設計裝置之總大小及密度。積體電路製作中之目標中之一者係在基板上如實地再生原始電路設計(經由圖案化裝置)。
另外,在系統之最佳化製程中,系統之優值可表示為目標函數(例如,成本函數)。最佳化製程通常歸結為發現最小化或最大化目標函數之一組系統參數(設計變數)的製程。目標函數可取決於最佳化之目標而具有任何適合形式。舉例而言,目標函數可係系統之某些特性(評估點)相對於此等特性之預期值(例如,理想值)的偏差之經加權均方根(RMS);目標函數亦可係此等偏差之最大值。如本文所使用之術語「設計變數」包含圖案化製程(例如,微影製程、微影投影設備等)之一組參數,例如,微影投影設備之使用者可調整的參數。應瞭解,圖案化製程之任何特徵,包括照明模式、圖案化裝置圖案(或圖案化裝置構造)、投影光學件及/或抗蝕劑特性之任何特徵,可處於最佳化中之設計變數當中。目標函數常常係設計變數之非線性函數。接著,標準最佳化技術用以例如最小化或最大化目標函數。本文中之術語「評估點」應被廣泛地解譯為包括系統或製程之任何特性。歸因於系統或製程之實施的實務性,系統之設計變數可限於有限範圍及/或可相互相依。在微影投影設備之狀況下,約束常常與硬體之諸如可調諧範圍,及/或圖案化裝置可製造性設計規則(manufacturability design rule,MRC)的實體屬性及特性相關聯,且評估點可包括基板上之抗蝕劑影像上的實體點,以及諸如劑量及焦點之非實體特性。
因此,在微影投影設備中,照明系統向圖案化裝置提供照明(亦即,輻射),且投影光學件將來自圖案化裝置之照明引導至基板上。在一實施例中,投影光學件使得能夠形成空中影像(aerial image,AI),空中影像係基板上之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影 像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(resist image,RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之溶解度的空間分佈。在一實施例中,對微影製程之模擬可模擬空中影像及/或抗蝕劑影像之產生。
在圖3中說明用於模擬微影投影設備中之微影的例示性流程圖。照明模型31表示用以產生圖案化輻射光束之照明模式的光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件引起的輻射強度分佈及/或相位分佈之改變)。投影光學件模型32可包括由各種因素引起的光學像差,各種因素例如投影光學件之組件之加熱、藉由投影光學件之組件之機械連接產生的應力等。照明模型31及投影光學件模型32可組合成透射交叉係數(transmission cross coefficient,TCC)模型。圖案化裝置圖案模型33表示圖案化裝置圖案之光學特性(包括由給定圖案化裝置圖案引起之輻射強度分佈及/或相位分佈的改變),圖案化裝置圖案係圖案化裝置之特徵配置的表示。可自照明模型31、投影光學件模型32及圖案化裝置圖案模型33模擬空中影像36。可使用抗蝕劑模型37自空中影像36模擬抗蝕劑影像38。微影之模擬可例如預測抗蝕劑影像中之輪廓、CD及/或圖案移位。
照明模型31可表示照明系統之光學特性,包括但不限於NA均方偏差(σ)設定以及任何特定照明模式形狀(例如,離軸輻射照明模式,諸如環形、四極、偶極等)。投影光學件模型32可表示投影光學件之光學特性,包括選自以下各項中之一或多者:像差、失真、折射率、實體大小、實體維度、吸收率等。微影投影設備之光學屬性(例如,照明、圖案化裝置圖案及投影光學件之屬性)規定空中影像。由於可改變用於微影 投影設備中之圖案化裝置圖案,所以需要使圖案化裝置圖案之光學屬性與至少包括照明及投影光學件的微影投影設備之其餘部分的光學屬性分離。
圖案化裝置圖案模型33可表示如例如美國專利第7,587,704號中所描述之實體圖案化裝置及圖案化裝置圖案之實體屬性,該美國專利以全文引用之方式併入本文中。
抗蝕劑模型37可用以自空中影像演算抗蝕劑影像。可在以全文引用的方式併入本文中之美國專利第8,200,468號中發現此抗蝕劑模型之一實例。抗蝕劑模型通常僅與抗蝕劑層之屬性(例如,在曝光、曝光後烘烤(PEB)及顯影期間發生的化學製程之效應)有關。
模擬之目標為準確地預測邊緣置放及/或CD,可接著將該等邊緣置放及/或CD與既定設計進行比較。既定設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC圖案化裝置圖案。
用以將圖案化裝置圖案變換成各種微影圖像(例如,空中影像、抗蝕劑影像等)之技術及模型、使用彼等技術來應用OPC、且模型化且評估效能(例如,根據製程窗)的細節描述於美國專利申請公開案第US 2008-0301620、2007-0050749、2007-0031745、2008-0309897、2010-0162197、2010-0180251及2011-0099526號中,該等美國專利申請公開案之揭示內容各自以全文引用之方式併入本文中。
可自圖案化裝置圖案識別一或多個部分,該一或多個部分被稱作「剪輯」。在一特定實施例中,提取剪輯之集合,其表示圖案化裝置圖案中之複雜圖案(通常約50個至1000個剪輯,但可使用任何數目個剪輯)。熟習此項技術者應瞭解,此等圖案或剪輯表示設計之小部分(亦即, 電路、格胞或圖案),且該等剪輯尤其表示需要特定關注及/或驗證之小部分。換言之,剪輯可係圖案化裝置圖案之部分,或可類似或具有臨界特徵係藉由體驗而識別(包括由客戶提供之剪輯)、藉由試誤法而識別或藉由執行全晶片模擬而識別的圖案化裝置圖案之部分的類似行為。剪輯通常含有一或多個測試圖案或量規圖案。可由客戶基於圖案化裝置圖案中要求特定影像最佳化之已知臨界特徵區域而先驗地提供初始較大剪輯集合。替代地,在另一實施例中,可藉由使用標識臨界特徵區域之幾種自動化(諸如機器視覺)或人工演算法來自整個圖案化裝置圖案提取初始較大剪輯集合。
在一或多個實施例中,可使用目標函數來最佳化執行,諸如
Figure 107135513-A0305-02-0028-1
其中(z 1,z 2,…,z N )係N個設計變數或其值;f p (z 1,z 2,…,z N )可取決於(z 1,z 2,…,z N )之設計變數之值集合的第p評估點處的實際值與既定值之間的差。w p 為指派給第p評估點之加權常數。可向比其他評估點或圖案更臨界之評估點或圖案指派較高w p 值。亦可向具有較大出現次數之圖案及/或評估點指派較高w p 值。評估點之實例可係基板上之任何實體點或圖案,或圖案化裝置圖案之任何點,或抗蝕劑影像,或空中影像。
可表示微影投影設備或基板之任何適合特性,例如聚焦、CD、影像移位、影像失真、影像旋轉等。舉例而言,目標函數可取決於以下微影度量中的一或多者:邊緣置放誤差、臨界尺寸、抗蝕劑輪廓距離、最差缺陷大小、圖案移位、隨機效應、圖案化裝置之三維效應、抗蝕劑之三維效應、最佳聚焦移位、光瞳填充因子、曝光時間及/或產出率。因為抗蝕劑影像常常規定基板上之圖案,所以目標函數常常包括表示抗蝕 劑影像之一些特性之函數。舉例而言,此評估點之f p (z 1,z 2,…,z N )可僅係抗蝕劑影像中之點與彼點之既定位置之間的距離(亦即,邊緣置放誤差EPE p (z 1,z 2,…,z N ))。設計變數可係任何可調整參數,諸如照明模式之可調整參數、圖案化裝置圖案、投影光學件、劑量、聚焦等。
微影設備可包括可用以調整波前之形狀及輻射光束之強度分佈及/或相移之統稱為「波前操控器」的一或多個組件。可沿著微影投影設備之光學路徑在任何位置處調整波前及強度分佈,諸如在圖案化裝置之前、接近光瞳平面、接近影像平面或接近聚焦平面。投影光學件可用以校正或補償由照明、圖案化裝置引起的波前及強度分佈之某些失真、微影投影設備中之溫度變化,及/或微影投影設備之組件之熱膨脹。調整波前及強度分佈可改變評估點及目標函數之值。可自模型模擬此等改變或實際上量測此等改變。
應注意,f p (z 1,z 2,…,z N )之正常加權均方根(RMS)被定義為
Figure 107135513-A0305-02-0029-2
,因此,最小化f p (z 1,z 2,…,z N )之加權RMS等效於最小化方程式1中所定義之目標函數
Figure 107135513-A0305-02-0029-16
。因此,出於本文中之記法簡單起見,可互換地利用f p (z 1,z 2,…,z N )及方程式1之加權RMS。
另外,若最大化製程窗(PW),則有可能將來自不同PW條件之相同實體部位認為方程式1中之目標函數之不同評估點。舉例而言,若考量N個PW條件,則可根據評估點之PW條件來分類該等評估點,且可將目標函數書寫為:
Figure 107135513-A0305-02-0029-3
其中
Figure 107135513-A0305-02-0029-17
係第u個PW條件u=1,…,U下之(z 1,z 2,…,z N )之設計變數之 值集合的第p i 評估點處的實際值與既定值之間的差之函數。當此差為邊緣置放誤差(EPE)時,則最小化以上目標函數等效於最小化在各種PW條件下之邊緣移位,因此此情形引起最大化PW。詳言之,若PW亦包括不同圖案化裝置偏置,則最小化以上目標函數亦包括光罩誤差增強因子(mask error enhancement factor,MEEF)之最小化,光罩誤差增強因子被定義為基板EPE與經誘發圖案化裝置圖案特徵邊緣偏置之間的比率。
設計變數可具有約束,該等約束可被表達為(z 1,z 2,…,z N )
Figure 107135513-A0305-02-0030-18
Z,其中z係設計變數之可能值集合。該等約束可表示例如微影投影設備之硬體實施方案中之實體限定。約束可包括選自以下各項中之一或多者:調諧範圍、規則控管圖案化裝置可製造性(MRC)及/或兩個或更多個設計變數之間的相互依賴性。
因此,最佳化製程因此應在約束(z 1,z 2,…,z N )
Figure 107135513-A0305-02-0030-19
Z下發現例如最小化目標函數的設計變數之值集合,亦即,發現
Figure 107135513-A0305-02-0030-4
在圖4中說明根據一實施例之最佳化微影投影設備的一般方法。此方法包含定義複數個設計變數之多變數目標函數的步驟302。設計變數可包含選自以下各者之任何適合組合:照明模式之一或多個特性(300A)(例如,光瞳填充比,即通過光瞳或孔隙之照明之輻射的百分比)、投影光學件之一或多個特性(300B)及/或圖案化裝置圖案之一或多個特性(300C)。舉例而言,設計變數可包括照明模式之特性(300A)及圖案化裝置圖案之特性(300C)(例如,全局偏置),而非投影光學件之引起SMO的特性(300B)。替代地,設計變數可包括照明模式之特性(300A)、投影光學件之特性(300B)及圖案化裝置圖案之特性(300C),此引起照明、 圖案化裝置圖案及投影光學件之最佳化(有時被稱作源一光罩-透鏡最佳化(source-mask-lens optimization,SMLO))。在步驟304中,同時地調整設計變數以使得目標函數移動朝向收斂。在步驟306中,判定是否滿足預定義終止條件。預定終止條件可包括各種可能性,例如:目標函數可視需要由所用之數值技術進行最小化或最大化;目標函數之值等於臨限值或已超過臨限值,目標函數之值已達到預設定誤差限制內,及/或達到目標函數評估之預設反覆數目。若在步驟306中滿足終止條件,則方法結束。若在步驟306中未滿足終止條件,則反覆重複步驟304及306直至獲得所要結果為止。最佳化未必導致用於設計變數之值之單一值集合,此係因為可存在由諸如光瞳填充因子、抗蝕劑化學反應、產出率等之因素引起的實體限定抑制。最佳化可提供設計變數及相關聯效能特性(例如,產出率)之多個值集合,且允許微影設備之使用者挑選一或多個集合。
在一實施例中,作為演算及/或判定對照明系統及/或投影光學件之光學特性之效應的替代或補充,亦應預見,亦可在設計變數中包括照明系統及/或投影光學件之可調整光學特性。例示性可調整光學特性可包括一或多個透鏡操控器、溫度資料或與一或多個裝置,例如加熱器,之溫度資料相關聯的信號、一或多個任尼克係數等,該一或多個裝置用以控制照明系統及/或投影系統之光學元件的溫度。可接著進行SMO或SMLO工序,且可同時調整包括可調整光學特性之設計變數使得目標函數移動朝向收斂。
在圖4中,同時地執行所有設計變數之最佳化。此流程可被稱為同時最佳化、聯合最佳化或共同最佳化。如本文所使用之術語「同步」、「同步地」、「共同」及「共同地」意謂允許照明模式、圖案化裝置、 投影光學件之特性的設計變數及/或任何其他設計變數同時改變。
替代地,交替地執行所有設計變數之最佳化,如圖5中所說明。在此流程中,在各步驟中,一些設計變數係固定的,而最佳化其他設計變數作為目標函數之評估的部分;接著在下一步驟中,不同變數集係固定的,而作為目標函數之評估的部分最佳化其他變數。交替地執行此等步驟直至符合收斂或某些終止條件為止。如圖5之非限制性實例流程圖中所展示,首先,獲得圖案化裝置圖案(步驟402),接著在步驟404中執行照明模式最佳化之步驟,其中當所有其他設計變數固定時,作為適合目標函數之評估的部分最佳化(SO)照明模式之所有設計變數。接著在下一步驟406中,執行光罩最佳化(mask optimization,MO),其中當所有其他設計變數固定時,作為適合目標函數之評估的部分最佳化圖案化裝置之所有設計變數。交替地執行此兩個步驟,直至在步驟408中符合某些終止條件為止。可使用各種終止條件,諸如目標函數之值變得等於閾值、跨越閾值之目標函數的值、目標函數之值達到預設誤差極限內、或達到目標函數之評估的預設數目個反覆等。應注意,SO-MO交替最佳化用作該替代流程之實例。該替代流程可採取許多不同形式,諸如SO-LO-MO交替最佳化,其中交替地且反覆地執行SO、透鏡最佳化(Lens Optimization,LO)及MO;或可執行第一SMO一次,接著交替地且反覆地執行LO及MO;等。最後,在步驟410中獲得最佳化結果之輸出,且製程停止。
如之前所論述之圖案選擇演算法可與同時或交替最佳化整合。舉例而言,當採用交替最佳化時,首先可執行全晶片SO,識別「熱點」及/或「溫點」,接著執行MO。鑒於本發明,次最佳化之眾多排列及組合係可能的,以便達成所要最佳化結果。
圖6展示一個例示性最佳化方法,其中定義且評估目標函數。在步驟502中,獲得設計變數之初始值,包括設計變數之調諧範圍(若存在)。在步驟504中,設置多變數目標函數。在步驟506中,在圍繞用於第一反覆步驟(i=0)之設計變數之起點值之足夠小鄰域內展開目標函數。在步驟508中,應用標準多變數最佳化技術以最小化或最大化目標函數。應注意,最佳化可在508中之最佳化製程期間或在最佳化製程中之後期具有約束,諸如調諧範圍。針對用於已為了最佳化微影製程而選擇之經識別評估點之給定測試圖案(亦被稱為「量規」)來評估各反覆。在步驟510中,預測微影回應(例如,空中影像之一或多個某些特性、抗蝕劑影像之一或多個某些特性、或微影製程之一或多個某些特性,諸如製程窗)。在步驟512中,比較將步驟510之結果與所要或理想微影回應值進行比較。若在步驟514中滿足終止條件,亦即,最佳化產生足夠接近於所要值之微影回應值,則接著在步驟518中輸出設計變數之最終值。輸出步驟亦可包括使用設計變數之最終值來輸出其他函數,諸如在光瞳平面(或其他平面)處輸出波前經像差調整映射、經最佳化照明模式映射、經最佳化圖案化裝置圖案(例如,包括光學近接校正)等。若未滿足終止條件,則在步驟516中,運用第i反覆之結果更新設計變數之值,且製程返回至步驟506。可應用演算法,諸如高斯-牛頓演算法、雷文柏格-馬括特演算法、梯度下降算法演算法、模擬退火、基因演算法等,以評估且結算目標函數。
最佳化圖案化製程可擴展製程窗。較大製程窗在製程設計及裝置設計方面提供更多靈活性。製程窗可被定義為使抗蝕劑影像在抗蝕劑影像之設計目標之某一極限內的聚焦焦點及劑量值集合。應注意,此處所論述之所有方法亦可延伸至可藉由除了曝光劑量及散焦以外之不同或額 外基參數而建立的廣義一般化製程窗定義。此等基參數可包括但不限於諸如NA、光學均方偏差、光學像差、偏振之光學設定,或抗蝕劑層之光學常數。舉例而言,如前所描述,若PW亦涉及不同光罩偏置,則最佳化可包括最小化MEEF。對焦點及劑量值所定義之製程窗在本發明中僅用作一實例。
下文描述根據一實施例的最大化製程窗之方法。在第一步驟中,自製程窗中之已知條件(f o,ε o)開始,其中f 0為標稱焦點且ε 0為標稱劑量,執行對下文附近的實例目標函數(f o±△f,ε o±ε)中之一者的最小化:
Figure 107135513-A0305-02-0034-5
Figure 107135513-A0305-02-0034-6
若允許標稱焦點f 0及標稱劑量ε 0移位,則其可與設計變數(z 1,z 2,…,z N )聯合地被最佳化。在下一步驟中,若可發現(z 1,z 2,…,z N ,f,ε)之值集合,使得目標函數在預設限度內,則作為製程窗之部分接受(f o±△f,ε o±ε)。
替代地,若不允許焦點及劑量移位,則在焦點及劑量固定於標稱焦點f 0及標稱劑量ε 0的情況下最佳化設計變數(z 1,z 2,…,z N )。在替代實施例中,若可發現(z 1,z 2,…,z N )之值集合,使得目標函數在預設限度內,則作為製程窗之部分接受(f o±△f,ε o±ε)。
先前在本發明中所描述之方法可用以最小化方程式(4)或(5)之各別目標函數。若設計變數為投影光學件之特性,諸如任尼克(Zernike)係數,則最小化方程式(4)或(5)之目標函數會引起基於投影光學件最佳化,即,LO,之製程窗最大化。若設計變數係照明模式及圖案化裝置圖案之特性以及投影光學件之特性,則最小化方程式(4)或(5)之目標 函數引起基於SMLO之製程窗最大化。若設計變數係照明模式及圖案化裝置圖案之特性,則最小化方程式(4)或(5)之目標函數引起基於SMO之製程窗最大化。
上文所描述之最佳化可用以發現用以縮減可不利於微影製程之許多實體效應之(z 1,z 2,…,z N )的值集合。可針對對微影設備之不同組件之不同實體效應且在不同條件下連續地進行多個最佳化。一些效應、組件及條件在使用EUV之微影中係可係唯一的。
當在EUV微影投影設備中使用相對高數值孔徑投影光學件時,可對使用EUV之微影唯一的效應、組件及條件中之一者係遮蔽。用於EUV投影系統之反射元件的標準EUV塗層不能夠反射對於高NA EUV光學件(亦即,NA大於約0.33)所需之大輻射入射角與大輻射角展度的組合。因此,在圖7A中所展示其一部分之高NA EUV投影系統將具有相對低透射率。
如示意圖7A所見,光束1000(其外部邊界由短劃線表示)入射於EUV投影系統之反射元件1010上,且反射朝向朝向基板W投影輻射之反射元件1020。如圖7A中所描繪之EUV投影系統的部分所見,基板W上之入射輻射將合乎需要地具有相對高入射角及大角展度。然而,如反射元件1020上可見,入射於其上之輻射中的至少一些將具有相對更大入射角。舉例而言,射線1030及1040係光束1000內之實例射線,且舉例而言,可見射線1040將在反射元件1020上具有相對大入射角,且此外當入射於反射元件1020上時,在射線1030與1040之間存在相當寬的角展度。但,在NA更高之情況下,入射角及角展度增大,但已發現,EUV鏡面塗層可僅實際上處置小的角度範圍。亦即,在相對較大入射角下,EUV反射 元件之多層結構的反射率顯著地下降。因此,具有圖7A之形式的高NA EUV投影系統就透射而言可能並非可行的。
因此,為了提供相對高透射率,入射角及角展度應在高NA EUV光學件之反射元件上保持相對低。因此,可提供替代性成像光學件設計以保持入射角及角展度相對低,且在基板位階下得到更高NA,但由於彼設計,可在光瞳中提供遮蔽。因此,不管具有此遮蔽,此替代性光學件設計可得到高NA,比如圖7A之設計,但實現投影光學件上之顯著地更小之角度及角展度,此產生透射增益。實際上,對於具有遮蔽之高NA系統(亦即,高於約0.33之NA),可相對於更低NA系統(亦即,具有小於或等於約0.33之NA的系統)實現透射增益。
在圖7B中示意性地描繪此替代性光學件設計之部分的一實施例。光束1000(其外部邊界由短劃線表示)朝向一或多個反射元件1010通過EUV投影系統之反射元件1020(其中反射元件1020係單式本體)或鄰近複數個反射元件1020(其中存在彼此鄰接之複數個反射元件1020)內之開口或間隔1050,該等反射元件將輻射反射回朝向一或多個反射元件1020。一或多個反射元件1020接著朝向基板W反射輻射,輻射在此狀況下穿過反射元件1010(其中反射元件1010係單式本體)或鄰近複數個反射元件1010(其中存在彼此鄰接之複數個反射元件1010)內之開口或間隔1060。如圖7B中所描繪之EUV投影系統的部分所見,基板W上之入射輻射將合乎需要地具有與圖7A相當之相對高入射角及大角展度。然而,如反射元件1010及/或1020上可見,入射於其上之輻射將具有相對低入射角(相比於例如圖7A之系統)。舉例而言,射線1030及1040係光束1000內之實例射線,且舉例而言,可見射線1030及1040兩者在反射元件1010及 1020上具有相對低入射角,且此外當入射於反射元件1010及1020上時,在射線1030與1040之間存在相當窄的角展度。但,如將顯而易見,當輻射自反射元件1010朝向反射元件1020反射時,來自光束1000之輻射的相對小部分將穿過開口或間隔1050丟失。因此,開口或間隔1050在投影光瞳中產生遮蔽。在圖8中描繪遮蔽之實例,其展示具有遮蔽1080之光瞳1070。在此實施例中,開口或間隔1050係圓形的且因此得到圓形遮蔽1080。但,開口/間隔及/或遮蔽可係任何形狀(例如,其不需要係圓形的)。在一實施例中,遮蔽1080在光瞳之中心部分中。
因此,運用遮蔽投影光學件,相比於未遮蔽投影光學件而減小反射元件1020上之最大入射角(例如,射線1030及1040)以及角展度,此增加系統透射。舉例而言,運用遮蔽投影光學件,可使最大入射角以及反射元件1020上之入射角展度減小至未遮蔽投影光學件之約50%。
但,遮蔽阻擋某一範圍之繞射輻射穿過投影光學件之透射。因此,由於所產生繞射階之某一部分不能彼此干擾,光瞳中之遮蔽會引起對比度損失。參考圖8,示意性地描繪投影系統光瞳中之自圖案化裝置圖案之繞射產生的繞射階。藉由實心圓1100描繪第零階,藉由較長虛線圓1110描繪第±1繞射階,且藉由較短虛線圓1120描繪其他第±1繞射階。如將瞭解,可存在其他繞射階;其僅係為方便起見而在此處高度示意性地描繪之第0階、第+1階及第-1階。如圖8中所見光瞳中之遮蔽1070將阻擋第0階、第+1階及第-1階之部分,且詳言之阻止第+1階及第-1階之部分在基板處干擾影像形成。亦即,相比於在不具有遮蔽1070之情況下以其他方式發生的情況,將存在將基板在處干擾之某一更少量的第+1階及第-1階輻射。因此,將存在一定量之對比度損失。此外,對比度損失可取決於圖 案化裝置圖案特徵,特定言之,在一實施例中,取決於圖案化裝置圖案特徵之間距。舉例而言,對於約0.55之NA,遮蔽可阻擋繞射階之全部或部分來圖案化選自25nm至44nm之圖案特徵間距,此將尤其引起彼等間距之對比度損失。
此外,光瞳中之有效遮蔽可歸因於曝光窗口中之圖案化裝置圖案處之輻射入射角的差異而依據跨越曝光窗口之位置而變化。此在在下文描述之圖10中可見,其中被標識為θ之CRAO在X方向及Y方向上跨越曝光窗口大體上相同,且將對於按不同角度自如10圖中所展示之點狀位置發出之複數個射線中的每一者大體上相同。亦即,在一實施例中,極性角度對於各射線大約相同。但,彼等射線將具有顯著地不同之方位角Φ,且因此將以不同方式與投影光學件中之遮蔽相互作用。相應地,圖9依據跨越曝光窗口之位置而示意性地描繪投影系統之遮蔽之光瞳的變化。如自基板位階穿過曝光窗口「看見」之遮蔽光瞳1060將在與掃描方向成角度(例如,與其垂直)之方向上跨越曝光窗口變化。可定義函數以描述遮蔽光瞳與掃描方向成角度地跨越曝光窗口的變化。
使用EUV之微影可特有之效應、分量及條件中的另一者係使用按非零入射角將主射線提供給圖案化裝置之照明模式。如將瞭解,為了避免傳入輻射與傳出輻射之間的干擾,被提供給反射性圖案化裝置之輻射將係非零入射角。舉例而言,EUV微影投影設備可除按0度以外按入射於圖案化裝置上之例如選自約4至8度之範圍(諸如約6度)的角度具有主射線(對象(CRAO)下之主射線角),例如介於1至15度之範圍內,此可產生涉及變形縮小率之複雜投影光學件。另外,當應用於在圖案化裝置處之圖案化裝置圖案之平面上及/或之外具有構形之圖案化裝置(被稱作圖案化裝置 3D或光罩3D)時,圖案化裝置遲滯CRAO的輻射可產生陰影及圖案移位。圖案移位可係圖案相依的及/或曝光窗口位置相依的。圖10示意性地描繪使用非零CRAO處之曝光窗口之圖案化裝置圖案上之曝光場的照明。在EUV圖案化裝置之實務實施方案中,當在EUV微影設備中定位於適當位置時,圖10中之視圖係圖案化裝置MA之底視圖,且當在EUV微影設備中定位於適當位置時,圖案化裝置MA可另外處於傾斜下以均衡(在圖10中未展示該傾斜)。此時,如圖10中所見,描繪掃描方向1310上之圖案化裝置MA之對應於曝光場1300之一部分與曝光窗口1200之間的相對移動。通常,相對於曝光窗口1200移動圖案化裝置MA,但狀況不必如此。相對移動之淨效應係曝光窗口1200實際上掃描曝光場1300以使得能夠朝向投影光學件及基板轉印定位於其中之圖案化裝置圖案。展示輻射1320之形成曝光窗口1200的部分。此係因為在此狀況下,圖案化裝置MA係反射性的,且輻射1320具有由θ表示之非零CRAO。如上文所論述,對於形成曝光窗口1200之各射線,CRAO跨越X方向及Y方向上之曝光窗口大體上相同,甚至對於不同方位角Φ下之射線亦如此。但,形成曝光窗口1200且自特定點狀位置發出之射線將具有顯著地不同之方位角,此可在橫向於掃描方向1310之方向上跨越曝光窗口1200得到不同效應。一個此不同效應可係特定輻射之光瞳中的遮蔽,此取決於彼輻射之曝光窗口1200中之位置。其他效應可係可取決於跨越曝光窗口1200之輻射之不同角度的圖案化裝置3D效應。
使用EUV之微影可特有之效應、分量及條件中的另一者係變形縮小率。為了易於閱讀,術語縮小率將在本文中用以指代自圖案化裝置位階至基板位階之大小減小。如將瞭解,任何縮小率可在分率下表徵為 放大率。舉例而言,在典型DUV微影投影設備中,縮小率係同形的,亦即,在垂直於光軸之平面上之不同方向上大體上相同。在EUV微影投影設備中,由於與EUV輻射組合地使用主要反射光學組件,投影光學件可具有變形縮小率(亦即,垂直於投影光學件之光軸之平面上之不同定向中的圖案化裝置圖案特徵之變化縮小率)。舉例而言,當平面由X方向及Y方向跨越時,縮小率可在X方向(其可標記為水平方向)上與在Y方向(其可標記為豎直方向)上不同,此可促成X/水平方向與Y/垂直方向上之特徵的大小差(像散)(其有時稱為水平-豎直偏置或H-V偏置)。舉例而言,X/水平(H)方向上之縮小率可係4,而Y/豎直(V)方向上之縮小率可係8。因此,儘管具有同形縮小率之圖案化裝置圖案的正方形多邊形將在基板處得到更小之大體上正方形的多邊形,但變形縮小率系統中之圖案化裝置特徵將需要經修改成圖案化裝置圖案處之細長矩形,以便如在同形縮小率系統中在基板處得到相同更小之大體上正方形多邊形。
在圖11A及圖11B中展示此變形縮小率之含義。圖11A展示圖案化裝置處之曝光場1300,連同曝光窗口1200,其在此狀況下歸因於圖案化裝置在掃描方向1310上相對於必不可少的位置固定曝光窗口1200之掃描運動而實際上跨越曝光場1300掃描。亦展示曝光場之寬度1320及長度1330。若需要使用4之同形縮小率(此在行業中常見)來在基板處產生傳統26mm寬度及33mm長度場,則寬度1320將係104mm且長度1330將係132nm。此圖案化裝置場大小可接著亦在行業中係標準的。
圖11B在圖11A之圖案化裝置場的上下文中展示變形縮小率之效應。亦即,圖11B示意性地描繪由於投影系統具有變形縮小率之基板處之圖11A的曝光場。圖11B展示基板處之曝光場1400連同曝光窗口 1200(其將根據變形縮小率而收縮),其在此狀況下歸因於基板在掃描方向1310上相對於必不可少的位置固定曝光窗口1200之掃描運動而實際上跨越曝光場1400掃描。基板處之掃描方向1310可取決於投影系統之影像反轉特性而在不同相對方向上。亦展示曝光場1400之寬度1420及長度1430。在此狀況下,變形縮小率可係4之X/水平(H)方向縮小率及8之Y/豎直(V)方向縮小率。當曝光場1300具有104mm之傳統寬度1320及132nm之長度1330時,此變形縮小率之結果將係具有26mm之寬度1420(亦即,典型基板場寬度)但16.5nm之長度1430(亦即,典型基板場長度之一半)的曝光場1400。因此,4:8之H:V的此變形縮小率得到實際上傳統曝光場之一半的曝光場1400;當然,將取決於變形縮小率值而發生不同比。因此,為了獲得傳統曝光場,可曝光具有4:8之H:V變形縮小率的兩個半場,即,曝光場1400及曝光場1410,以得到具有4之同形縮小率的傳統曝光場。因此,曝光場1300將曝光兩次以得到曝光場1400及1410,且將使用一或多種微影縫合技術來將曝光場1400與1410縫合在一起。
因此,起因於EUV微影投影設備與DUV微影投影設備之間的差異之此等各種問題可需要一或多個新最佳化流程。但,此等問題並非EUV微影投影設備所特有(且可在DUV微影投影設備中發生),且因此本文中所揭示之流程不限於用於EUV微影投影設備中。新最佳化流程合乎需要地減少例如H-V偏置、柏桑傾斜、光斑敏感度、歸因於圖案化裝置3D之圖案移位及/或對比度損失、變形縮小率及/或投影光學件遮蔽。
圖12展示根據一實施例之最佳化的流程。流程在610處以以下操作開始:獲得照明模式形狀(其可由照明系統下方諸如光瞳平面之平面處的強度及/或相位分佈表示)及圖案化裝置散焦值(沿著投影光學件之 光軸之圖案化裝置的全局移位)。可使用任何適合之方法,諸如圖13及圖14中所說明之方法,來選擇且量測照明模式形狀及圖案化裝置散焦值。
在可選步驟620中,將圖案化裝置圖案最佳化為連續透射光罩(「continuous transmission mask,CTM最佳化」)。在此最佳化中,圖案化裝置圖案之所有位置處的透射率不限於數個離散值。取而代之,透射可假定在上限與及下限內之任何值。可在美國專利第8,584,056號中發現更多細節,其揭示內容以全文引用之方式併入本文中。連續透射光罩難以,若並非不可能,實施為圖案化裝置。然而,因為不將透射限於數個離散值會使最佳化快得多,所以連續透射光罩為有用工具。在EUV微影投影設備中,圖案化裝置可係反射的。CTM最佳化之原理亦適用於待在反射性圖案化裝置上產生之圖案化裝置圖案,其中圖案化裝置圖案之所有位置處的反射率不限於數個離散值。因此,如本文所使用,術語「連續透射光罩」可以指待在反射性圖案化裝置或透射圖案化裝置上產生之圖案化裝置圖案,且透射在此上下文中與例如反射性同義。
在可選步驟630中,可基於在步驟620中經最佳化為連續透射光罩之圖案化裝置圖案而將輔助特徵(子解析度輔助特徵及/或可印刷解析度輔助特徵)置放於圖案化裝置圖案中。此步驟允許自連續透射光罩識別且設計輔助特徵。
在可選步驟640中,獲得照明模式、圖案化裝置圖案及投影光學件之模型。將在下文進一步詳細地解釋此步驟。在一實施例中,模型可藉由使用阿貝公式來計算空中影像。合乎需要地,模型可藉由使用霍浦金公式來計算空中影像。霍浦金公式使用照明模式及投影光學件之透射函數。透射函數可擴展成任尼克(或類似)多項式。模型用以模擬圖案化裝 置圖案之投影系統的成像。舉例而言,模型可用以模擬由照明模式、圖案化裝置圖案及投影光學件產生之空中影像。模型可包括照明模式、圖案化裝置圖案及投影光學件之各別子模型。
在步驟650中,可藉由使用可選步驟640中所獲得之模型來最佳化微影製程之劑量。
在步驟660中,可針對照明模式之數個曝光窗口位置中之每一者而最佳化圖案化裝置圖案。在EUV微影設備中,貫穿曝光窗口光學像差傾向於隨曝光窗口內之位置變化(例如,沿著沿著縫隙型曝光窗口之長度的方向變化)。曝光窗口光學像差可歸因於許多效應,諸如基板散焦、劑量漂移、圖案化裝置特徵尺寸改變、加熱等。此步驟允許補償曝光窗口光學像差。舉例而言,可在此步驟中使用在一系列曝光窗口位置下量測評估點之目標函數。
在可選步驟670中,針對該等曝光窗口位置中之每一者而判定製程窗。
圖13展示步驟640之一實施例的細節。在步驟710中,在照明模式係自由形式照明模式的假定下,可使用圖案化裝置3D模型來最佳化照明模式及圖案化裝置圖案。自由形式照明模式意謂光瞳平面處之強度不限於數個離散值,且照明模式之組態並不特定地受約束。照明模式係自由形式照明模式之假定使得此最佳化快得多。如上文所提及,圖案化裝置可在圖案化裝置圖案之平面上或之外具有第三維度構形。此三維度意謂圖案化裝置並不僅僅處理為「薄光罩」,而是實際上處理為「厚光罩」。因此,圖案化裝置3D模型考量「厚光罩」效應。「厚光罩」效應起因於輻射之向量性質,且可在圖案化裝置圖案上之特徵大小小於用於微影製程中之 輻射的波長時及/或在輻射具有非零CRAO時係顯著的。「厚光罩」效應可包括由於電場與磁場之不同邊界條件的偏光相依性,包括小開口中之透射率、反射率及/或相位誤差、邊緣繞射(或散射)效應、陰影效應及/或電磁耦合。可在以全文引用的方式併入本文中之美國專利第7,703,069號中發現圖案化裝置3D模型之更多細節。
在可選步驟720中,使用在步驟710中最佳化之照明模式及圖案化裝置圖案來最佳化圖案化裝置散焦。此步驟允許調整圖案化裝置散焦以適應由步驟710中之最佳化引起之照明模式及圖案化裝置圖案改變。舉例而言,圖案化裝置散焦可係設計變數。可例如最小化目標函數以發現圖案化裝置散焦。
在步驟730中,判定由如在步驟710中最佳化之照明模式及圖案化裝置圖案形成之基板處之空中影像的最佳聚焦平面。舉例而言,最佳焦點可係設計變數。可例如最小化目標函數以發現最佳聚焦。
在步驟740中,將自由形式照明模式映射至離散照明模式。可不運用某些硬體直接顯現自由形式照明模式。因此,基於自由形式照明模式之光瞳剖面而使用任何適合方法來計算類似於自由形式照明模式之光瞳輪廓且可由照明模式之硬體顯現(例如,可由鏡面陣列顯現)的初始離散光瞳輪廓。因此,舉例而言,用於微影投影設備中之照明模式可係離散照明模式(例如,由具有離散反射性狀態之鏡面的陣列顯現)。
在步驟750中,可最佳化微影製程之劑量。此步驟允許補償由於自由形式照明模式至離散照明模式之映射的劑量改變。
傳統技術使用稱為繞射光學元件(結構,DOE)之光學材料結構以對輻射塑形以形成所要照明模式。對於複合光瞳剖面,此等DOE必 須被定製自訂設計及製造此等DOE。ASML之FlexRayTM系統使用可個別地調整之鏡面的可程式化陣列。其可在約分鐘後幾乎產生任何光瞳剖面--從而消除與DOE設計及製造相關聯之長循環時間。儘管可調整鏡面之數目可大至幾數百個,但其仍為空間上離散的。在一些微影投影系統中,鏡面並不可持續地調整,亦即,各鏡面可處於若干(例如,2至10個)離散狀態下。歸因於鏡面之離散性質,實際上使用鏡面陣列而顯現之光瞳剖面類似於但仍可實質上偏差於自由形式照明模式之光瞳剖面。此偏差傾向於在EUV照明模式下更大。若在最佳化照明模式時考量鏡面之離散性質,則可縮減此偏差。然而,傳統離散最佳化(例如,分支界限演算法)在計算上成本高(其中執行時間隨著鏡面之數目增加而按指數規律成比例地增加),即O(a n ),其中a恆定且n為鏡面數目。在步驟760中,根據可降低應與鏡面,即O(n),數目成正比之計算成本的方法而最佳化圖案化裝置圖案及離散照明模式。此方法可包括:選擇當前離散光瞳剖面之離散改變,且將所選改變應用於當前離散光瞳剖面,其中具有所選離散改變之當前離散光瞳剖面在下一反覆中變成當前離散剖面;在光瞳剖面固定之情況下,最佳化不與引起離散光瞳剖面之離散改變照明硬體(例如,此類照明硬體可包括鏡面)相關聯的設計變數(例如,與圖案化裝置圖案、劑量等)相關聯之一或多個設計變數,其中此等設計變數可包括離散及/或連續設計變數;及反覆此等步驟直至結束條件滿足為止。可在美國專利第US 2015-0378262號中發現更多細節,其揭示內容以全文引用之方式併入本文中。
在可選步驟770中,可最佳化投影光學件。舉例而言,可藉由使用ASML之FlexWaveTM光學件來調整波前。此步驟允許調整投影光學件以適應在步驟760中經最佳化之離散照明模式及圖案化裝置圖案。 舉例而言,可在此最佳化中使用係表徵投影光學件之一或多個設計變數之函數的目標函數。
圖14展示根據一實施例之步驟610的細節。在步驟810中,獲得圖案化裝置散焦之初始值及初始照明模式形狀(例如,環形狀)。圖案化裝置散焦可微弱地取決於照明模式。因此,不必特定地選擇圖案化裝置散焦之初始值及初始照明模式形狀。在步驟820中,在照明模式係自由形式照明形狀的假定下,可使用「薄光罩」模型來最佳化照明模式及圖案化裝置圖案。「薄光罩」模型不考量「厚光罩」效應且因此傾向於比圖案化裝置3D模型更快。在步驟830中,可在考量「厚光罩」效應的情況下最佳化微影製程之劑量。此步驟允許補償自「薄光罩」模型至「厚光罩」模型之劑量改變。在可選步驟840中,針對在步驟820中最佳化之照明模式而最佳化圖案化裝置散焦,但圖案化裝置散焦可僅微弱地取決於照明模式。圖14中之方法可有效於縮減「厚光罩」效應及圖案移位。
圖15展示根據另一實施例之步驟610的細節。相比於圖14中之方法,圖15中之方法可在計算上更快。圖案化裝置散焦可微弱地取決於照明模式。因此,用於獲得圖案化裝置散焦之方法可基於平均化使用若干光瞳剖面(例如,半習知、大環形、小環形、x偶極、y偶極、類星體及c四極)所判定之若干圖案化裝置散焦值。在步驟910中,獲得圖案化裝置散焦之初始值。在步驟920中,使用若干光瞳剖面及圖案化裝置散焦之初始值來判定若干圖案化裝置散焦值。在步驟930中,藉由平均化若干圖案化裝置散焦值來獲得步驟610之圖案化裝置散焦值。在可選步驟940中,判定由照明模式、圖案化裝置圖案之部分及投影光學件形成之基板處之空中影像的最佳聚焦平面。
因此,在一實施例中,提供一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影投影設備將一圖案化裝置圖案之一部分成像至一基板上,該方法包含:獲得一照明模式形狀及及圖案化裝置散焦值;最佳化該微影製程之一劑量;及針對該照明模式之複數個曝光窗口位置中之每一者最佳化該圖案化裝置圖案之該部分。在一實施例中,該方法方法進一步包含最佳化圖案化裝置圖案,其中圖案化裝置圖案之部分之所有位置處的透射率不限於數個離散值。在一實施例中,該方法進一步包含獲得該照明系統之該照明模式的一模型、該圖案化裝置圖案之該部分的一模型、該投影光學件之一模型或其一組合,其中該一或多個模型經組態以模擬由該照明模式、該圖案化裝置圖案之該部分及該投影光學件產生之一空中影像。在一實施例中,最佳化該微影製程之該劑量包含使用該等模型中之至少一者。在一實施例中,該方法進一步包含針對該等曝光窗口位置中之每一者判定一製程窗。在一實施例中,獲得該一或多個模型包含在該照明模式形狀係一自由形式形狀之一假定下使用一三維圖案化裝置模型來最佳化該照明模式及該圖案化裝置圖案之該部分。在一實施例中,獲得該一或多個模型進一步包含判定由使用該三維圖案化裝置模型最佳化之該照明模式及該圖案化裝置圖案之該部分形成的一空中影像之一最佳聚焦平面。在一實施例中,獲得該一或多個模型進一步包含將該自由形式照明形狀映射至一離散照明模式形狀。在一實施例中,獲得該一或多個模型進一步包含最佳化該圖案化裝置圖案之該部分及該離散照明模式形狀。在一實施例中,獲得該一或多個模型進一步包含最佳化該投影光學件。在一實施例中,獲得該照明模式形狀及該圖案化裝置散焦值包含獲得圖案化裝置散焦之一初始值。在一實施例中,獲得該照明 模式形狀及該圖案化裝置散焦值進一步包含使用一薄圖案化裝置模型來最佳化該照明模式及該圖案化裝置圖案之該部分,其中該照明模式形狀係一自由形式照明模式形狀。在一實施例中,獲得該照明模式形狀及該圖案化裝置散焦值進一步包含藉由使用一三維圖案化裝置模型來最佳化該圖案化裝置散焦。在一實施例中,獲得該照明模式形狀及該圖案化裝置散焦值包含使用複數個光瞳剖面來判定複數個圖案化裝置散焦值。在一實施例中,獲得該照明模式形狀及該圖案化裝置散焦值包含平均化若干圖案化裝置散焦值。在一實施例中,獲得該照明模式形狀及該圖案化裝置散焦值包含判定由該照明模式、該圖案化裝置圖案之該部分及該投影光學件形成之一空中影像的一最佳聚焦平面。
為了解決投影光學件遮蔽及/或變形縮小率,提供根據一實施例之最佳化的一或多個流程。在先前最佳化方法中,例如EUV微影製程最佳化之流動並不似乎考量投影系統之遮蔽的存在或與此投影系統之可能變形縮小率組合。因此,如本文中將進一步論述,在一實施例中,最佳化流程併入有考量投影系統中之遮蔽的模擬模型。舉例而言,藉由併入有考量投影系統中之遮蔽的模擬模型,圖案化裝置圖案及/或照明模式可經組態以在基板處更準確地產生所要圖案,以比以其它方式得到基板處之圖案中的更小特徵等。
另外,先前最佳化技術看來僅考量同形圖案化裝置製造規則檢查(MRC),亦即,在圖案化裝置圖案平面內之任何方向上相同的規則。及,對於具有變形縮小率之投影系統狀況如此。但,已發現變形圖案化裝置製造規則,例如允許與主要特徵及/或子解析度輔助特徵相關聯之尺寸在較大縮小率方向(例如,掃描方向)上不同於更小縮小率方向(例 如,沿著曝光窗口之長度的方向)的規則可有利地為例如圖案化裝置圖案及/或照明模式之最佳化提供更多靈活性,以允許在基板處更準確產生所要圖案,以比以其它方式得到基板處之圖案中的更小特徵等。
在一實施例中,最佳化可涉及源光罩最佳化(SMO)。在一實施例中,最佳化可涉及光罩最佳化(MO)。在一實施例中,最佳化可涉及照明模式最佳化(SO)。如將瞭解,可執行投影系統之最佳化結合SMO或MO(以同步方式或以替代性方式)。
此時,最佳化流程可解決變形縮小率之態樣,可解決投影光學件遮蔽,或兩者。將主要根據解決變形縮小率之態樣及投影光學件遮蔽之態樣兩者而描述該流程,但必要時可自流程省略兩者。此外,解決變形縮小率及/或投影光學件遮蔽之態樣的最佳化流程可與與圖案化裝置散焦最佳化、離散鏡面狀態及/最佳化及/或作為最佳化流程之部分貫穿曝光窗口圖案化裝置圖案變化相關之先前所揭示流程中的任一者組合。舉例而言,解決變形縮小率及/或投影光學件遮蔽之態樣的最佳化流程可添加至或包括於圖案化裝置散焦最佳化、離散鏡面狀態最佳化及/或作為最佳化流程之部分貫穿曝光窗口圖案化裝置圖案變化內。此外,可使用選自各種考量之任何組合:圖案化裝置散焦最佳化、離散鏡面狀態最佳化、作為最佳化流程之部分的貫穿曝光窗口圖案化裝置圖案變化、變形縮小率及/或投影光學件遮蔽。
圖16展示根據一實施例之最佳化的流程。流程在1500處以設置最佳化開始。因此,在1500處,選擇用於模擬微影製程之適用模型連同用於最佳化之適用成本函數。在一實施例中,模型可包括上文關於圖3所描述之子模型。在一實施例中,針對SMO設置模型及成本函數。在一 實施例中,針對MO設置模型及成本函數。
舉例而言,基於邊緣置放誤差(edge placement error,EPE)之成本函數可表達為:
Figure 107135513-A0305-02-0050-11
其中在此狀況下依據選自以下各項中之一或多者來指定成本函數CF:照明模式之一或多個變數(v src )、產生圖案化裝置圖案之一或多個變數(v mask )、波前(例如,投影系統)之一或多個變數(v wavefront )及/或預期設計圖案之一或多個變數(v design )。舉例而言,SMO可能包括照明模式之至少一或多個變數(v src ),及產生圖案化裝置圖案之一或多個變數(v mask )。另外,pw對應於所模擬製程窗條件(例如,聚焦及劑量度量),eval對應於置放於設計圖案內之評估點,w係特定製程窗條件pw及/或評估點eval之加權因子,EPE係針對製程窗條件pw與評估點eval之特定組合評估之邊緣置放誤差,指數p係成本函數CF之近似的自然數,及選自以下各者之一或多個懲罰:P sidelobe ,其係對應於圖案之非所要側邊緣印刷;基於所應用評估點之模擬輪廓之邊緣的斜率的懲罰;P slope ,其係對應於圖案影像之影像斜率(例如,影像對數斜率)的懲罰;P MRC ,其係對應於一或多個圖案化裝置製造規則檢查之懲罰;及P src ,其係對應於照明模式之設計的懲罰。如應瞭解,更小(包括無)、更多或不同懲罰可適用。
另外,在1500處,獲得各種微影製程資訊及初始條件,諸如針對其判定圖案化裝置圖案之目標設計、初始照明模式(或在製程係MO之情況下,以合乎需要之方式最佳化的照明模式)、所要製程窗度量(例如,聚焦及劑量)等。
在一實施例中,流程使用模擬自圖案化裝置圖案之輻射投 影的模型(例如,投影光學件子模型),其中模型模型化由投影光學件賦予至輻射之變形縮小率。在一實施例中,模型模型化經設計以投影極紫外線輻射之反射性投影光學件。在模型模型化變形縮小率之一實施例中,針對縮小率差而對製程窗度量進行組態,亦即,其考量例如圖案化裝置圖案平面上之X/水平方向及Y/豎直方向上之差。亦即,在一實施例中,製程窗度量針對圖案化裝置圖案平面上之X/水平方向及Y/豎直方向而具有對應於不同縮小率的不同圖案化裝置偏置。
在一實施例中,當最佳化流程考量投影光學件中之遮蔽時,模型(例如,投影光學件子模型)模擬來自圖案化裝置圖案之輻射投影,同時考量投影光學件中之遮蔽。亦即,模擬模型模型化投影光學件對輻射之投影,包括模型化投影光學件中之遮蔽的效應。
在一實施例中,考量投影光學件中之遮蔽之效應的模型進一步考量變形縮小率。在一實施例中,考量投影光學件中之遮蔽之效應的模型經涉及以模型化例如針對EUV輻射設計之反射性投影系統。因此,在一實施例中,針對考量歸因於EUV投影光學件之遮蔽的EUV變形系統而執行最佳化。
在一實施例中,如由來自圖案化裝置圖案之輻射「看見」的有效遮蔽可穿過曝光窗口變化。因此在一實施例中,該模型考量遮蔽跨越由微影投影設備產生之曝光窗口的變化。舉例而言,如上文關於圖9及圖10所論述,照明角度可跨越曝光窗口變化。不同照明角度可例如引起穿過曝光窗口變化之圖案化裝置3D效應或像差,此意謂跨越曝光窗口之來自圖案化裝置圖案的繞射輻射實際上「看見」投影光學件之不同遮蔽形狀。然而,在一實施例中,遮蔽之效應可被視為在一個特定位置處且可在 一個特定位置處如此評估。舉例而言,遮蔽可被視為在光瞳之中心部分處且在曝光窗口之中心部分處。
在一實施例中,跨越曝光窗瓊斯光瞳可用以描述起因於投影光學件中之遮蔽的變化變跡。在實施例中,此瓊斯光瞳可如下描述:
Figure 107135513-A0305-02-0052-7
其中
Figure 107135513-A0305-02-0052-12
對應於瓊斯輸出向量,
Figure 107135513-A0305-02-0052-13
對應於投影光學件之瓊斯矩 陣,且
Figure 107135513-A0305-02-0052-14
對應於瓊斯輸入向量。因此,為了考量遮蔽之任意形狀的散射效應(實際上由於投影光學件中之光瞳光闌遮蔽),瓊斯光瞳具有真實部分及虛數部分兩者。變跡係
Figure 107135513-A0305-02-0052-15
之實數部分,且其考量由於例如開口或間隔1050之有效光瞳光闌的遮蔽。因此,在一實施例中,提供模型化具有對應於投影光學件之遮蔽之交叉曝光窗口變跡之投影光學件的模型。
作為考量投影光學件中之遮蔽之最佳化流程的補充或替代,該最佳化流程可考量變形縮小率之效應。亦即,在模型模型化投影光學件對輻射之投影,包括投影光學件對輻射之變形縮小率的一實施例中,流程可基於模型而對圖案化裝置圖案之一部分進行組態,同時考量變形製造規則或變形製造規則比。
變形製造規則包含指定圖案化裝置圖案之平面上之一個方向上之限度或許可的規則,該限度或許可不同於圖案化裝置圖案之平面上之另一方向上的限度或許可。變形製造規則比係標準同形製造規則之修改器(亦即,將許可或限度設定成在圖案化裝置圖案平面上之所有方向上相同的規則),以實際上將彼同形製造規則轉換成變形製造規則。在一實施例中,不同方向對應於在變形縮小率中存在不同縮小率之方向。因此,在一實施例中,變形製造規則比可係用以考量不同縮小率值之一或多個比 例。舉例而言,若針對特定縮小率設計同形製造規則且變形縮小率係在第一方向上之同一縮小率及在二方向上之另一縮小率,則變形製造規則比可僅是變形縮小率之不同縮小率的比(例如,X/水平方向上之縮小率除以Y/豎直方向上之縮小率),使得同形製造規則應用於第一方向上之特徵且由變形製造規則比修改之同形製造規則應用於第二方向上之特徵。如將瞭解,若同形製造規則係針對未在變形縮小率中公用之縮小率值,則可能需要複數個比例以將同形製造規則「按比例縮放」至不同方向
因此,在一實施例中,可在1500處之設置中計算(例如,藉由按比例縮放同形製造規則)、提供或獲得一或多個變形製造規則。在一實施例中,可在1500處之設置中計算(例如,藉由產生變形縮小率中之不同縮小率之間的來計算)、獲得或設置變形製造規則比,來與在1500處之設置中提供或獲得的一或多個同形製造規則一起使用。
圖案化裝置製造規則之實例可包括例如關於主要特徵寬度及/或間隔之限度或許可、關於輔助特徵寬度及/或空間之限度或許可、關於主要特徵與輔助特徵之間的間隔之限度或許可等。通常,圖案化裝置製造規則與用以在圖案化裝置上產生圖案化裝置圖案之製程及/或設備的限度或能力或能夠形成圖案化裝置圖案之圖案化裝置(例如,SLM類圖案化裝置)的限度或能力相關。
在具有基於投影光學件之變形縮小率之縮小率差的變形製造規則或變形製造規則比的情況下,可執行變形投影系統之最佳化(例如,SMO或MO)以例如對圖案化裝置圖案及/或照明模式進行組態藉由考量在最佳化中針對不同特徵方向獨立地設定之圖案化裝置製造規則(MRC)約束。如所提及,特徵定向相依MRC可作為變形製造規則或作為例如X/ 水平定向與Y/豎直定向之間的變形製造規則比指定為絕對尺寸。舉例而言,變形製造規則比可定義為X/水平縮小率除以Y/豎直縮小率。
如將在下文進一步論述,在一實施例中,變形製造規則或變形製造規則比可在最佳化流程期間用作檢查以識別例如製造規則之違反。舉例而言,變形製造規則或變形製造規則比可用以識別目標設計中之針對其設計圖案化裝置圖案之特徵,及/或識別部分或完全最佳化圖案化裝置圖案中之違反製造規則上且因此例如無法製造於圖案化裝置、能夠由圖案化裝置顯現等的特徵。此類違反可用以觸發通常重新設計針對其產生圖案化裝置圖案之目標設計。
另外或替代地,變形製造規則檢查或變形製造規則檢查比可用作最佳化成本函數中之懲罰。因此,變形製造規則或變形製造規則比可用以例如最大化在變形製造規則或變形製造規則比之約束或許可下准許之可能組態的範疇。在圖18A及圖18B中展示變形製造規則或變形製造規則比之此使用的實例優點。圖18A展示使用同形製造規則組態之圖案化裝置圖案,諸如4倍縮小率之同形製造規則設計。在此實例中,特徵1700對應於主要特徵(例如,接觸孔或通孔),且特徵1710對應於輔助特徵(例如,子解析度輔助特徵)。當此圖案化裝置圖案使用4之同形縮小率投影於基板上時,特徵1700及1710中之每一者將滿足一或多個適用同形製造規則,例如在基板位階下或圖案化裝置圖案位階下進行分析時根據特徵1710大小或根據特徵1700與鄰近特徵1710之間的間隔。
圖18B現展示將變形製造規則或變形製造規則比用作例如最佳化之成本函數的懲罰來組態的圖案化裝置圖案。此處,使用例如在Y方向上8倍且在X方向上4倍之變形縮小率。因此,為了如同在圖18A之圖 案化裝置圖案之狀況下在基板位階下製造相同大小特徵,主要特徵1800之大小將在Y方向上伸長,特徵1800之間的間隔將亦在Y方向上伸長。但,此呈現出使用輔助特徵1810之機會,該等輔助特徵不一定必須以與主要特徵或主要特徵之間的間隔相同之方式使其大小按比例縮放,或以與主要特徵或主要特徵之間的間隔相同之方式使其與主要特徵之(或本身之間的)間隔按比例縮放。因此,如圖18B中所見,額外輔助特徵1810可按適當間隔置放於主要特徵1800之間,該等特徵一起仍滿足圖案化裝置圖案之製造規則。因此,所有輔助特徵1810及間隔仍滿足用於製造彼等輔助特徵1810之大小及/或間隔規則。當相比於圖18A時,此可顯而易見;輔助特徵1810之尺寸可與輔助特徵1710大小相同或更大,且其與鄰近主要特徵1800之間隔可與主要特徵1700與鄰近輔助特徵1710之間的間隔大小相同或更大。因此,相比於僅僅依賴於用於最佳化經受變形縮小率之圖案化裝置圖案的傳統同形製造規則,可經由使用變形製造規則或變形製造規則比來實施額外或經擴增OPC(在此實例中,呈輔助特徵形式)。
接著返回至圖16,運用1500處之最佳化設置,在1510處使用來自1500處之設置的模型來執行前向模擬,以判定最佳化製程中之圖案化裝置圖案如何在基板位階下產生模擬圖案。在一實施例中,彼模型可經設計以考量投影光學件中之遮蔽的效應。在一實施例中,彼模型可經設計以至少在變形製造規則或變形製造規則比用於最佳化流程中時考量投影光學件之變形縮小率。在一實施例中,該模型進一步考量圖案化裝置圖案作為前向模擬之部分經組態所針對之圖案化裝置的構形。使用圖案化裝置3D效應(亦即,構形)之細節在上文加以論述,且可併入於此模擬中。在一實施例中,該模型進一步考量圖案化裝置圖案經組態所針對之圖案化裝置 的散焦。使用圖案化裝置散焦之細節在上文加以論述,且可併入於此模擬中。在一實施例中,該模型可用以處理圖案化裝置圖案,使得圖案化裝置圖案之部分之所有位置處的透射率不限於數個離散值。
在1520處,結合評估如下文結合來自1510之模擬結果所描述的成本函數,對微影製程之設計變數中的一或多者進行組態。舉例而言,一或多個設計變數可包括圖案化裝置圖案之一或多個參數,諸如幾何參數。特定言之,圖案化裝置之一或多個參數使得能夠根據例如應用各種OPC而對圖案化裝置圖案之佈局進行組態,諸如改變圖案化裝置特徵之一或多個尺寸(例如,將偏置應用於特徵之全部或部分)及/或應用輔助特徵(例如,SBAR、SRIF或SRAF)等。在一實施例中,若用於產生圖案化裝置圖案之目標設計大於適用曝光場(例如,如關於圖11A及圖11B所描述之半場1400或1410),則組態(例如,OPC)應處置目標設計之在一個曝光場中曝光之部分與目標設計之在另一曝光場中曝光之另一部分的任何必需縫合。
在一實施例中,使用變形製造規則或變形製造規則比來在圖案化裝置位階下處置1520處之圖案化裝置圖案組態(例如,OPC)。因此,舉例而言,可根據製造規則而在圖案化裝置位階下評估圖案化裝置圖案特徵以例如識別可運用圖案化裝置位階座標處(例如,標示)之違反資料記錄的MRC違反。因此,在一實施例中,使用模擬中之適用變形放大率及變形製造規則或變形製造規則比,可在圖案化裝置位階下針對OPC而檢查圖案化裝置圖案之最佳化且對其進行組態。
當一或多個設計變數包括照明模式之一或多個參數(亦即,照明模式經組態)時,在1520處亦可存在或替代地可存在照明模式之參數 的改變(例如,照明模式形狀之改變)。在一實施例中,照明模式之參數包含微影製程之光學劑量。在一實施例中,在照明模式形狀可具有自由形式形狀及/或參數形狀之條件下對照明模式之照明形狀進行組態。當照明模式具有自由形式形狀時,接著一旦在最佳化流程之成本函數達到終止條件之後對自由形式形狀進行組態,則可將照明模式之經組態自由形式形狀映射至離散照明形狀(例如,至一組離散鏡面,各鏡面具有一組狀態)。
在1530處,可使用模擬結果來評估針對最佳化流程定義之成本函數,以使得能夠調整最佳化之一或多個設計變數(諸如圖案化裝置圖案之一或多個參數)。在一實施例中,成本函數可與方程式(6)之形式相同或類似。在一實施例中,成本函數可具有變形製造規則或變形製造規則比作為懲罰。如將瞭解,成本函數可具有不同形式,且可基於與EPE不同之製程效能參數或基於製程效能參數之組合(其可或可不包括EPE)。在一實施例中,在基板位階下評估成本函數,亦即,根據產生對應於用於作為最佳化流程之部分產生圖案化裝置圖案之目標設計之所要圖案的效能。
在1540處,評估成本函數之終止條件(諸如本文中論述之終止條件中的任一者)。若尚未達到終止條件,則重複1510、1520、1530連同1540處之重新評估。若已達到終止條件,則結束一或多個設計變數之組態,且舉例而言,至少圖案化裝置圖案已完全經組態至經受由MRC識別之任何違反的可能程度。
在1550處,輸出關於最佳化流程之資訊。舉例而言,可在圖案化裝置位階下輸出圖案化裝置圖案(例如,根據多邊形)。在一實施例中,若存在,則可輸出一或多個MRC違反連同彼等一或多個MRC違反在圖案化裝置圖案座標中之位置。在一實施例中,可針對圖案化裝置圖案 (例如,整體上或針對具有圖案化裝置圖案之各點)輸出預期製程窗(例如,聚焦及劑量製程窗)。在一實施例中,可輸出一或多個MEEF值。在一實施例中,本文中所描述之使用變形製造規則或變形製造規則比的製造規則分析及技術可應用於任何其他印刷缺陷(例如,基板位階輪廓上之缺陷),諸如CD誤差、基板位階頸縮、基板圖案特徵之基板位階橋接等。
參考圖17,示意性地描繪另一最佳化流程,且其類似於圖16之流程,惟如下文所提供以外。舉例而言,1600涉及設置最佳化流程,諸如設置模型、初始條件等,如圖16中之1500。另外,1610涉及如圖16之1510的前向模擬。此時,在1620處,結合如下文結合來自1610之模擬結果而關於1630所描述之成本函數的評估而對微影製程之設計變數中的一或多者進行組態。舉例而言,一或多個設計變數可包括圖案化裝置圖案之一或多個參數,諸如幾何參數。特定言之,圖案化裝置之一或多個參數使得能夠根據例如應用各種OPC而對圖案化裝置圖案之佈局進行組態,諸如改變圖案化裝置特徵之一或多個尺寸(例如,將偏置應用於特徵之全部或部分)及/或應用輔助特徵(例如,SBAR、SRIF或SRAF)等。但,而非使用變形製造規則或變形製造規則比來在圖案化裝置位階處處置圖案化裝置圖案組態(例如,OPC),在下1×基板位階執行對是否在圖案化裝置圖案中應用特定OPC之評估。在一實施例中,1×基板位階下之評估係如根據OPC檢查及應用而在基板處產生之圖案化裝置圖案的評估,且接著根據適用變形放大率而將OPC按比例擴大至圖案化裝置圖案。因此,舉例而言,可根據製造規則而在基板位階處評估如基板上圖案化之圖案化裝置圖案特徵,以例如識別可運用基板位階座標處(例如,標示)之違反資料記錄的MRC違反,接著可將該等基板位階座標轉換成座標,使得MRC違反資 料可與圖案化裝置座標中之圖案化裝置位階處的特定位置相關聯。因此,在一實施例中,在如在基板位階下產生之1×目標設計佈局的基板位階下評估圖案化裝置圖案之最佳化,且使用變形製造規則或變形製造規則比來檢查最佳化,且接著基於變形放大率值而將所判定OPC校正按比例擴大成圖案化裝置圖案。
接著,在1630處,可基於模擬結果且結合類似於圖16之1530之微影製程的一或多個設計變數之組態而評估成本函數。在1640處,如圖16中之1540,評估成本函數之終止條件。若未達到終止條件,則重複1610、1620及1630,且再次執行1640之評估,直至達到終止條件為止。當達到終止條件時,在1650處輸出關於最佳化流程之資料,正如在圖16中之1550處。視情況,所報告資訊可包括針對基板座標指定之MRC違反資訊。
圖19呈現展示在圖案化裝置圖案之組態中包括投影光學件之遮蔽的效應可如何在改良自如此組態之圖案化裝置圖案產生之基板圖案上具有某一顯著效應的一些資料。在圖19之圖表中,豎軸係影像對數斜率(image log slope,ILS),其係對比度之量度。橫軸係某一定向類型之一組各種特徵,且各特徵按某一間距。特定言之,存在按20、30、40及50nm之間距具有豎直(V)定向之特徵、及按20、30、40及50nm之間距具有水平(H)定向之特徵。特徵之CD係10nm。具有對角線圖案之條對應於運用具有遮蔽之投影光學件模擬的資料,但未使用考量遮蔽之效應的模擬模型來對圖案化裝置圖案進行組態。如圖19中所見,用於例如V30、V50、H30及H50之ILS相當低,但甚至用於V40及H40之ILS亦受影響。具有水平圖案之條對應於運用具有遮蔽之投影光學件模擬的資料,但使用考量遮 蔽之效應的模擬模型來對圖案化裝置圖案進行組態(在此狀況下,僅使用中心遮蔽,同時考量跨越曝光窗口之遮蔽的變化)。如圖19中所見,ILS已顯著地增大幾乎所有各種特徵,除了首先歸因於遮蔽而對其ILS具有極少影響的H20及V20以外。因此,如由此資料展示,藉由在圖案化裝置圖案之組態中使用考量投影光學件之遮蔽之效應的模型,可針對數個具有挑戰性之間距而顯著地提高對比度。
在一實施例中,本文中之技術可適用於基於規則斑點之全晶片光學近接校正(OPC)流程。在此流程中,將全晶片圖案化裝置圖案分段成斑點,且本文中所描述之技術應用於各別斑點,該等各別斑點可裝配到一起以得到全晶片圖案化裝置圖案。
在一實施例中,本文中之技術可使得能夠正確地識別臨界特徵位置(例如,變形製造規則或變形製造規則比),且將量規轉換成正判定向以量化圖案化裝置特徵誤差且表徵MEEF。
在一實施例中,本文中之技術提供極紫外線微影高數值孔徑變形最佳化方法。
圖20展示SMO流程之一實施例。可係可選的第一部分係關於將一或多個SRAF施用至圖案化裝置圖案中,而第二部分係關於可在圖案化裝置圖案未補充有一或多個SRAF時或圖案化裝置圖案已在先前使用第一部分來補充有一或多個SRAF時使用之最佳化流程。
參考圖20,藉由短劃線展示第一可選部分。在此第一部分中,在1900處,共同最佳化自由形式照明形狀與圖案化裝置圖案之CTM版本。接著,在1905處,將SRAF置放於圖案化裝置圖案中,包括將圖案化裝置圖案之CTM版本轉換成多邊形。在1910處,調整所提取SRAF且進 行圖案化裝置圖案簡化。在1915處,使用具有一或多個SRAF之圖案化裝置圖案來執行僅圖案化裝置圖案最佳化。在1920處,執行無MRC自由形式照明模式形狀及自由形式多邊形圖案化裝置圖案最佳化(具有變形製造規則或變形製造規則比之最佳化)。在1925處,基於變形製造規則或變形製造規則比而清除SBAR,且作為最佳化之部分使SBAR適配到矩形中。接著在1930處,使用經清除SBAR之圖案化裝置圖案來執行聚焦及劑量最佳化。
在1935處,運用變形製造規則或變形製造規則比執行自由形式照明模式形狀及圖案化裝置圖案最佳化。在SRAF提取(例如,自1930)之後,基於目標設計或最佳化圖案化裝置圖案,圖案化裝置圖案可係初始圖案化裝置圖案。在1940處,至少對圖案化裝置圖案執行圖案化裝置散焦調諧。在1945處,對圖案化裝置圖案執行劑量及聚焦最佳化。在1950處,執行高NA(例如,大於約0.33)照明模式形狀顯現。接著在1955處,使用圖案化裝置圖案及所顯現照明模式形狀來執行劑量及聚焦最佳化。在1960處,針對一組離散鏡面而產生離散照明模式形狀,以產生照明模式形狀,且使用變形製造規則或變形製造規則比來執行圖案化裝置圖案最佳化。在1965處,產生霍普金斯模型,且在1970處,執行劑量最佳化。接著在1975處,運用變形製造規則或變形製造規則比執行僅圖案化裝置圖案最佳化。接著在1980處,輸出關於經圖案化裝置圖案及照明模式之資訊,且可產生最終模型。如熟習此項技術者將瞭解,可省略該等步驟中之一或多者、改變次序、以不同步驟取代等。
在一實施例中,提供一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影投影設備將一圖 案化裝置圖案之一部分成像至一基板上,該方法包含:獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件中之一遮蔽的一效應;及基於該模型且藉由一硬體電腦而對該圖案化裝置圖案之該部分進行組態。
在一實施例中,該模擬模型考量該遮蔽跨越由該微影投影設備產生之一曝光窗口的變化。在一實施例中,該組態進一步考量一變形製造規則或變形製造規則比。在一實施例中,該模型模型化由該投影光學件賦予至輻射之變形縮小率。在一實施例中,該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一構形。在一實施例中,該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一散焦。在一實施例中,該方法進一步包含對該圖案化裝置圖案進行組態,其中該圖案化裝置圖案之該部分之所有位置處的透射率不限於數個離散值。在一實施例中,該方法進一步包含基於該模型而對用以照明該圖案化裝置圖案之一照明模式的一參數進行組態。在一實施例中,該照明模式之該參數包含該微影製程之一光學劑量。在一實施例中,該方法進一步包含在該照明模式之一照明形狀能夠具有一自由形式形狀及/或一參數形狀之一條件下對該照明模式形狀進行組態。在一實施例中,該照明模式具有一自由形式形狀,且其進一步包含將該照明模式之該經組態自由形式形狀映射至一離散照明形狀。在一實施例中,該模型模型化經設計以投影極紫外線輻射之反射性投影光學件。在一實施例中,該投影光學件之一數值孔徑大於約0.33。在一實施例中,該對該圖案化裝置圖案之該部分進行組態包含將一或多個輔助特徵置放至該部分中。在一實施例中,該等輔助特徵包含一子解析度輔助特徵、一可印刷解析度輔助特徵或其一組合。在一實施例中, 該模型經組態以藉由使用一阿貝或霍浦金公式來計算一空中影像。
在一實施例中,提供一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影投影設備將一圖案化裝置圖案之一部分成像至一基板上,該方法包含:獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件對輻射之一變形縮小率;及基於該模型且藉由一硬體電腦而對該圖案化裝置圖案之該部分進行組態,同時考量一變形製造規則或變形製造規則比。
在一實施例中,該組態考量針對該圖案化裝置圖案之一平面上的不同方向而具有不同幾何限度或許可的一變形製造規則。在一實施例中,該組態考量與一同形製造規則組合使用之一變形製造規則比,該同形製造規則針對該圖案化裝置圖案之一平面上之所有方向指定相同幾何限度或許可。在一實施例中,該變形製造規則或變形製造規則比用以評估該圖案化裝置處之該圖案化裝置圖案,或用以評估如在一1×基板位階下產生之該圖案化裝置圖案。在一實施例中,該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一構形。在一實施例中,該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一散焦。在一實施例中,該方法進一步包含對該圖案化裝置圖案進行組態,其中該圖案化裝置圖案之該部分之所有位置處的透射率不限於數個離散值。在一實施例中,該方法進一步包含基於該模型而對用以照明該圖案化裝置圖案之一照明模式的一參數進行組態。在一實施例中,該照明模式之該參數包含該微影製程之一光學劑量。在一實施例中,該方法進一步包含在該照明模式之一照明形狀能夠具有一自由形式形狀及/或一參數形狀之一條件下對該照明模式形狀進行組態。在一實施例中,該照明模式具有一自由形式形狀, 且其進一步包含將該照明模式之該經組態自由形式形狀映射至一離散照明形狀。在一實施例中,該模型模型化經設計以投影極紫外線輻射之反射性投影光學件。在一實施例中,該投影光學件之一數值孔徑大於約0.33。在一實施例中,該對該圖案化裝置圖案之該部分進行組態包含將一或多個輔助特徵置放至該部分中。在一實施例中,該等輔助特徵包含一子解析度輔助特徵、一可印刷解析度輔助特徵或其一組合。在一實施例中,該模型經組態以藉由使用一阿貝或霍浦金公式來計算一空中影像。
圖21為說明可輔助實施本文中所揭示之最佳化方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之一處理器104(或多個處理器104及105)。電腦系統100亦包括主記憶體106,諸如隨機存取記憶體(random access memory,RAM)或其他動態儲存裝置,其耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令。主記憶體106在執行待由處理器104執行之指令期間亦可用於儲存臨時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令之唯讀記憶體(read only memory,ROM)108或其他靜態儲存裝置。提供儲存裝置110,諸如磁碟或光碟,且將其耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於向電腦使用者顯示資訊之顯示器112,諸如陰極射線管(cathode ray tube,CRT)或平板顯示器或觸控面板顯示器。包括文數字鍵及其他按鍵之輸入裝置114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入裝置為用於將方向資訊及命令選擇傳達至處理器104且用於控制 顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向鍵。此輸入裝置通常在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))上具有兩個自由度,其允許裝置指定平面中之位置。觸控面板(螢幕)顯示器亦可被用作輸入裝置。
根據一個實施例,最佳化製程之部分可回應於處理器104執行含於主記憶體106中之一或多個指令之一或多個序列而由電腦系統100執行。可自諸如儲存裝置110之另一電腦可讀媒體將此類指令讀取至主記憶體106中。含於主記憶體106中之指令序列的執行使得處理器104執行本文中所描述之製程步驟。呈多處理配置之一或多個處理器亦可用以執行含於主記憶體106中之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,實施例不限於硬體電路系統與軟體之任何特定組合。
如本文所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存裝置110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排102之電線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(radio frequency,RF)及紅外線(infrared,IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括例如軟性磁碟、可撓性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何 其他媒體。
各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器104以供執行。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線透射器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中攜載之資料且將該資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體擷取且執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存裝置110上。
電腦系統100亦可包括耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120之雙向資料通信耦接,該網路鏈路連接至區域網路122。舉例而言,通信介面118可係整合式服務數位網路(integrated services digital network,ISDN)卡或數據機以提供對對應類型之電話線之資料通信連接。作為另一實例,通信介面118可係區域網路(local area network,LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施方案中,通信介面118發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路將資料通信提供至其他資料裝置。舉例而言,網路鏈路120可經由區域網路122而向主機電腦124或向由網際網路服務提供者(Internet Service Provider,ISP)126操作之資料裝備提供連接。ISP 126繼而經由現通常被稱作「網際網路」128之全球封包資料通信網路提供資料通信服務。區域網路122及網際網路128 兩者皆使用攜載數位資料流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號為輸送資訊的例示性形式之載波,該等信號將數位資料攜載至電腦系統100且自其攜載數位資料。
電腦系統100可經由網路、網路鏈路120及通信介面118發送消息且接收資料,包括程式碼。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、區域網路122及通信介面118而透射用於應用程式之經請求程式碼。根據一或多個實施例,一個此類經下載應用程式提供例如實施例之照明最佳化。經接收碼可在其被接收時由處理器104執行,及/或儲存於儲存裝置110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。
圖22示意性地描繪包括以下各者之另一例示性微影投影設備LA:
-源收集器模組SO,其用以提供輻射。
-照明系統(照明器)IL,其經組態以調節來自源收集器模組SO之輻射光束B(例如,EUV輻射)。
-支撐結構(例如,光罩台)MT,其經建構以支撐圖案化裝置(例如,光罩或倍縮光罩)MA且連接至經組態以準確地定位圖案化裝置之第一***PM;
-基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以準確地定位該基板之第二***PW;及
-投影系統(例如,反射性投影系統)PS,其經組態以將由 圖案化裝置MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,設備LA屬於反射類型(例如,使用反射光罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化裝置可具有包含例如鉬與矽之多層堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生更小波長。因為大多數在EUV及波長下具吸收性,所以圖案化裝置構形上之經圖案化吸收材料的薄件(例如,多層反射器於頂部上的TaN吸收器)界定特徵將在何處打印(正型抗蝕劑)或不打印(負型抗蝕劑)。
參看圖22,照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但不一定限於運用EUV範圍內之一或多個發射譜線將材料轉換成具有至少一個元素之電漿狀態,該等元素例如是氙、鋰或錫。在一種此類方法(常常被稱為雷射產生電漿(「LPP」))中,可藉由運用雷射光束來輻照諸如具有譜線發射元素之材料小滴、串流或叢集之燃料而產生電漿。源收集器模組SO可係包括圖22中未展示之雷射之EUV輻射系統的部分,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射及源收集器模組可係分離實體。
在此類狀況下,雷射不被視為形成微影設備之部件,且輻射光束係憑藉包含例如適合引導鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,例如當輻射源係常常被稱為 DPP輻射源之放電產生電漿EUV產生器時,輻射源可係源收集器模組之整體部分。
照明器IL可包含用於調整輻射光束之角強度分佈的調整器。通常,可調節照明器之光瞳平面上之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面裝置及琢面化光瞳鏡面裝置。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於圖案化裝置(例如,光罩)MA上且由圖案化裝置圖案化,該圖案化裝置固持於支撐結構(例如,光罩台)MT上。在自圖案化裝置(例如,光罩)MA反射之後,輻射光束B通過投影系統PS,投影系統PS將輻射光束B聚焦至基板W之目標部分C上。藉助於第二***PW及位置感測器PS2(例如,干涉量測裝置、線性編碼器或電容式感測器),可準確地移動基板台WT,例如以便在輻射光束B之路徑中定位不同目標部分C。類似地,第一***PM及另一位置感測器PS1可用以相對於輻射光束B之路徑準確地定位圖案化裝置(例如,光罩)MA。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置(例如,光罩)MA及基板W。
可在以下模式中之至少一者下使用所描繪設備LA:
1.在步進模式下,使支撐結構(例如,光罩台)MT及基板台WT保持基本上靜止,同時將賦予至輻射光束之整個圖案一次性投影至目標部分C上(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。
2.在掃描模式下,在將賦予至輻射光束之圖案投影至目標 部分C上時,同步地掃描支撐結構(例如,光罩台)MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之(縮小率)放大率及影像反轉特性測定基板台WT相對於支撐結構(例如,光罩台)MT之速度及方向。
3.在另一模式下,使支撐結構(例如,光罩台)MT保持基本上靜止,同時固持可程式化圖案化裝置,且在將賦予至輻射光束之圖案投影至目標部分C上時移動或掃描基板台WT。在此模式下,通常使用脈衝式輻射源,且在每次移動基板台WT之後或在掃描期間的連續輻射脈衝之間視需要更新可程式化圖案化裝置。此操作模式可易於應用於利用諸如上文所提及之類型的可程式化鏡面陣列之可程式化圖案化裝置的無光罩微影。
圖23更詳細地展示設備LA,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置以使得可將真空環境維持於源收集器模組SO之封閉結構220中。可藉由放電產生電漿輻射源形成EUV輻射發射電漿210。可藉由氣體或蒸汽(例如,氙氣體、鋰蒸汽或錫蒸汽)而產生EUV輻射,其中產生極熱電漿210以發射在電磁光譜的EUV範圍內之輻射。舉例而言,藉由引起至少部分離子化電漿之放電來產生極熱電漿210。為了輻射之有效率產生,可要求為例如10Pa之分壓之氙、鋰、錫蒸汽或任何其他適合氣體或蒸汽。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的視情況選用的氣體障壁或污染物截留器230(在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體 障壁,或氣體障壁與通道結構之組合。如在此項技術中已知,本文中進一步所指示之污染物截留器或污染物障壁230至少包括通道結構。
收集器腔室211可包括可係所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可由光柵光譜濾光器240反射,該光柵光譜濾光器待沿著由點虛線「O」指示之光軸而聚焦在虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面裝置22及琢面化光瞳鏡面裝置24,該等裝經配置以提供在圖案化裝置MA處的輻射光束21之所要角分佈,以及在圖案化裝置MA處的輻射強度之所要均一性。在由支撐結構MT固持之圖案化裝置MA處反射輻射光束21後,隨即形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而成像至由基板台WT固持之基板W上。
比所展示之元件更多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影設備之類型,可視情況存在光柵光譜濾光器240。另外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖23所展示之反射元件多1至6個的額外反射元件。
如圖23所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢狀收集器,僅作為收集器(或收集器鏡面)之一實例。掠入射反射器253、254及255圍繞光軸O軸向對稱地安置,且此類型之收集器光學件CO合乎需要地與放電產生電漿輻射源組合使用。
替代地,源收集器模組SO可係如圖24所展示之LPP輻射系統之部分。雷射LAS經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特的電子溫度之高度離子化電漿210。在此等離子之去激發及再結合期間產生之高能輻射係自電漿發射、由近正入射收集器光學件CO收集,且聚焦至圍封結構220中之開口221上。
可以使用以下條項來進一步描述實施例:
1.一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影設備將一圖案化裝置圖案之一部分成像至一基板上,該方法包含:獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件中之一遮蔽的一效應;及基於該模型且藉由一硬體電腦而對該圖案化裝置圖案之該部分進行組態。
2.如條項1之方法,其中該模擬模型考量該遮蔽跨越由該微影設備產生之一曝光窗口的變化。
3.如條項1或條項2之方法,其中該組態進一步考量一變形製造規則或變形製造規則比。
4.如條項1至3中任一項之方法,其中該模型模型化由該投影光學件賦予至輻射之變形縮小率。
5.如條項1至4中任一項之方法,其中該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一構形。
6.如條項1至5中任一項之方法,其中該模型進一步考量該圖案化 裝置圖案經組態所針對之一圖案化裝置的一散焦。
7.如條項1至6中任一項之方法,其進一步包含對該圖案化裝置圖案進行組態,其中該圖案化裝置圖案之該部分之所有位置處的透射率不限於數個離散值。
8.如條項1至7中任一項之方法,其進一步包含基於該模型而對用以照明該圖案化裝置圖案之一照明模式的一參數進行組態。
9.如條項8之方法,其中該照明模式之該參數包含該微影製程之一光學劑量。
10.如條項8或條項9之方法,其進一步包含在該照明模式之一照明形狀能夠具有一自由形式形狀及/或一參數形狀之一條件下對該照明模式形狀進行組態。
11.如條項10之方法,其中該照明模式形狀具有一自由形式形狀,且其進一步包含將該照明模式之該經組態自由形式形狀映射至一離散照明形狀。
12.如條項1至11中任一項之方法,其中該模型模型化經設計以投影極紫外線輻射之反射性投影光學件。
13.如條項1至12中任一項之方法,其中該投影光學件之一數值孔徑大於約0.33。
14.如條項1至13中任一項之方法,其中該對該圖案化裝置圖案之該部分進行組態包含將一或多個輔助特徵置放至該部分中。
15.如條項14之方法,其中該等輔助特徵包含一子解析度輔助特徵、一可印刷解析度輔助特徵或其一組合。
16.如條項1至15中任一項之方法,其中該模型經組態以藉由使用 一阿貝或霍浦金公式來計算一空中影像。
17.一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影投影件將一圖案化裝置圖案之一部分成像至一基板上,該方法包含:獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件對輻射之一變形縮小率;及基於該模型且藉由一硬體電腦而對該圖案化裝置圖案之該部分進行組態,同時考量一變形製造規則或變形製造規則比。
18.如條項17之方法,其中該組態考量針對該圖案化裝置圖案之一平面上的不同方向而具有不同幾何限度或許可的一變形製造規則。
19.如條項17或條項18之方法,其中該組態考量與一同形製造規則組合使用之一變形製造規則比,該同形製造規則針對該圖案化裝置圖案之一平面上之所有方向指定相同幾何限度或許可。
20.如條項17至19中任一項之方法,其中該變形製造規則或變形製造規則比用以評估該圖案化裝置處之該圖案化裝置圖案,或用以評估如在一1×基板位階下產生之該圖案化裝置圖案。
21.如條項17至20中任一項之方法,其中該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一構形。
22.如條項17至21中任一項之方法,其中該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一散焦。
23.如條項17至22中任一項之方法,其進一步包含對該圖案化裝置圖案進行組態,其中該圖案化裝置圖案之該部分之所有位置處的透射率不限於數個離散值。
24.如條項17至23中任一項之方法,其進一步包含基於該模型而對用以照明該圖案化裝置圖案之一照明模式的一參數進行組態。
25.如條項24之方法,其中該照明模式之該參數包含該微影製程之一光學劑量。
26.如條項24或條項25之方法,其進一步包含在該照明模式之一照明形狀能夠具有一自由形式形狀及/或一參數形狀之一條件下對該照明模式形狀進行組態。
27.如條項26之方法,其中該照明模式形狀具有一自由形式形狀,且其進一步包含將該照明模式之該經組態自由形式形狀映射至一離散照明形狀。
28.如條項17至27中任一項之方法,其中該模型模型化經設計以投影極紫外線輻射之反射性投影光學件。
29.如條項17至28中任一項之方法,其中該投影光學件之一數值孔徑大於約0.33。
30.如條項17至29中任一項之方法,其中該對該圖案化裝置圖案之該部分進行組態包含將一或多個輔助特徵置放至該部分中。
31.如條項30之方法,其中該等輔助特徵包含一子解析度輔助特徵、一可印刷解析度輔助特徵或其一組合。
32.如條項17至31中任一項之方法,其中該模型經組態以藉由使用一阿貝或霍浦金公式來計算一空中影像。
33.一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影投影件將一圖案化裝置圖案之一部分成像至一基板上,該方法包含: 獲得該投影光學件之一貫穿曝光窗口遮蔽形狀;至少部分地基於任何遮蔽幾何結構形狀而針對該投影光學件之複數個曝光窗口位置中之每一者來判定該圖案化裝置圖案之一經調整部分。
34.如條項33之方法,其進一步包含至少部分地基於任何遮蔽幾何結構形狀而針對該投影光學件之複數個曝光窗口位置中之每一者來判定一照明模式形狀。
35.如條項33或條項34之方法,其中判定該圖案化裝置圖案之該經調整部分包含對一主要特徵進行一改變,及/或對該圖案化裝置圖案之一輔助特徵進行一添加或改變。
36.一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影投影件將一圖案化裝置圖案之一部分成像至一基板上,該方法包含:獲得該投影光學件之一貫穿曝光窗口遮蔽形狀;包含至少部分地基於任何遮蔽幾何結構形狀而針對該投影光學件之複數個曝光窗口位置中之每一者來判定一給定圖案化裝置圖案之部分的一照明模式形狀。
37.一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如條項1至36中任一項之方法。
本文所揭示之概念可模擬或數學上模型化用於成像子波長特徵之任何通用成像系統,且可尤其供能夠產生具有愈來愈小之大小之波長的新興成像技術。已經在使用中之新興技術包括能夠藉由使用ArF雷射來產生193nm波長且甚至能夠藉由使用氟雷射來產生157nm波長之極紫 外線(extreme ultra violet,EUV)微影。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20nm至5nm之範圍內之波長,以便產生在此範圍內之光子。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上的成像,但應理解,所揭示之概念可與任何類型之微影成像系統一起使用,例如,用於在不同於矽晶圓的基板上之成像的微影成像系統。
儘管可在本文中特定地參考在IC之製造中的實施例之使用,但應理解,本文實施例可具有許多其他可能應用。舉例而言,其可用於製造整合式光學系統、用於磁域記憶體之導引及偵測圖案、液晶顯示器(liquid-crystal display,LCD)、薄膜磁頭、微機械系統(micromechanical system,MEM)等。熟悉此項技術者將瞭解,在此類替代性應用之上下文中,在本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用可被視為分別與更一般術語「圖案化裝置」、「基板」或「目標部分」同義或可與其互換。可在曝光之前或之後在例如塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)或度量衡或檢測工具中處理本文所提及之基板。在適用情況下,可將本文中之揭示內容應用於此等及其他基板處理工具。此外,可將基板處理多於一次,例如以便產生多層IC,使得本文所使用之術語「基板」亦可指已經含有多個經處理層之基板。
在發明文件中,如本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外輻射(例如,具有365、248、193、157或126nm之波長)及極紫外線(EUV)輻射(例如,具有介於5至20nm的範圍內的波長),以及粒子束,諸如離子束或電子束。
如本文所使用之術語「最佳化(optimizing/optimization)」 指代或意謂調整圖案化設備(例如,微影設備)、圖案化製程等,使得結果及/或製程具有更合乎需要之特性,諸如基板上之設計圖案之更高投影準確性、更大製程窗等。因此,如本文所使用之術語「最佳化」係指或意謂識別用於一或多個參數之一或多個值的製程,該一或多個值相比於用於彼等一或多個參數之一或多個值之一初始集合提供至少一相關度量之改良,例如,局域最佳。應相應地解釋「最佳」及其他相關術語。在一實施例中,最佳化步驟可反覆應用,以提供一或多個度量之進一步改良。
本發明之態樣可以任何方便形式予以實施。舉例而言,可藉由一或多個適當電腦程式來實施實施例,該一或多個適當電腦程式可在可係有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上進行。可使用可特定採取可程式化電腦之形式的適合設備來實施本發明之實施例,該可程式化電腦執行經配置以實施如本文所描述之方法之電腦程式。
在方塊圖中,所說明組件被描繪為離散功能區塊,但實施例不限於本文中所描述之功能性如所說明來組織之系統。由組件中之每一者提供之功能性可由軟體或硬體模組提供,該等模組以與目前所描繪之方式不同之方式組織,例如,可摻和、結合、複寫、解散、分配(例如,在資料中心內或按地區),或另外以不同方式組織此軟體或硬體。本文中所描述之功能性可由執行儲存於有形非暫時性機器可讀媒體上之程式碼之一或多個電腦的一或多個處理器提供。在一些狀況下,第三方內容遞送網路可主控經由網路傳達之資訊中的一些或全部,在此狀況下,在據稱供應或以另外方式提供資訊(例如,內容)之情況下,可藉由發送指令以自內容遞送網路擷取彼資訊提供該資訊。
除非另外具體地陳述,否則如自論述顯而易見,應瞭解,貫穿本說明書,利用諸如「處理」、「計算(computing/calculating)」、「判定」等之術語的論述係指諸如專用電腦或相似專用電子處理/計算裝置之特定設備的動作或製程。
讀者應瞭解,本申請案描述若干發明。此等發明已經分組成單一文件,而非將彼等發明分離成多個單獨的專利申請案,此係因為該等發明之相關主題在應用製程中有助於經濟發展。但不應合併此等發明之相異優點及態樣。在一些狀況下,實施例解決本文中所提到之所有不足,但應理解,該等發明係獨立地有用,且一些實施例僅解決此等問題之子集或供應其他未經提及之益處,該等益處對於檢閱本發明之熟習此項技術者將顯而易見。歸因於成本約束,目前可不主張本文中所揭示之一些發明,且可在諸如接續申請案或藉由修正本技術方案之稍後申請案中主張該等發明。類似地,歸因於空間限制,本發明文件之發明摘要及發明內容章節皆不應被視為含有所有此等發明之全面清單或此等發明之所有態樣。
應理解,描述及圖式並不意欲將本發明限於所揭示之特定形式,而正相反,本發明意欲涵蓋屬於如由所附申請專利範圍所限定之本發明之精神及範疇內的所有修改、等效物及替代方案。
鑒於本說明書,本發明之各種態樣之修改及替代實施例對於熟習此項技術者而言將顯而易見。因此,本說明書及圖式應被理解為僅為說明性的且係出於教示熟習此項技術者執行本發明之一般方式之目的。應理解,本文中所展示且描述之本發明之形式應視為實施例之實例。元件及材料可替代本文中所說明及描述之元件及材料,部分及製程可被反轉或被省略,可獨立利用某些特徵,且可組合實施例或實施例之特徵,此皆如 對熟習此項技術者在獲得本說明書之益處之後將顯而易見的。可在不脫離如在以下申請專利範圍中所描述之本發明之精神及範疇的情況下對本文中所描述之元件作出改變。本文中所使用之標題僅為達成組織性目的,且不意欲用以限制本說明書之範疇。
如貫穿本申請案所使用,詞「可」係在許可之意義(亦即,意謂有可能)而非強制性之意義(亦即,意謂必須)下予以使用。詞「包括(include/including/includes)」及其類似者意謂包括但不限於。如貫穿本申請案所使用,除非內容另有明確地指示,否則單數形式「a/an/the」包括複數個參照物。因此,舉例而言,對「元件(an element/a element)」之參考包括兩個或多於兩個元件之組合,儘管會針對一或多個元件使用其他術語及短語,諸如「一或多個」。術語「或」除非另外指明,否則係非排他性的,即,涵蓋「及」與「或」兩者。描述條件關係之術語,例如,「回應於X,而Y」、「在X後,即Y」、「若X,則Y」、「當X時,Y」等涵蓋因果關係,其中前提為必要的因果條件,前期為充分的因果條件,或前期為結果的貢獻因果條件,例如,「在條件Y獲得後,即出現狀態X」對於「僅在Y後,才出現X」及「在Y及Z後,即出現X」係通用的。此等條件關係不限於即刻遵循前提而獲得之結果,此係由於可延遲一些結果,且在條件陳述中,前提連接至其結果,例如,前提係與出現結果之可能性相關。除非另有指示,否則複數個特質或功能經映射至複數個物件(例如,執行步驟A、B、C及D之一或多個處理器)之陳述涵蓋所有此等特質或功能經映射至所有此等物件及特質或功能之子集經映射至特質或功能之子集兩者(例如,所有處理器各自執行步驟A至D,及其中處理器1執行步驟A,處理器2執行步驟B及步驟C之一部分,且處理器3執行步驟C之一部分 及步驟D之狀況)。另外,除非另外指示,否則一個值或動作係「基於」另一條件或值之陳述涵蓋條件或值為單獨因子之情況及條件或值為複數個因子當中之一個因子之情況兩者。除非另有指示,否則一些集合之「各」個例具有某一屬性的陳述不應被理解為排除更大集合中之一些另外相同或類似成員不具有該屬性的狀況,亦即,各不一定意味著每一及每個。對自範圍選擇之參考包括範圍之端點。
在以上描述中,流程圖中之任何製程、描述或區塊應理解為表示程式碼之模組、區段或部分,其包括用於實施該製程中之特定的邏輯功能或步驟之一或多個可執行指令,且替代實施包括於本發明之例示性實施例之範疇內,其中功能可取決於所涉及之功能性不按照所展示或論述之次序執行,包括實質上同時或以相反次序執行,如熟習此項技術者將理解。
雖然已描述某些實施例,但此等實施例僅藉助於實例來呈現,且並不意欲限制本發明之範疇。實際上,本文中所描述之新穎方法、設備及系統可以多種其他形式實施;此外,在不背離本發明精神之情況下,可對本文中所描述之方法、設備及系統的形式進行各種省略、替代及改變。隨附申請專利範圍及其等效物意欲涵蓋將屬於本發明之範疇及精神內的此類形式或修改。
610‧‧‧步驟
620‧‧‧可選步驟
630‧‧‧可選步驟
640‧‧‧可選步驟
650‧‧‧步驟
660‧‧‧步驟
670‧‧‧可選步驟

Claims (15)

  1. 一種用以改良一微影製程的方法,該微影製程用於使用包含一照明系統及投影光學件之一微影設備將一圖案化裝置圖案之一部分成像至一基板上,該方法包含: 獲得模型化該投影光學件對輻射之投影的一模擬模型,其中該模擬模型模型化該投影光學件中之一遮蔽的一效應;及 基於該模型且藉由一硬體電腦而對該圖案化裝置圖案之該部分進行組態。
  2. 如請求項1之方法,其中該模擬模型考量該遮蔽跨越由該微影設備產生之一曝光窗口的變化。
  3. 如請求項1之方法,其中該組態進一步考量一變形製造規則或變形製造規則比。
  4. 如請求項1之方法,其中該模型模型化由該投影光學件賦予至輻射之變形縮小率。
  5. 如請求項1之方法,其中該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一構形。
  6. 如請求項1之方法,其中該模型進一步考量該圖案化裝置圖案經組態所針對之一圖案化裝置的一散焦。
  7. 如請求項1之方法,其進一步包含對該圖案化裝置圖案進行組態,其中該圖案化裝置圖案之該部分之所有位置處的透射率不限於數個離散值。
  8. 如請求項1之方法,其進一步包含基於該模型而對用以照明該圖案化裝置圖案之一照明模式的一參數進行組態。
  9. 如請求項8之方法,其中該照明模式之該參數包含該微影製程之一光學劑量。
  10. 如請求項9之方法,其進一步包含在該照明模式之一照明形狀能夠具有一自由形式形狀及/或一參數形狀之一條件下對照明模式形狀進行組態,及/或 其中該照明模式形狀具有一自由形式形狀,且其進一步包含將該照明模式之經組態自由形式形狀映射至一離散照明形狀。
  11. 如請求項1之方法,其中該模型模型化經設計以投影極紫外線輻射之反射性投影光學件。
  12. 如請求項1之方法,其中該投影光學件之一數值孔徑大於約0.33。
  13. 如請求項1之方法,其中該對該圖案化裝置圖案之該部分進行組態包含:將一或多個輔助特徵置放至該部分中。
  14. 如請求項13之方法,其中該等輔助特徵包含一子解析度輔助特徵、一可印刷解析度輔助特徵或其一組合。
  15. 如請求項1之方法,其中該模型經組態以藉由使用一阿貝或霍浦金公式來計算一空中影像。
TW107135513A 2017-10-11 2018-10-09 圖案化製程之最佳化流程 TWI723292B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762571208P 2017-10-11 2017-10-11
US62/571,208 2017-10-11

Publications (2)

Publication Number Publication Date
TW201928504A TW201928504A (zh) 2019-07-16
TWI723292B true TWI723292B (zh) 2021-04-01

Family

ID=63878637

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107135513A TWI723292B (zh) 2017-10-11 2018-10-09 圖案化製程之最佳化流程
TW110107348A TWI803834B (zh) 2017-10-11 2018-10-09 圖案化製程之最佳化流程

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110107348A TWI803834B (zh) 2017-10-11 2018-10-09 圖案化製程之最佳化流程

Country Status (5)

Country Link
US (3) US11137690B2 (zh)
KR (2) KR102440220B1 (zh)
CN (2) CN118169959A (zh)
TW (2) TWI723292B (zh)
WO (1) WO2019072703A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102440220B1 (ko) * 2017-10-11 2022-09-06 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
CN114503035B (zh) * 2019-08-08 2024-07-26 Asml荷兰有限公司 用于光刻成像的方法和设备
CN111543959B (zh) * 2020-06-11 2021-05-04 中国科学院长春光学精密机械与物理研究所 相干层析***及其参考波前校正方法、装置及设备
CN112735539B (zh) * 2021-01-18 2024-04-16 中国科学院生态环境研究中心 基于复合受体模型的污染场地特征污染物的源解析方法
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
CN113168086A (zh) * 2021-03-19 2021-07-23 长江存储科技有限责任公司 用于设计光掩模的***和方法
WO2023222368A1 (en) * 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102323722A (zh) * 2011-09-09 2012-01-18 北京理工大学 基于Abbe矢量成像模型获取掩膜空间像的方法
CN103163727A (zh) * 2011-12-12 2013-06-19 无锡华润上华科技有限公司 一种掩膜图案的修正方法
WO2017067755A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
TW201729008A (zh) * 2016-02-10 2017-08-16 台灣積體電路製造股份有限公司 極紫光微影製程方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0652704B2 (ja) * 1984-08-24 1994-07-06 株式会社ニコン 投影露光方法及び装置
JPH02157844A (ja) * 1988-12-12 1990-06-18 Nikon Corp 露光条件測定用マスク並びに該マスクを用いた露光条件測定方法及び装置
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP3962581B2 (ja) 2001-11-27 2007-08-22 キヤノン株式会社 露光方法及びデバイス製造方法
EP1870772B1 (en) * 2002-03-18 2013-10-23 ASML Netherlands B.V. Lithographic apparatus
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN102662309B (zh) 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证***和方法
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7307690B1 (en) 2006-12-21 2007-12-11 Asml Netherlands B.V. Device manufacturing method, computer program product and lithographic apparatus
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP2009204823A (ja) * 2008-02-27 2009-09-10 Toshiba Corp シミュレーション方法及びシミュレーション用のプログラム
US8584056B2 (en) 2008-11-21 2013-11-12 Asml Netherlands B.V. Fast freeform source and mask co-optimization method
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
NL2004655A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic apparatus and method.
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
EP2579100A3 (en) * 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
DE102012202536A1 (de) * 2012-02-20 2013-08-22 Carl Zeiss Smt Gmbh Projektionsbelichtungsverfahren und Projektionsbelichtungsanlage für die Mikrolithographie
CN105008997B (zh) 2013-02-25 2017-03-08 Asml荷兰有限公司 离散源掩模优化
CN106164777B (zh) * 2014-04-14 2019-06-18 Asml荷兰有限公司 光刻过程的优化流程
DE102014218474A1 (de) * 2014-09-15 2016-03-17 Carl Zeiss Smt Gmbh Projektionsobjektiv, Projektionsbelichtungsanlage und Projektionsbelichtungsverfahren für die EUV-Mikrolithographie
KR102193687B1 (ko) * 2014-09-15 2020-12-21 삼성전자주식회사 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법
WO2017029383A1 (de) * 2015-08-20 2017-02-23 Carl Zeiss Smt Gmbh Euv-lithographieanlage und verfahren
US11099483B2 (en) * 2016-05-19 2021-08-24 Nikon Corporation Euv lithography system for dense line patterning
KR102440220B1 (ko) * 2017-10-11 2022-09-06 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102323722A (zh) * 2011-09-09 2012-01-18 北京理工大学 基于Abbe矢量成像模型获取掩膜空间像的方法
CN103163727A (zh) * 2011-12-12 2013-06-19 无锡华润上华科技有限公司 一种掩膜图案的修正方法
WO2017067755A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
TW201729008A (zh) * 2016-02-10 2017-08-16 台灣積體電路製造股份有限公司 極紫光微影製程方法

Also Published As

Publication number Publication date
CN111213090B (zh) 2024-04-09
TW201928504A (zh) 2019-07-16
US11480882B2 (en) 2022-10-25
KR102440220B1 (ko) 2022-09-06
US11137690B2 (en) 2021-10-05
KR102516045B1 (ko) 2023-03-30
TWI803834B (zh) 2023-06-01
TW202131089A (zh) 2021-08-16
WO2019072703A1 (en) 2019-04-18
CN111213090A (zh) 2020-05-29
US20220011674A1 (en) 2022-01-13
KR20220124829A (ko) 2022-09-14
US11886124B2 (en) 2024-01-30
KR20200049855A (ko) 2020-05-08
US20230047402A1 (en) 2023-02-16
US20200257204A1 (en) 2020-08-13
CN118169959A (zh) 2024-06-11

Similar Documents

Publication Publication Date Title
US10955755B2 (en) Optimization of assist features and source
US20220179321A1 (en) Method for determining pattern in a patterning process
TWI723292B (zh) 圖案化製程之最佳化流程
CN107430347B (zh) 图像对数斜率(ils)优化
TWI806002B (zh) 用於判定遮罩圖案及訓練機器學習模型之非暫時性電腦可讀媒體
CN113454532A (zh) 训练机器学习模型以确定掩模的光学邻近效应校正的方法
TW201539226A (zh) 用於微影程序之最佳化流程
CN107111237B (zh) 辅助特征的基于规则的部署
TWI698719B (zh) 涉及光學像差之圖案化製程改良
KR20200072474A (ko) 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
US20240126183A1 (en) Method for rule-based retargeting of target pattern
US20230393458A1 (en) Method for generating mask pattern
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TWI732402B (zh) 用於判定圖案化製程之光學特性之分量的方法及相關聯電腦程式產品
US20230333483A1 (en) Optimization of scanner throughput and imaging quality for a patterning process