TWI834662B - 用於檢測陳化製程的終點的方法與設備 - Google Patents

用於檢測陳化製程的終點的方法與設備 Download PDF

Info

Publication number
TWI834662B
TWI834662B TW108115974A TW108115974A TWI834662B TW I834662 B TWI834662 B TW I834662B TW 108115974 A TW108115974 A TW 108115974A TW 108115974 A TW108115974 A TW 108115974A TW I834662 B TWI834662 B TW I834662B
Authority
TW
Taiwan
Prior art keywords
aging
substrates
parameter values
substrate
sensors
Prior art date
Application number
TW108115974A
Other languages
English (en)
Other versions
TW202004937A (zh
Inventor
蘇布拉馬尼亞姆文卡塔瑞瑪 康米賽堤
萊恩 佩茲
沙希延德拉 甘塔薩拉
立明 張
艾達 敦塞爾
夏恩 史密斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202004937A publication Critical patent/TW202004937A/zh
Application granted granted Critical
Publication of TWI834662B publication Critical patent/TWI834662B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • Business, Economics & Management (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Human Resources & Organizations (AREA)
  • Economics (AREA)
  • Theoretical Computer Science (AREA)
  • Strategic Management (AREA)
  • Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Medical Informatics (AREA)
  • Evolutionary Computation (AREA)
  • Tourism & Hospitality (AREA)
  • General Business, Economics & Management (AREA)
  • Development Economics (AREA)
  • Educational Administration (AREA)
  • Game Theory and Decision Science (AREA)
  • Operations Research (AREA)
  • Marketing (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本案提供一種用於在製程腔室中檢測陳化製程之終點的方法,該方法包括以下步驟:獲得指示第一複數個基板中之每一基板的陳化製程的進度的陳化進度資料,以及從設置在製程腔室中之複數個感測器收集歷史參數值。相對於第一複數個基板中之特定基板的複數個參數值,歸一化第一複數個基板中之每一基板的歷史參數值。藉由將一係數集應用於第一複數個基板中之每一基板的歸一化參數值來生成MVA模型,及基於陳化進度資料迴歸該係數集。使用MVA模型決定陳化製程之終點,該MVA模型具有當對第二複數個基板中之每個基板執行陳化製程時量測的複數個實質即時參數值。

Description

用於檢測陳化製程的終點的方法與設備
本申請係關於用於在製程腔室中檢測陳化製程之終點的方法及設備。
半導體元件製造包括一系列製程,其根據電路設計在半導體(例如,矽基板)中產生電路。在製程腔室(諸如化學氣相沉積(chemical vapor deposition; CVD)腔室)中執行許多製造製程,諸如沉積或蝕刻。製程腔室之高效、非損害清潔對製程之平穩操作以及改進之元件良率及較好產品效能很重要。因而,需要週期性腔室維護來改進腔室之效能,以產生高品質半導體元件。
在此種腔室維護之後,需要陳化製程在繼續生產製程之前恢復適於基板製造製程的腔室條件。由於在製程腔室中執行製程,材料逐步沉積於腔室之內表面上。這可導致製程變量之偏移,直到整個內表面上沉積有材料。因而,需要一種方法以檢測陳化製程之終點以識別何時停止陳化製程及生產製程何時可在製程腔室中繼續。
本揭示案提供用於在製程腔室中檢測陳化製程之終點的方法及設備。
在一個實施例中,一種在製程腔室中檢測陳化製程之終點的設備,可以包括:製程腔室;耦接至製程腔室之一組感測器;至少一個儲存器,經配置以儲存指示第一複數個基板中之每一基板的過去陳化製程之進度的陳化資料;及歷史參數值,在對第一複數個基板中之每一基板執行的過去陳化製程期間由從該組感測器選出之複數個感測器量測。設備可進一步包括一控制器,該控制器經配置以相對於第一複數個基板中之特定基板的複數個參數值歸一化第一複數個基板中之每一基板的歷史參數值。控制器亦可經配置以藉由將一係數集應用於第一複數個基板中之每一基板的歸一化歷史參數值來生成多變數分析(multi-variate analysis;MVA)模型,及藉由對邏輯方程之輸出值執行迴歸操作而決定該係數集。邏輯方程之輸入為具有第一複數個基板中之每一基板的歸一化歷史參數值的MVA模型之輸出。控制器可進一步經配置以當處理第二複數個基板時從複數個感測器接收複數個實質即時參數值,以及使用具有複數個實質即時參數值之MVA模型決定陳化製程之終點。
在另一個實施例中,一種在製程腔室中檢測陳化製程之終點的方法,可以包括以下步驟:獲得指示第一複數個基板之每一基板的過去陳化製程之進度的陳化進度資料;在對第一複數個基板中之每一基板執行的過去陳化製程期間,獲得由從耦接至製程腔室之一組感測器中選出之複數個感測器量測的歷史參數值。方法亦可包括以下步驟:相對於第一複數個基板中之特定基板的複數個參數值,歸一化第一複數個基板中之每一基板的歷史參數值;藉由將一係數集應用於第一複數個基板中之每一基板的歸一化歷史參數值,來生成多變數分析(multi-variate analysis;MVA)模型;以及藉由對邏輯方程之輸出執行迴歸操作而決定該係數集。邏輯方程之輸入為具有第一複數個基板中之每一基板的歸一化歷史參數值的MVA模型的輸出。方法可進一步包括以下步驟:當處理第二複數個基板中之每一基板時從複數個感測器接收複數個實質即時參數值,以及使用具有複數個實質即時參數值之MVA模型決定陳化製程之終點。
在又一實施例中,提供了一種用於檢測陳化製程之終點的方法。方法包括獲得指示第一複數個基板中之每一基板的陳化製程的進度的陳化進度資料。方法亦可包括獲得由從耦接至製程腔室之複數個感測器的子集量測的歷史參數值,該複數個感測器中之每個感測器當處理第一複數個基板中之每一基板時量測陳化製程之參數。方法亦可包括相對於與第一複數個基板中之特定基板關聯的歷史參數值子集,歸一化該等歷史參數值。方法亦可包括相對於與完成陳化製程關聯之陳化進度資料,歸一化第一複數個基板中之每一基板的陳化進度資料。方法亦可包括藉由將係數應用於第一複數個基板中之每個歸一化歷史參數值來生成多變數分析(multi-variate analysis;MVA)模型,其中藉由對邏輯方程之複數個輸出執行迴歸操作來決定該等係數,其中邏輯方程之輸入為MVA模型之輸出。方法亦可包括當處理第二複數個基板中之每一基板時從感測器子集接收複數個實質即時參數值。方法亦可包括使用具有複數個實質即時參數值之MVA模型來決定陳化製程之終點。
1 為根據本揭示案之一個態樣的製程腔室100之示意剖面圖。製程腔室100包括腔室主體111、介電質頂112、化學物輸送模組121、第一RF匹配122、第二RF匹配124、及複數個感測器131至138。
介電質頂112鄰近於噴頭組件106。製程體積109由腔室主體111與介電質頂112至少部分地限定。基板支撐件108設置於製程體積109中。噴頭組件106設置於與基板支撐件108相對之製程體積109中。噴頭組件106包括多個處理氣體通道,包括第一氣體通道106A及第二氣體通道106B。一或多種製程氣體獨立地經由第一氣體通道106A與第二氣體通道106B輸送至製程體積109。經由噴頭形成之一或多個開口(未圖示)將第一氣體通道106A及第二氣體通道106B流體連接至製程體積109。
化學物輸送模組121將各種製程氣體從一或多個氣體源(未圖示)輸送至第一製程氣體通道106A及第二製程氣體通道106B。各種製程氣體可包括用於沉積製程或蝕刻製程之前驅物氣體、載氣、及/或淨化氣體。
第一RF匹配電路122耦接至例如12.56 MHz之射頻(Radio Frequency;RF)功率源123,及將RF(或AC)功率供應至感應線圈110以激發製程體積109中之製程氣體且在其中生成高密度電漿。第二RF匹配電路124耦接至例如13.56MHz之偏置功率源125,及將RF(或AC)功率供應至設置於基板支撐件108中之陰極126。第二RF匹配電路124控制輸送至設置於基板支撐件108上之基板107的離子轟擊能量。在一些實施例中,基板107為用於半導體元件製造的矽基板。
在一些實施例中,陰極126為嵌入基板支撐件108內之靜電卡盤(未圖示)的部分。在蝕刻製程期間,使用氣體(諸如氦氣)熱控制靜電卡盤以冷卻靜電卡盤及基板107。供應至感應線圈110及陰極126之RF(或AC)功率可根據特定應用而變。
複數個感測器131至138可包括電壓及/或電流探針(或VI探針)、光學感測器、溫度感測器、壓力感測器、氣體感測器、及位於製程腔室100中之適當位置的其他感測器之一或多者。感測器131至138量測關於製程腔室100之操作的電氣、機械及/或化學參數。
例如,感測器131為用於感測感應線圈110處之電壓及/或電流的VI探針。感測器132及感測器133為量測第一氣體通道106A及第二氣體通道106B中之每一者內的流動速率的流量感測器。感測器134為用於檢測複數個光譜帶的光譜計以量測電漿或基板107之溫度。感測器135為用於量測製程腔室100中之壓力的壓力感測器。感測器136為用於量測製程腔室100內之溫度的溫度感測器。感測器137為用於量測製程體積109中氣體濃度(或密度)之氣體感測器。在可與上述一或多個實施例組合之一個實施例中,感測器137為用於量測製程腔室100中之氧氣濃度的氧氣感測器。基於氧氣之量測濃度可計算氧氣隨時間之調整。感測器138為用於量測陰極126處之電壓及/或電流的另一VI探針。許多其他感測器可配置在製程腔室100中之適當位置以量測在其操作期間識別製程腔室100之狀態所需要的參數。
製程腔室100包括用以在電漿處理期間控制製程腔室100之態樣的控制器105。控制器105包括中央處理單元(central processing unit;CPU) 101、記憶體102、及CPU 101之支援電路103。控制器105耦接至不同部件及製程腔室100並且促進不同部件之控制及製程腔室100之操作。例如,控制器105(如圖圖示)耦接至感測器131至138、化學物輸送模組122、第一RF匹配電路122、及第二RF匹配電路124。控制器105可為任何形式之通用電腦的一者,其可用於工業環境中以控制各種腔室及子處理器。記憶體102儲存可經執行或引動以本文所述方式控制製程腔室100之全部操作的軟體(源或目標代碼)。控制器105亦可包括儲存來自不同感測器131至138之量測值的儲存器104。所儲存之量測值可包括在製程腔室100中執行之不同製程的歷史參數值。
控制器105在製程腔室100中操縱可控制部件之操作。例如,控制器105可選擇及啟動不同群組之複數個感測器131至138以進行在製程腔室100中執行之不同類型的製程,諸如陳化製程及/或生產製程,諸如沉積或蝕刻。控制器105可從啟動感測器接收信號以監控所執行之製程的各種參數。
製程腔室100之實例可包括化學氣相沉積(chemical vapor deposition;CVD)腔室,諸如可從加州聖克拉拉市應用材料公司購得之AKT® PECVD腔室、PRODUCER™腔室、及PRECISION 5000® 腔室。
2A 為根據本揭示案之態樣的用於檢測陳化製程之終點的製程控制系統200的示意方塊圖。製程控制系統200可併入關於 1 描述之控制器105中,或可在與控制器105分隔之計算系統上實施。製程控制系統200包括陳化進度資料儲存器202、歷史感測資料儲存器204、感測器介面206、感測資料歸一化器210、MVA模型生成器212、MVA模型迴歸器214、及MVA分析器216。
在處理第一複數個基板中之每一基板期間獲得陳化進度資料{R1 ,R2 ,... Rn }。陳化進度資料儲存於陳化進度資料儲存器202中。陳化進度資料儲存器202中之進度資料可為以前完成之陳化製程的進度資料。陳化進度資料可包括指示處理第一複數個基板(例如,1、2、3...N)中之每一基板期間的不同時間處的陳化操作的進度的任何參數值。當處理每個基板時,陳化進度資料中之參數隨著陳化製程進展及製程腔室進行陳化而變化。
可按序或參考時間戳來記錄及維持陳化製程資料儲存器202中之陳化進度資料。這使得進度資料能夠順序地進行分析以估算製程腔室已完全陳化的陳化製程的終點。例如,在製程腔室執行蝕刻製程之情況下,每個基板之陳化進度資料可包括指示從基板表面去除材料之速率的蝕刻速率。例如,藉由量測已蝕刻一段時間後的材料量,可量測蝕刻速率。
在另一實例中,陳化進度資料亦可包括指示在相同條件下之兩種材料之間的蝕刻速率之比的蝕刻選擇性,及/或指示在蝕刻遮罩下蝕刻的橫向範圍的底切因素。例如,藉由蝕刻兩個疊覆層及在蝕刻之後量測底層之厚度,可量測蝕刻選擇性。例如,藉由將阻劑層置於具有長度L及寬度W之蝕刻結構上方,及量測關於阻劑層上之電阻變化的信號,可量測底切因數。
在又一實例中,陳化進度資料可包括陳化製程期間製程腔室中的氧氣(O2 )、或氮氣(N2 )或另一種氣體之濃度。陳化進度資料儲存器202可儲存許多陳化製程之進度資料。例如,在前三個月時期內執行之多於十(10)次陳化製程的進度資料可儲存於陳化進度資料儲存器202中。
在可與上述一或多個實施例組合之一個實施例中,相對於在完成陳化製程之後(即,在陳化腔室之後)獲得之資料,可歸一化陳化製程期間處理的每一基板的陳化進度資料。例如,在蝕刻腔室之陳化製程中,其中處理第三基板時之量測蝕刻速率為3.0埃/分鐘,以及完全陳化製程腔室時(例如,當處理第二十個基板時)之量測蝕刻速率為10.0埃/分鐘。在此種情況下,第三基板之歸一化陳化進度資料為0.3(=3/10)。
在可與上述一或多個實施例組合之另一實施例中,當在製程腔室中之量測氧氣(O2 )調整小於預定閾值時,可認為完全陳化製程腔室。在彼情況下,量測氧氣(O2 )調整可相對於閾值進行歸一化。例如,當陳化第三基板期間量測的氧氣(O2 )調整為0.9 sccm及閾值為0.5 sccm時,則第三基板之歸一化陳化進度資料為0.8(=(0.9-0.5)/0.5)。
歸一化陳化進度資料指示在陳化操作期間對於每個基板陳化多少製程腔室。歸一化陳化進度資料可用作參考資料以經由如下結合MVA模型迴歸器214所述之迴歸分析來決定一係數集。在可與上述一或多個實施例組合之一個實施例中,在資料儲存於陳化進度資料儲存器202中之前可歸一化陳化進度資料。在可與上述一或多個實施例組合之另一實施例中,陳化進度資料可儲存於陳化進度資料儲存器202中而不進行歸一化。在彼情況下,稍後可藉由製程控制系統200歸一化陳化進度資料。
在製程腔室操作期間,歷史感測資料儲存器204儲存從安裝於製程腔室中之複數個感測器檢測到的歷史參數值。為獲得陳化製程之歷史參數,在陳化製程期間藉由複數個感測器獲得參數值。參數值按時間順序記錄及儲存於歷史感測資料儲存器204中。
在可與上述一或多個實施例組合之一個實施例中,複數個感測器中之每一感測器可量測處理特定基板期間某個時間點處的參數值。例如,複數個感測器中之每一感測器可檢測對特定基板執行之製程的中位時間處的參數值。在可與上述一或多個實施例組合之另一實施例中,每個感測器可檢測處理特定基板期間之多個時間點處的參數值。在此種情況下,針對特定基板,可決定最大或最小參數值、或參數值隨時間的平均值。
在製程腔室中執行之製程的參數值可包括電壓、電流、流速、光譜資料、溫度、壓力或與陳化製程關聯之許多其他特徵。在陳化製程期間的製程腔室中量測一些參數值。在製程腔室外部但鄰近於製程腔室,量測其他參數值。例如,可在製程腔室外量測電壓、電流、或氣體之流速。
在可與上述一或多個實施例組合之一個實施例中,可針對不同種類之陳化製程,選擇及啟動不同子集之複數個感測器。例如,對於蝕刻製程,製程控制系統200可針對蝕刻氣體,諸如四氯化矽(SiCl4 )氣體及氯氣(Cl2 ),選擇及啟動流速感測器。對於沉積製程,製程控制系統200可針對含矽氣體,諸如矽烷、鹵代矽烷、或有機矽烷之一或多者,選擇及啟動流速感測器。
在陳化製程期間,在製程腔室中順序地處理第一複數個基板。第一複數個基板可僅用於腔室之陳化製程,但不用於生產基板。將第一複數個基板中之每一基板載入製程腔室中及對基板執行預定製程以陳化製程腔室。當預定製程之量測參數的變化穩定在閾值或範圍內時,認為製程腔室已陳化。
例如,當執行預定製程時,當前參數經量測及與先前量測參數進行比較以決定當前參數與先前量測參數之間的方差。將每個參數之方差與參數之預定閾值(範圍)進行比較以決定參數是否已穩定。為計算方差方便起見,可歸一化所關心之參數,以便可同時使用參數之時間曲線。
感測資料歸一化器210歸一化第一複數個基板中之每一基板的複數個參數Pi,j ,其中j =1,2,...,M ,為基板之特定參數,i =1,2,...,N 。因而,感測資料歸一化器210獲得第一複數個基板中之每一基板i =1,2,...,N的一組歸一化參數值xi,j 。在處理每個基板i =1,2,...,N期間記錄複數個參數Pi,j 。將第一複數個基板中之一個基板,基板A(即,i =A),選為每個基板(i ≠A)之參數之歸一化的基礎。選定基板之參數PA,j 用於歸一化第一複數個基板中之所有其他基板的參數。
在可與上述一或多個實施例組合之一個實施例中,感測資料歸一化器210藉由針對其他基板之每個參數j 計算xi,j =[(Pi,j -PA,j )/PA,j ]*100來歸一化其他基板(i ≠A)中之每一者的參數,其中xi,j 為基板i 之參數相對於選定基板A之參數PA,j 的百分比(%)偏差。即,相對於選定基板A之對應參數歸一化其他基板之每個參數。
選定基板可為在陳化操作期間處理之第一複數個基板中的任何基板。例如,選定基板可為第一複數個處理基板中之第一、第二、第三、第四或第五基板。在可與上述一或多個實施例組合之一個實施例中,選擇選作歸一化基礎之基板,使得彼基板之所有參數值PA,j 為非零的。例如,假定選擇五個不同感測器進行啟動以收集某個陳化製程期間的感測資料。即,對於每一基板,記錄五個參數值。假定當處理第二基板時,僅四個感測器輸出非零值且第五個感測器輸出實質零之值。同時假定當處理第三基板時,所有五個感測器輸出非零值。在彼情況下,可將第三基板選作歸一化製程之基礎。選擇具有非零參數值之基板會避免當歸一化其他基板之參數值時除以零的潛在問題。
在下文之描述中,為說明目的,假定第二基板用作歸一化之基礎。即,感測資料歸一化器210被描述為使用當處理第二基板時量測之參數值歸一化第一複數個基板中之每一基板的參數值。然而,如上所述,其他基板可選作歸一化之基礎。
在可與上述一或多個實施例組合之一個實施例中,藉由計算當處理第一複數個基板中之每一基板時獲得之參數值與當處理選定基板(即,第二基板)時獲得之參數值的偏差,來歸一化參數值。例如,若當處理選定(即,第二)基板時量測的製程腔室中的壓力為40托及當處理第五基板時量測的壓力為100托,則第五基板之歸一化腔室壓力為2.5(=100/40)。
MVA模型生成器212使用歸一化參數值生成多變數分析(multi-variate analysis;MVA)模型。在可與上述一或多個實施例組合之一個實施例中,MVA模型可為藉由將一係數集{ck,j },k =0,1,...,N應用至每個基板之歸一化參數值而獲得的多項式方程 。第i 個基板之多項式方程如下:。因而數值yi 為針對第i 個基板記錄之參數值的線性組合。在一些情況下,yi 為針對基板i 記錄之參數值的加權平均值。
MVA模型生成器212使用多項式方程之輸出yi 以計算以下邏輯方程: 其中Si 為第i 個基板之陳化指數。陳化指數指示陳化操作之進度。因此,MVA模型生成器212將針對第一複數個基板中之每一基板輸出各自陳化指數,其中每一陳化指數為一係數集與一組歸一化參數值的函數。
假定一製程腔室之一組陳化進度資料,可使用迴歸由陳化進度資料計算如上所述之MVA模型的係數。 2B 為根據本揭示案之態樣圖示示例性迴歸過程之結果的圖220。在 2B 中,垂直軸指示表示陳化操作之進度的陳化指數,及水平軸指示陳化操作期間處理的基板的序列。例如,在圖220中繪示約47個資料點222。在陳化操作期間,每個資料點222表示第一複數個基板中之每一基板的歸一化陳化進度資料{R1 , R2 ,... Ri }。
曲線224為使用第一複數個基板中之每一基板的歸一化參數值xi,j 的基於藉由MVA模型之迴歸的邏輯方程的曲線圖。該係數集ck,j 可藉由對邏輯方程執行不同迴歸方法來決定。yi 之模型可用於相對於曲線224最小化資料點222之平方誤差。
在可與上述一或多個實施例組合之一個實施例中,最小平方迴歸方法可用以將曲線224擬合至歸一化陳化進度資料{R1 , R2 ,... Ri }。最小平方迴歸方法用以最小化邏輯方程{S1 , S2 ,... Si }之每個輸出與陳化進度資料{R1 , R2 ,... Ri }之每一者之間的誤差的平方和。
實質水平虛線226指示認為腔室完全陳化之閾值陳化指數。如圖圖示,虛線226以約0.95之陳化指數繪示。然而,諸如0.85、0.9或0.97之其他指數可用作閾值陳化指數。
返回參考 2A ,MVA模型迴歸器214執行該係數集之迴歸分析以將陳化進度資料擬合至邏輯方程。針對每個基板號i ,MVA模型迴歸器214將各個陳化指數Si 擬合至對應陳化進度資料Ri ,以決定該係數集{ck,j }。
在可與上述一或多個實施例組合之一個實施例中,MVA模型迴歸器214使用支援向量機(support vector machine;SVM)模型,其為分析資料以進行分類及迴歸分析的機器學習演算法。SVM模型可以藉由調整超平面的位置以從陳化指數之向量具有最大餘量來決定最小化輸出之間的誤差的係數集。在可與上述一或多個實施例組合之另一實施例中,MVA模型迴歸器214使用最小平方擬合方法決定每個基板i 之各個陳化指數Si 與對應陳化進度資料Ri 之間的最小平方差的係數集{ck,j }。在可與上述一或多個實施例組合之另一實施例中,MVA模型迴歸器214使用另一適當迴歸模型,諸如線性迴歸或多項式迴歸,以決定最小化誤差之係數集。
一旦決定係數集{ck,j },則MVA分析器216儲存多項式方程,及藉由將多項式方程之輸出應用至邏輯方程來生成每個基板之陳化指數Si 。在可與上述一或多個實施例組合之一個實施例中,MVA分析器216可基於陳化指數Si 決定陳化操作之終點。
當處理第二複數個基板中之每一基板時,感測器介面206從複數個感測器接收複數個實質即時參數值。取決於所執行之陳化製程,可從複數個感測器之不同感測器,獲得實質即時參數值。為比較第二複數個基板之感測資料(即,參數值)與歷史感測資料,如上所述,實質即時參數值包括與歷史參數值相同類型的感測參數值。
將實質即時參數值提供至感測資料歸一化器210以進行歸一化。感測資料歸一化器210歸一化複數個實質即時參數值,如上所述。將第二複數個基板中之每一基板的歸一化即時感測資料提供至MVA分析器216。MVA分析器216將歸一化即時感測資料應用至多項式方程,以決定輸出yi 。MVA分析器216將多項式方程之輸出置於邏輯方程中以產生第二複數個基板中之每一基板的陳化指數。
在可與上述一或多個實施例組合之一個實施例中,MVA分析器216基於第二複數個基板之陳化指數是否滿足陳化製程之完成標準來檢測陳化製程之終點。陳化製程之完成標準可為任意數目的具有大於任意閾值之陳化指數的基板。例如,任意數目之基板可為3個,及任意閾值陳化指數可為0.95。即,至少三個基板需要具有高於0.95之陳化指數以指示陳化製程已完成。
例如,假定 2B 圖示對第二複數個基板執行陳化操作,且已經決定陳化製程完成之標準。表示陳化操作期間處理之第二複數個基板中之第三十三個基板的陳化指數之資料點227為具有高於0.95之閾值226的陳化指數的第三基板。因而,資料點227指示當處理第三十三個基板之步驟完成時,腔室之陳化製程完成。因而,MVA分析器216可在處理第三十三個基板之步驟完成時檢測陳化製程之終點。當然,陳化製程之完成標準可為具有大於任意閾值之陳化指數的任意數目的基板。
3 根據本揭示案之態樣圖示用於檢測陳化製程之終點的方法300。方法開始於操作302,此處用於控制陳化操作之製程控制系統獲得第一複數個基板中之每一基板的陳化進度資料。陳化進度資料可指示先前執行之陳化製程的進度。陳化進度資料可包括從製程腔室中之感測器獲得的感測值或基於該等感測值獲得的計算值。
相對於在淨化製程腔室之前在製程腔室在完全陳化狀態中操作時量測的陳化資料,可歸一化陳化進度資料。製程控制系統可直接或遠端耦接至在其中執行陳化製程的製程腔室。
在操作304處,製程控制系統獲得使用安裝於製程腔室中之複數個感測器量測的歷史參數值。用以決定特定製程腔室之陳化操作之進度的參數值可取決於在彼腔室中執行的製程。即,若對於特定製程腔室存在許多參數值,則彼等參數值之子集可對應於在彼製程腔室中執行的特定陳化製程。參數值之另一子集可對應於彼腔室之不同陳化製程。即,經選擇以決定製程腔室之陳化狀態的參數值可基於在其中執行的特定製程。
製程控制系統選擇及啟動製程腔室中複數個感測器以用於監控陳化操作。經選擇以用於監控之複數個感測器可取決於所執行之陳化製程的類型。製程控制系統從由選定複數個感測器俘獲之感測資料導出在陳化製程期間處理之第一複數個基板中之每一基板的複數個參數值。隨後,製程控制系統相對於與第一複數個基板中之特定基板(諸如第二基板)關聯之對應參數值,歸一化每一基板的複數個參數值中之參數值。在可與上述一或多個實施例組合的一個實施例中,特定基板可為在製程腔室中處理之第一、第三、第四、或更高順序基板中之一者。
在操作306處,製程控制系統使用歸一化參數值生成多變數分析(multi-variate analysis;MVA)模型。在可與上述一或多個實施例組合之一個實施例中,MVA模型可為藉由將係數集應用至第一複數個基板中之每一基板的歸一化參數值而獲得的多項式方程。MVA模型生成器之輸出可為第一複數個基板中之每一基板的多項式方程之輸出。MVA模型生成器之輸出用作產生陳化指數之輸出的邏輯方程的輸入。陳化指數指示陳化製程之進度。每一陳化指數為係數集之函數。
在操作308處,相對於歸一化陳化進度資料迴歸MVA模型。可使用各種迴歸演算法應用迴歸,使得最小化邏輯方程之輸出與陳化進度資料之間的誤差和。在可與上述一或多個實施例組合之一個實施例中,可使用支援向量機(support vector machine;SVM)模型決定係數集,該係數集藉由調整超平面之位置以從參考資料具有最大餘量來最小化誤差。在可與上述一或多個實施例組合之另一實施例中,MVA模型可使用其他適當迴歸模型(諸如線性迴歸或多項式迴歸)來迴歸以決定最小化誤差之係數集。
在操作310處,當處理第二複數個基板中之每一基板時,製程控制系統從複數個感測器接收複數個實質即時參數值並且歸一化該等複數個實質即時參數值。將來自操作304之歸一化感測資料提供至MVA模型。將MVA模型之輸出輸入至邏輯方程,其產生第二複數個基板中之每一基板的陳化指數。系統基於陳化製程之完成標準檢測陳化製程的終點。標準可為具有陳化指數之第二複數個基板之基板數量高於閾值。
4 圖示經配置以實施本文所述之方法的製程控制系統400之配置,方法諸如上文關於 3 論述之檢測陳化製程的終點的方法300。
如圖圖示,製程控制系統400包括:用於執行程式化指令之中央處理單元(central processing unit;CPU) 402;可允許連接不同感測器之感測器介面206;網路介面405,其可包括例如用於從外部網路傳送及接收資料的收發器;記憶體408,諸如揮發性隨機存取記憶體;儲存器410,諸如非揮發性磁碟機、RAID陣列等;及互連412,諸如資料匯流排。在一些實例中,儲存器410之一些或全部可遠離製程控制系統400且反而可經由網路介面405存取。
CPU402擷取及執行經由互連412儲存於記憶體408中之可執行指令。如圖圖示,記憶體408包括MVA模型生成器212、MVA模型迴歸器214、MVA分析器216、及感測資料歸一化器210。此等部件對應於關於 2 A 描述之製程控制系統200的部件。記憶體408包括用於實施此等部件之程式代碼。
CPU 402亦從儲存器410擷取資料並且處理該資料。儲存器410包括陳化進度資料儲存器202及歷史感測資料儲存器204,如關於 2 A 描述。
提供先前描述以使得熟習本領域之任一者能夠實踐本文描述之各種實施例。對於熟習本領域之彼等人員顯而易見的是,可對此等實施例輕易地進行各種修改,且可將本文定義之一般原理應用於其他實施例。例如,可在不脫離本揭示案之範疇之情況下,對論述元件之功能及佈置進行變化。各種實例可視情況忽略、替代、或添加各種程序或部件。同時,關於一些實施例或實例描述之特徵可與一些其他實施例或實例組合。例如,可使用本文闡述之眾多態樣實施設備或實踐方法。另外,本揭示案之範疇意欲涵蓋使用其他結構、功能、或除了本文闡述之揭示案之各種態樣外的結構及功能性實踐的此種設備或方法。應理解,本文揭示內容之任何態樣可由請求項之一或多個元件實現。
如本文使用,詞語「示例性」意味「用作實例、示例、或說明」。本文描述為「示例性」的任何態樣不一定被解釋為比其他態樣較佳或有利。
如本文使用,術語「決定」或「決定」包含各種動作。例如,「決定」可包括計算(calculating)、演算(computing)、處理、導出、調查、查找(例如,在表格、資料庫、或另一資料結構中查找)、決定等。同時,「決定」可包括接收(例如,接收資訊)、存取(例如,在記憶體中存取資料)等等。同時,「決定」或「決定」可包括解決、選擇、選出、建立等等。
本文揭示之方法包括用於實現方法之一或多個操作或動作。操作及/或動作可在不脫離申請專利範圍之情況下彼此互換。換言之,除非指定操作或動作之指定順序,否則在不脫離申請專利範圍之情況下可更改特定操作及/或動作之順序及/或使用。此外,本文描述之各種操作可藉由能夠執行對應功能之任何適當手段來執行。手段可包括各種硬體及/或軟體部件及/或模組,包括但不限於電路、特殊應用積體電路(application specific integrated circuit;ASIC)或處理器。
以下申請專利範圍不意欲限於本文所示實施例,而是與申請專利範圍之語言一致的全部範圍。在申請專利範圍內,除非特殊聲明,單數元件之引用不意指「一個且僅一個」,而意指「一或多個」。除非另外明確聲明,術語「一些」指一或多個。除非使用短語「用於...之手段」明確敘述要素,否則請求項要素不根據專利法之條款來解釋。熟習本領域之彼等技術人員已知或稍後將知曉的、貫穿本揭示案之各種態樣的元素的全部結構及功能等同物,將以引用之方式明確地併入本文且意欲涵蓋於申請專利範圍中。
儘管上述內容針對本揭示案之實施例,但也可在不脫離其基本範疇之情況下設計本揭示案之其他及進一步實施例,並且本揭示案之範疇由隨附申請專利範圍來決定。
100‧‧‧製程腔室 101‧‧‧中央處理單元 102‧‧‧記憶體 103‧‧‧支援電路 104‧‧‧儲存器 105‧‧‧控制器 106‧‧‧噴頭組件 106A‧‧‧第一氣體通道 106B‧‧‧第二氣體通道 107‧‧‧基板 108‧‧‧基板支撐件 109‧‧‧製程體積 110‧‧‧感應線圈 111‧‧‧腔室主體 112‧‧‧介電質頂 121‧‧‧化學物輸送模組 122‧‧‧第一RF匹配 123‧‧‧RF功率源 124‧‧‧第二RF匹配 125‧‧‧偏置功率源 126‧‧‧陰極 131‧‧‧感測器 132‧‧‧感測器 134‧‧‧感測器 135‧‧‧感測器 136‧‧‧感測器 137‧‧‧感測器 138‧‧‧感測器 200‧‧‧製程控制系統 202‧‧‧陳化進度資料儲存器 204‧‧‧歷史感測資料儲存器 206‧‧‧感測器介面 210‧‧‧感測資料歸一化器 212‧‧‧MVA模型生成器 214‧‧‧MVA模型迴歸器 216‧‧‧MVA分析器 220‧‧‧圖 222‧‧‧資料點 224‧‧‧曲線 226‧‧‧閾值 227‧‧‧資料點 300‧‧‧方法 302‧‧‧操作 304‧‧‧操作 306‧‧‧操作 308‧‧‧操作 310‧‧‧操作 400‧‧‧製程控制系統 402‧‧‧中央處理單元 405‧‧‧網路介面 408‧‧‧記憶體 410‧‧‧儲存器 412‧‧‧互連
因此,為了能夠詳細理解本揭示案的上述特徵結構所用方式,上文所簡要概述的發明內容的更具體描述可以參考各個實施例進行,該等實施例中的一些示出於附圖中。然而,應注意,附圖僅示出本揭示案之示例性實施例並因此不應視為對本揭示範圍的限制,因為本揭示案可承認其他同等有效的實施例。
1 為根據本揭示案之態樣的製程腔室之示意剖面圖。
2A 為根據本揭示案之態樣的用於檢測陳化製程之終點的製程控制系統的示意方塊圖。
2B 圖示根據本揭示案之態樣的迴歸過程之結果。
3 為根據本揭示案之態樣的用於檢測陳化製程之終點的方法的流程圖。
4 圖示根據本揭示案之態樣的製程控制系統之示例性配置。
為了便於理解,在可能的情況下使用相同的附圖標記來表示附圖中共有的相同元件。應設想,一個實施例的元素和特徵可以有利地併入其他實施例中而無需進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100‧‧‧製程腔室
101‧‧‧中央處理單元
102‧‧‧記憶體
103‧‧‧支援電路
104‧‧‧儲存器
105‧‧‧控制器
106‧‧‧噴頭組件
106A‧‧‧第一氣體通道
106B‧‧‧第二氣體通道
107‧‧‧基板
108‧‧‧基板支撐件
109‧‧‧製程體積
110‧‧‧感應線圈
111‧‧‧腔室主體
112‧‧‧介電質頂
121‧‧‧化學物輸送模組
122‧‧‧第一RF匹配
123‧‧‧RF功率源
124‧‧‧第二RF匹配
125‧‧‧偏置功率源
126‧‧‧陰極
131‧‧‧感測器
132‧‧‧感測器
134‧‧‧感測器
135‧‧‧感測器
136‧‧‧感測器
137‧‧‧感測器
138‧‧‧感測器

Claims (20)

  1. 一種用於檢測陳化製程之一終點的設備,該設備包括:一製程腔室;一組感測器,耦接至該製程腔室;至少一個儲存器,經配置以儲存:陳化進度資料,指示用於一第一複數個基板中之每一基板的至少一個陳化製程的一進度;以及歷史參數值,當在該陳化製程期間處理該第一複數個基板中之每一基板時由從該組感測器中選出之複數個感測器量測;以及一控制器,經配置以:相對於該第一複數個基板中之一特定基板的複數個歷史參數值,歸一化該第一複數個基板中之每一基板的該等歷史參數值;藉由將一係數集應用於該第一複數個基板中之每一基板的該等歸一化歷史參數值,生成一多變數分析(MVA)模型;藉由利用該第一複數個基板中之每一基板的該等歸一化歷史參數值對一邏輯方程之輸出值執行一迴歸操作來決定該係數集,其中該邏輯方程之輸入為該MVA模型之輸出; 當處理第二複數個基板時,從該複數個感測器接收複數個實質即時參數值;以及使用具有該複數個實質即時參數值之該MVA模型,決定該陳化製程之一終點。
  2. 如請求項1所述之設備,其中當該製程腔室經配置以執行一蝕刻製程時,該陳化進度資料包括一蝕刻速度、一蝕刻選擇性、或一底切因素之至少一者。
  3. 如請求項1所述之設備,其中該控制器進一步經配置以從該組感測器中選出該複數個感測器以收集取決於該製程腔室中執行之一製程的參數值。
  4. 如請求項1所述之設備,其中該邏輯方程為:
    Figure 108115974-A0305-02-0030-1
    其中y i 為該MVA模型針對一第i個基板之一輸出,及S i 為指示當處理該第i個基板時該陳化製程之一進度的一陳化指數。
  5. 如請求項1所述之設備,其中該迴歸操作為最小化該邏輯方程之輸出與該陳化進度資料中之一者之間的誤差之一平方和的一最小平方迴歸。
  6. 如請求項1所述之設備,其中該迴歸操作為一支援向量機(SVM)迴歸,其調整一超平面之一位置以從該陳化進度資料之向量獲得一最大餘量。
  7. 如請求項4所述之設備,其中該控制器進一 步經配置以當該第二複數個基板中之一特定數目之基板的該陳化指數滿足一閾值時檢測該陳化製程之該終點。
  8. 一種用於在一製程腔室中檢測一陳化製程之一終點的方法,該方法包括以下步驟:獲得指示一第一複數個基板中之每一基板的一陳化製程的一進度的陳化進度資料;當處理該第一複數個基板中之每一基板時,獲得由從耦接至一製程腔室之一組感測器中選出之複數個感測器量測的歷史參數值;相對於該第一複數個基板中之一特定基板的複數個歷史參數值,歸一化該第一複數個基板中之每一基板的該等歷史參數值;藉由將一係數集應用於該第一複數個基板中之每一基板的該等歸一化歷史參數值,生成一多變數分析(MVA)模型;藉由利用該第一複數個基板中之每一基板的該等歸一化歷史參數值對一邏輯方程之輸出值執行一迴歸操作來決定該係數集,其中該邏輯方程之輸入為該MVA模型之輸出;當處理一第二複數個基板中之每一基板時,從該複數個感測器接收複數個實質即時參數值;以及 使用具有該複數個實質即時參數值之該MVA模型,決定該陳化製程之一終點。
  9. 如請求項8所述之方法,其中當該製程腔室經配置以執行一蝕刻製程時,該陳化進度資料包括一蝕刻速度、一蝕刻選擇性、或一底切因素之至少一者。
  10. 如請求項8所述之方法,進一步包括:在該組感測器中選出該複數個感測器以收集取決於該製程腔室執行之一製程的種類的參數值。
  11. 如請求項8所述之方法,其中該迴歸操作為分別最小化該邏輯方程之每一輸出與該陳化進度資料中之每一者之間的誤差之一平方和的一最小平方迴歸。
  12. 如請求項8所述之方法,其中該迴歸操作為一支援向量機(SVM)迴歸,其調整一超平面之一位置以從該陳化進度資料之向量獲得一最大餘量。
  13. 如請求項8所述之方法,其中該邏輯方程為:
    Figure 108115974-A0305-02-0032-2
    其中y i 為該MVA模型針對一第i個基板之一輸出,及S i 為指示當處理該第i個基板時該陳化製程之一進度的一陳化指數。
  14. 如請求項13所述之方法,進一步包括:當 該第二複數個基板中之一特定數目之基板的該陳化指數滿足一閾值時檢測該陳化製程之該終點。
  15. 一種用於檢測一陳化製程之一終點的方法,該方法包括以下步驟:獲得指示一第一複數個基板中之每一基板的一陳化製程的一進度的陳化進度資料;獲得由從耦接至一製程腔室之複數個感測器的一子集量測的歷史參數值,該複數個感測器中之每個感測器當處理該第一複數個基板中之每一基板時量測該陳化製程之一參數;相對於與該第一複數個基板中之一特定基板關聯的該等歷史參數值之一子集,歸一化該等歷史參數值;相對於與一完成陳化製程關聯之陳化進度資料,歸一化該第一複數個基板中之每一基板的該陳化進度資料;藉由將一係數應用於該第一複數個基板之每個歸一化歷史參數值來生成一多變數分析(MVA)模型,其中該等係數藉由對一邏輯方程之複數個輸出執行一迴歸操作而決定,其中該邏輯方程之輸入為該MVA模型之輸出;當處理一第二複數個基板中之每一基板時,從該感測器子集接收複數個實質即時參數值;以及 使用具有該複數個實質即時參數值之該MVA模型,決定該陳化製程之一終點。
  16. 如請求項15所述之方法,其中基於在該製程腔室中執行之一類製程選出該複數個感測器之該子集。
  17. 如請求項15所述之方法,其中該迴歸操作為一支援向量機(SVM)迴歸,其調整一超平面之一位置以從該陳化進度資料之向量獲得一最大餘量。
  18. 如請求項15所述之方法,其中該邏輯方程為:
    Figure 108115974-A0305-02-0034-3
    其中y i 為該MVA模型針對一第i個基板之一輸出,及S i 為指示當處理該第i個基板時該陳化製程之一進度的一陳化指數。
  19. 如請求項18所述之方法,其中該迴歸操作為最小化該第二複數個基板中之每一基板的該陳化指數與該陳化進度資料中之每一者之間的誤差之一平方和的一最小平方迴歸。
  20. 如請求項18所述之方法,進一步包括:當該第二複數個基板中之一特定數目之基板的該陳化指數滿足一閾值時檢測該陳化製程之該終點。
TW108115974A 2018-05-09 2019-05-09 用於檢測陳化製程的終點的方法與設備 TWI834662B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862669148P 2018-05-09 2018-05-09
US62/669,148 2018-05-09

Publications (2)

Publication Number Publication Date
TW202004937A TW202004937A (zh) 2020-01-16
TWI834662B true TWI834662B (zh) 2024-03-11

Family

ID=68464141

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115974A TWI834662B (zh) 2018-05-09 2019-05-09 用於檢測陳化製程的終點的方法與設備

Country Status (6)

Country Link
US (1) US10896833B2 (zh)
JP (1) JP7141471B2 (zh)
KR (1) KR102477573B1 (zh)
CN (1) CN112041976A (zh)
TW (1) TWI834662B (zh)
WO (1) WO2019217348A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US20220397515A1 (en) * 2021-06-10 2022-12-15 Applied Materials, Inc. Obtaining substrate metrology measurement values using machine learning
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
JP2024524862A (ja) * 2021-06-10 2024-07-09 アプライド マテリアルズ インコーポレイテッド 機械学習を使用した基板処理の終点検出
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US12032355B2 (en) 2022-03-31 2024-07-09 Tokyo Electron Limited Virtual metrology model based seasoning optimization

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200415453A (en) * 2002-09-30 2004-08-16 Tokyo Electron Ltd Method and apparatus for the monitoring and control of a semiconductor manufacturing process
TW200421411A (en) * 2002-03-12 2004-10-16 Tokyo Electron Ltd Plasma processing method, seasoning end detection method, and plasma processing device
TW200634900A (en) * 2005-03-25 2006-10-01 Adaptive Plasma Tech Corp Method and apparatus for seasoning semiconductor apparatus of sensing plasma equipment
TW201027605A (en) * 2009-01-13 2010-07-16 Hitachi High Tech Corp Method for seasoning plasma processing apparatus, and method for determining end point of seasoning
TW201207943A (en) * 2010-03-31 2012-02-16 Tokyo Electron Ltd Plasma nitridization method
TW201334079A (zh) * 2012-01-09 2013-08-16 Applied Materials Inc 陳化uv腔室光學組件以避免劣化之方法
US20170062227A1 (en) * 2015-08-26 2017-03-02 Tokyo Electron Limited Seasoning method and etching method
US20170069463A1 (en) * 2015-09-08 2017-03-09 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US20180082826A1 (en) * 2016-09-16 2018-03-22 Lam Research Corporation Method and Process of Implementing Machine Learning in Complex Multivariate Wafer Processing Equipment

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
KR100695582B1 (ko) * 1998-07-10 2007-03-14 어플라이드 머티어리얼스, 인코포레이티드 기판 제조 공정의 엔드포인트 검출 방법 및 장치
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
JP2003197609A (ja) * 2001-12-27 2003-07-11 Tokyo Electron Ltd プラズマ処理装置の監視方法及びプラズマ処理装置
TWI328164B (en) * 2002-05-29 2010-08-01 Tokyo Electron Ltd Method and apparatus for monitoring tool performance
JP4224454B2 (ja) * 2002-06-05 2009-02-12 東京エレクトロン株式会社 処理装置の多変量解析モデル式作成方法,処理装置用の多変量解析方法,処理装置の制御装置,処理装置の制御システム
WO2004006299A2 (en) * 2002-07-03 2004-01-15 Tokyo Electron Limited Method for dynamic sensor configuration and runtime execution
WO2004019396A1 (ja) 2002-08-13 2004-03-04 Tokyo Electron Limited プラズマ処理方法及びプラズマ処理装置
ATE491174T1 (de) * 2002-08-20 2010-12-15 Tokyo Electron Ltd Verfahren zum verarbeiten von daten auf der basis des datenkontexts
US20060151429A1 (en) 2005-01-11 2006-07-13 Hiroyuki Kitsunai Plasma processing method
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
KR100835379B1 (ko) * 2006-12-07 2008-06-04 한국전자통신연구원 사중극자 질량 분석기를 이용한 챔버 상태 모니터링 방법
WO2008137544A1 (en) * 2007-05-02 2008-11-13 Mks Instruments, Inc. Automated model building and model updating
KR100892248B1 (ko) 2007-07-24 2009-04-09 주식회사 디엠에스 플라즈마 반응기의 실시간 제어를 실현하는 종말점 검출장치 및 이를 포함하는 플라즈마 반응기 및 그 종말점 검출방법
US20100332010A1 (en) * 2009-06-30 2010-12-30 Brian Choi Seasoning plasma processing systems
KR101529827B1 (ko) * 2014-06-16 2015-06-17 성균관대학교산학협력단 플라즈마 식각 공정의 식각 종료점 검출 방법
KR102648517B1 (ko) * 2018-03-20 2024-03-15 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
CN112074940A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200421411A (en) * 2002-03-12 2004-10-16 Tokyo Electron Ltd Plasma processing method, seasoning end detection method, and plasma processing device
TW200415453A (en) * 2002-09-30 2004-08-16 Tokyo Electron Ltd Method and apparatus for the monitoring and control of a semiconductor manufacturing process
TW200634900A (en) * 2005-03-25 2006-10-01 Adaptive Plasma Tech Corp Method and apparatus for seasoning semiconductor apparatus of sensing plasma equipment
TW201027605A (en) * 2009-01-13 2010-07-16 Hitachi High Tech Corp Method for seasoning plasma processing apparatus, and method for determining end point of seasoning
TW201207943A (en) * 2010-03-31 2012-02-16 Tokyo Electron Ltd Plasma nitridization method
TW201334079A (zh) * 2012-01-09 2013-08-16 Applied Materials Inc 陳化uv腔室光學組件以避免劣化之方法
US20170062227A1 (en) * 2015-08-26 2017-03-02 Tokyo Electron Limited Seasoning method and etching method
US20170069463A1 (en) * 2015-09-08 2017-03-09 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US20180082826A1 (en) * 2016-09-16 2018-03-22 Lam Research Corporation Method and Process of Implementing Machine Learning in Complex Multivariate Wafer Processing Equipment

Also Published As

Publication number Publication date
JP2021521652A (ja) 2021-08-26
TW202004937A (zh) 2020-01-16
CN112041976A (zh) 2020-12-04
WO2019217348A1 (en) 2019-11-14
US20190348312A1 (en) 2019-11-14
KR102477573B1 (ko) 2022-12-13
JP7141471B2 (ja) 2022-09-22
US10896833B2 (en) 2021-01-19
KR20200141527A (ko) 2020-12-18

Similar Documents

Publication Publication Date Title
TWI834662B (zh) 用於檢測陳化製程的終點的方法與設備
US7115424B2 (en) Method for manufacturing semiconductor device
JP4317701B2 (ja) 処理結果の予測方法及び予測装置
JP6860547B2 (ja) 半導体装置のためのチャンバ性能マッチングのための方法論
KR100612736B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP4464276B2 (ja) プラズマ処理方法及びプラズマ処理装置
US20060226786A1 (en) Inductively-coupled plasma etch apparatus and feedback control method thereof
JP6019043B2 (ja) 光学計測及びセンサ装置を用いるエッチングプロセス制御
WO2021157453A1 (ja) プロセス推定システム、プロセスデータ推定方法及びプログラム
JP2004335841A (ja) プラズマ処理装置の予測装置及び予測方法
JP2004119753A (ja) エッチング処理装置およびエッチング処理方法
JP4220378B2 (ja) 処理結果の予測方法および処理装置
US6994750B2 (en) Film evaluating method, temperature measuring method, and semiconductor device manufacturing method
JP4675266B2 (ja) 基板処理装置の処理結果の予測方法及び予測装置
Ferreira et al. Virtual metrology models for predicting avera PECVD oxide film thickne
JP3720007B2 (ja) 膜の評価方法,温度測定方法及び半導体装置の製造方法
US20180068908A1 (en) Smart in situ chamber clean
US20230352282A1 (en) Autonomous operation of plasma processing tool
JP2016009720A (ja) 推定方法及びプラズマ処理装置
JP2009049305A (ja) 酸化膜厚推定方法及び装置並びにプログラム
Patel et al. Optimization of Plasma Enhanced Chemical Vapor Deposition (PECVD) of Amorphous Silicon (a-Si) Using Oxford Instruments System 100 with Taguchi L9 Based Design of Experiments (DOE)
TW202329281A (zh) 可用於模型訓練的掃描自由基感測器
JP2001176853A (ja) プラズマ処理装置
JPH11238723A (ja) プラズマ処理のモニタリング方法及び装置
Cale et al. A simple adaptive optimization algorithm for the tungsten LPCVD process