JP4914119B2 - プラズマ処理方法およびプラズマ処理装置 - Google Patents

プラズマ処理方法およびプラズマ処理装置 Download PDF

Info

Publication number
JP4914119B2
JP4914119B2 JP2006152305A JP2006152305A JP4914119B2 JP 4914119 B2 JP4914119 B2 JP 4914119B2 JP 2006152305 A JP2006152305 A JP 2006152305A JP 2006152305 A JP2006152305 A JP 2006152305A JP 4914119 B2 JP4914119 B2 JP 4914119B2
Authority
JP
Japan
Prior art keywords
discharge
break
plasma processing
plasma
determining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006152305A
Other languages
English (en)
Other versions
JP2007324341A (ja
JP2007324341A5 (ja
Inventor
英治 池上
祥二 幾原
剛 島田
謙一 桑原
高男 荒瀬
松本  剛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2006152305A priority Critical patent/JP4914119B2/ja
Priority to KR1020060075692A priority patent/KR100780021B1/ko
Priority to US11/502,416 priority patent/US8038896B2/en
Priority to TW095129644A priority patent/TW200744129A/zh
Publication of JP2007324341A publication Critical patent/JP2007324341A/ja
Publication of JP2007324341A5 publication Critical patent/JP2007324341A5/ja
Priority to US12/846,403 priority patent/US8900401B2/en
Application granted granted Critical
Publication of JP4914119B2 publication Critical patent/JP4914119B2/ja
Priority to US14/508,859 priority patent/US9230782B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • H01J2237/1825Evacuating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

本発明は、プラズマ処理技術に係り、特に慣らし放電の終了を高精度に判定することができるプラズマ処理技術に関する。
プラズマ処理装置は、半導体基板等の試料を収容する処理容器を有しており、前記試料のプラズマ処理中に生じた不揮発性の反応生成物が前記処理容器内壁等に堆積する。その後の処理において、前記堆積物は処理容器内壁から剥離し、異物となって前記試料表面へ落下し、付着することがある。
付着した異物は、試料表面に設けた集積回路の配線の短絡、断線あるいはエッチング残り等を引き起こし、半導体デバイス不良の原因となる。このため、半導体デバイス製造における歩留まりが低下する。これを防ぐためには、処理容器を大気開放して、処理容器内部品を予め洗浄されたスワップ品と交換し、あるいは純水またはアルコール拭き等により処理容器内を洗浄する、いわゆるウエットクリーニングが行われる。
ウエットクリーニングを行った直後の処理容器内壁の状態は、量産安定時とは異なる。このため、ウエットクリーニング直後のプラズマエッチング装置におけるエッチング速度、試料表面内におけるエッチング速度分布、試料上の被エッチング材とマスクあるいは下地とのエッチング選択比(エッチング速度の比)、あるいはエッチングによる加工形状などの処理性能は量産安定時とは異なるものとなる。
このような問題の発生を防ぐためには、慣らし放電(シーズニング)と呼ばれる処理を行い、ウエットクリーニングによって変化した処理容器内状態を量産安定時に近づけることが一般的に行われている。なお、この慣らし放電は半導体基板処理を模擬して行われることが多い。また、慣らし放電の処理時間は、ウエットクリーニングの実施状態により1ないし数ロット(1ロット:25枚)分が必要とされ、この値は大きくばらつくことが多い。
このように、慣らし放電に要する処理時間がロット単位となる場合、慣らし放電時に必要以上の枚数の試料(ダミーウエハ)処理することとなる。また、必要以上のダミーウエハの処理は、装置の非稼動時間を増加させることになり、半導体デバイスの製造コストを増加させる。
このような問題を解決する技術として、特許文献1が知られている。この文献には、あるロットのプラズマ発光データを主成分解析して作成した主成分スコアと、前のロットにおける主成分スコアとの差を取り、その差のロット内の平均値およびロット内の最大値と最小値の差ならびにロット内の標準偏差を求め、それらの値を予め設定された標準偏差と比較することにより処理容器内の状態を判定することが示されている。
特開2004−235349号公報
しかしながら、前記従来技術の方法では、試料の処理条件毎に、慣らし放電の終点判定に用いるデータの条件出しが必要となり、取り扱いが困難となる。また、ウエットクリーニングの実施状態あるいは慣らし放電の条件によっては、終点判定が困難な場合が生じる。
本発明は、これらの問題点に鑑みてなされたもので、慣らし放電の終点を汎用性のある方法で高精度に判定することのできるプラズマ処理技術を提供するものである。
本発明は上記課題を解決するため、次のような手段を採用した。
料を載置する下部電極を内部に具備するプラズマ処理容器と、前記プラズマ処理容器内に処理ガスを供給するガス供給装置と、前記プラズマ処理容器内に供給された前記処理ガスを排気バルブを介して排気する排気ポンプと、アンテナ電極に整合器を介して高周波電力を供給する高周波電源と、前記下部電極に高周波バイアスを供給する高周波バイアス電源とを備えるプラズマ処理装置を用いて前記試料にプラズマ処理を施す処理を、複数の試料に対して順次繰り返す慣らし放電を行うプラズマ処理方法において、次の条件(1)ないし(6)のうち複数の条件が成立したとき慣らし放電の終了と判定する。
(1)プラズマ中の炭素C2と水素Hの発光強度比(C2/H)が減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
(2)下部電極に供給する高周波バイアスのピークツーピーク電圧がプラズマ処理時間の増加とともに増加して予め設定された慣らし放電の終了と判定する判定値に達したとき、
(3)プラズマ処理中における前記排気バルブの開度がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
(4)プラズマ放電終了後、処理容器内圧力が所定真空圧に排気されるまでに要する時間がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
(5)前記整合器を構成するコンデンサの整合動作時の静電容量がプラズマ処理時間の増加とともに増加して予め設定された慣らし放電の終了と判定する判定値に達したとき、
(6)前記アンテナ電極に整合器を介して高周波電力を供給してから、プラズマが着火するまでの時間がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
本発明は、以上の構成を備えるため、ウエットクリーニング後の慣らし放電の終点を汎用性のある方法で高精度に判定することのできるプラズマ処理技術を提供することができる。
以下、最良の実施形態を添付図面を参照しながら説明する。図1は、本発明を適用できるUHF−ECR(Electron Cyclotron Resonance)を用いたプラズマエッチング装置を示す図である。UHF−ECRを用いたプラズマエッチング装置は、UHF電磁波をアンテナ102より放射し、磁場との相互作用によってプラズマを生成するUHF−ECR方式のプラズマエッチング装置である。
このエッチング装置におけるプラズマ処理容器(この例の場合はエッチング処理容器101)は図示しない温調手段によりその内壁面を20〜100℃の温度範囲で温度調整可能となっている。エッチング処理容器101の上部にはアンテナ102が配置され、エッチング処理容器101とアンテナ102との間にはUHF電磁波を透過可能な誘電体103が設けられている。アンテナ102には、導波管104および整合器105を介して、UHF電磁波を発生する高周波電源106が接続されている。
エッチング処理容器101の外周部にはエッチング処理容器101内に磁場を形成するための磁場コイル107が配置されている。エッチング処理容器101内のアンテナ102の下方にはウエハ108等の試料を配置するための試料台としての下部電極109が設けられている。下部電極109には、エッチング処理容器101の外にある高周波電源111が高周波バイアス整合器110を介して接続されている。
このように構成したプラズマエッチング装置では、高周波電源106から出力されたUHF電磁波は、整合器105、導波管104およびアンテナ102を介してエッチング処理容器101に供給される。
一方、エッチング処理容器101の周囲に配置した磁場コイル107による磁界がエッチング処理容器101に形成され、前記UHF電磁波の電界と磁場コイルの磁界との相互作用によって、図示しないガス供給装置を介してエッチング処理容器101内に導入されたエッチングガスは効率良くプラズマ化される。
図2は、このようなプラズマエッチング装置において、慣らし放電の終了を判定するための構成を説明する図である。図2において、117はデータ収集部であり、プラズマ処理中にエッチングパラメータを逐次モニタリングし、モニタリングしたデータを収集する。なお、収集するエッチングパラメータとしては、(1)プラズマ発光強度(スペクトル強度)、(2)ウエハバイアス電圧、(3)排気バルブの開度、(4)エッチング処理容器の真空排気時間、(5)整合器を構成するコンデンサの整合時容量、(6)プラズマ着火時間、(7)静電吸着電圧、(8)伝熱ガス流量、等を設定する。
119はデータベース部であり、慣らし放電の終了を判定するための判定値等を保存する。118は演算処理部であり、前記収集部117が収集したデータと前記データベース部119に保存されている判定値と比較演算する。これにより、ウェットクリーニング後に行う慣らし放電の終了時点を判定することができる。120は制御部であり、演算処理部118の出力信号を基にエッチング装置を制御する。また、前記収集部が収集したデータをもとにエッチング装置を制御する。
以下に、慣らし放電の終了時点を判定する例を詳述する。
[実施例1]
本実施例ではモニタリングするパラメータとしてプラズマ発光を選定する。なお、プラズマ発光は、エッチング処理容器101内で発生したプラズマ発光を光ファイバ121を介して導出し、導出した光を光検出器113によりモニタする。
図3は第1の実施例を説明する図であり、ウエットクリーニング後に、フッ素(F)を主体とした混合ガスを用いて慣らし放電(慣らし放電は、ダミーウエハに対するプラズマ処理を、ダミーウエハを交換しながら継続して行われる。また、前記モニタリングは、例えば、各ウエハに対するプラズマ処理の終期に行われる)を行った場合において、慣らし放電の累積時間に対するエッチングレートの変化の推移を示した例である。
本実施例に使用したフッ素(F)を含む処理ガスはSFとCHFの混合ガスであり、流量はそれぞれ15mL/min,112mL/minである。また、処理圧力は0.4Pa、プラズマ生成用電力は800W、下部電極109に印加する高周波バイアスは15Wである。
図3において、曲線aは、試料であるウエハ(ダミーウエハ)108上に形成されたポリシリコンのエッチングレートの推移を表す。また、曲線bは、慣らし放電時の炭素(C2)および水素(H)の発光強度比(C/H)の推移を表す。
図3に示すように、慣らし放電時間が長くなるにつれて、ポリシリコンのエッチングレートが安定することがわかる。このような現象は以下のように推測される。すなわち、慣らし放電により発生するカーボン系の反応生成物(デポ、C,CF.CH等からなる)は、その一部はプラズマ処理容器の内壁に付着し、他は排気される。
慣らし放電が不十分な場合、即ちウエットクリーニング直後は、カーボン系デポが処理容器内壁に付着する確率が高い。このため、供給された前記混合ガス中のフッ素(F)が処理容器内壁に付着しているカーボン系デポに消費される。したがって、ウエハに供給されるフッ素(F)が減少してポリシリコンのエッチングレートが低下すると考えられる。
一方、十分な慣らし放電を実施すると、チャンバ内壁に付着したカーボン系デポが減少するため、処理容器内壁で消費されるフッ素(F)が減少し、ウエハに供給されるフッ素(F)が増加してポリシリコンのエッチレートが増加したと考えられる。
すなわち、図3の曲線bに示すように、プラズマ発光の発光強度比(C/H)の推移モニタし、発光強度比(C/H)が安定値に達したとき慣らし放電の終了と判定することができる。
[実施例2]
図4は、第2の実施例を説明する図である。本実施例では、モニタリングするパラメータとしてウエハバイアス電圧Vpp(ピークツーピーク電圧)を選定する。
本実施例では、3台の同一仕様のエッチング装置(装置1,装置2,装置3)を用い、それぞれのエッチング装置にウエットクリーニングを施した後、真空排気を開始する。処理容器内の圧力が0.0005Pa以下に到達するまで排気を継続する。また、処理容器内のアウトガス・レートが0.08Pa・L/sec以下であることを確認する。その後、新品のSiウエハ(ダミーウエハ)を用いて、慣らし放電を繰り返し実施しながら、高周波バイアス電源111のVpp電圧を測定した。なお、前記Vppは高周波バイアス整合器110の部分で検出する。
処理条件としては、例えばプラズマ生成用電力600Wで、処理ガスとしてCl/HBr/Oの混合ガスを用いてプラズマを生成し、高周波バイアスを50W印加した条件で処理を行った。
本実施例では、前記3台のエッチング装置の処理圧力を、1.6Pa,1.2Paおよび0.4Paに設定し処理を行い、Vpp変動を前記処理圧力毎にモニタした。なお、処理容器101の圧力は圧力計114を介してモニタすることができる。
図4の処理圧力:1.6Paの例に示すように、ウエットクリーニング直後のVpp電圧は3台とも異なる値を示すが、慣らし放電を続けていくと、ある一定の電圧で安定していくことががわかる。この現象は以下のように推測される。すなわち、慣らし放電が不十分なときには処理容器内壁にSiとエッチングガスとの反応生成物が付着する。しかし慣らし放電が十分になると、前記処理容器内壁付着した反応生成物、あるいはウエットクリーニングの際に処理容器内に残留した水分等が除去され、装置状態が安定化したことによるとものと考えられる。
すなわち、下部電極に供給する高周波バイアスのピークツーピーク電圧Vppが慣らし放電の処理時間の増加とともに増加して安定値に達したとき、慣らし放電の終了と判定することができる。
なお、図4に示す処理圧力:1.2Paの例、あるいは処理圧力:0.4Paの例に示すように、処理圧力が1.6Paに満たない処理条件の場合には、処理容器内壁からのアウトガス等の影響を受けにくく、Vpp変動を有効に検出することは難しくなる。
[実施例3]
図5は第3の実施例を説明する図である。本実施例では、モニタリングするパラメータとして排気バルブ115の開度(VV開度)を選定する。排気バルブ開度は、処理容器内圧力が設定圧力になるようにターボ分子ポンプ116の排気具合を調整する排気バルブの開度である。
本実施例では、3台の同一仕様のエッチング装置(装置1,装置2,装置3)のそれぞれにウエットクリーニングを施した後、ターボ分子ポンプ116により、例えば一定流量で真空排気を開始し、処理容器内圧力が0.0005Pa以下になるまで真空排気を継続する。次いで、処理条件として、例えば処理容器内圧力を2.0Paに制御し、プラズマ生成用電力を600Wに設定し、処理ガスとしてCl/HBr/Oの混合ガスを用いてプラズマを生成し、RFバイアスを50W印加した条件で処理を行った。試料としてはSiウエハ(ダミーウエハ)を用い、慣らし放電毎に前記処理容器圧力を2.0Paに維持するに要する排気バルブ開度を測定した。
図5の、処理圧力:2.0Paの例に示すように、ウエットクリーニング直後は、排気バルブ開度が大きいことがわかる。
慣らし放電が不十分な場合には、ウエットクリーニングにより処理容器内に残留した水分あるいは大気中の成分と反応して生成されたデポからアウトガスが生成し、生成したアウトガスが処理容器内の圧力をわずかに上昇させるためである。慣らし放電が十分になると、前記残留した水分あるいはデポが除去されて生成するアウトガスが減少する。このため、処理容器内の圧力の上昇が抑制されることにより、排気バルブ開度は小さくなる。なお、図5の、処理圧力:5.0Paの例に示すように、処理容器圧力を5.0Paに制御する場合においても、処理容器圧力を2.0Paに制御する場合と同様な傾向が得られる。
すなわち、排気バルブ開度が、慣らし放電の処理時間の増加とともに減少して安定値に達したとき、慣らし放電の終了と判定することができる
[実施例4]
図6は、第4の実施例を説明する図である。本実施例では、モニタリングするパラメータとして、Siウエハ(ダミーウエハ)を用いた慣らし放電後に、処理容器内の残留ガスをターボ分子ポンプにより排出する際、一定圧力までに到達するに要する時間を設定し、この時間の推移を測定する。
この例では、2台の同一仕様のエッチング装置(装置1,装置2)にウエットクリーニングを施した後、真空排気を開始し、処理容器内の圧力を0.0005Pa以下に到達させる。このとき処理容器内のアウトガス・レートが0.08Pa・L/sec以下であることを確認した後、新品のSiウエハ(ダミーウエハ)を用いて、慣らし放電を実施した。
処理条件としては、例えば処理圧力を0.4Paに制御し、プラズマ生成用電力を600Wに設定し、処理ガスとしてCl/HBr/Oの混合ガスを用いてプラズマを生成し、RFバイアスを50W印加した条件で処理を行った。
Siウエハ(ダミーウエハ)を用いた慣らし放電を行った後に、処理容器内の残留ガスをターボ分子ポンプ116により、例えば一定流量で排出する。このとき一定圧力(目標圧力)まで到達するに要する時間を測定する。
本実施例では、到達圧力を0.005Paに設定し、この圧力に到達するまでの時間を測定した。なお、処理容器内圧力は圧力計114によりモニタすることができる。
図6は、前記目標圧力(0.005Pa)に到達するまでの時間(排気時間)の推移を示す図である。図6に示すように、ウエットクリーニング直後は、目標圧力までの到達時間が長いことがわかる。これは、ウエットクリーニングにより、処理容器内に残留した水分、あるいは大気中の成分と反応して生成された物質が、プラズマ放電により解離され、プラズマ放電後の排気時間に影響を与えていると考えられる。
なお、前記排気時間は、Siウエハ(ダミーウエハ)を用いた慣らし放電を重ねると短縮されて行き、一定の時間で安定していくようになる。これは処理容器内の状態が安定したことを示している。すなわち、図6に示すように、慣らし放電後における排気時間の推移モニタし、プラズマ放電終了後、処理容器内圧力が目標圧力に排気されるまでに要する時間が慣らし放電時間の増加とともに減少して、安定値に達したとき、慣らし放電の終了と判定することができる。
[実施例5]
図7,8は、第5の実施例を説明する図である。本実施例では、モニタリングするパラメータとしてプラズマ生成用高周波電源に接続した整合器105(ソースバイアス整合器)を構成するコンデンサの整合時の静電容量を設定し、該容量の変化の推移を測定する。
図7は、整合器105の構成を説明する図である。図7において、71はプラズマ生成用高周波電源106と導波管104を接続する同軸線路である。72,73,74はそれぞれ同軸線路71に接続した分岐路であり、分岐路72にはコンデンサC1、リアクトルL1からなる共振回路が挿入され、分岐路73にはコンデンサC2、リアクトルL2からなる共振回路が挿入され、分岐路74にはコンデンサC3、リアクトルL3からなる共振回路が挿入される。
図7は、ウエットクリーニング後の慣らし放電時間に対する整合器105の整合時における容量(整合容量)の推移を示した図である。なお、慣らし放電に使用したハロゲンを含むガスは、Cl/O/HBrの混合ガスであり、流量はそれぞれ40mL/min,5mL/min,140mL/min、処理圧力は0.4Pa、プラズマ生成用電力は500W、下部電極に印加する高周波バイアスは20Wである。
図8(a)は整合器105を構成するコンデンサC1の整合容量の推移を示し、図8(b)はコンデンサC2の整合容量の推移を示し、図8(c)はコンデンサC3の整合容量の推移を示す。
慣らし放電時間が長くなるにつれて、図8(a)に示すコンデンサC1および図8(c)に示すコンデンサC3の整合容量は大きく変動しないのに対し、図8(b)に示すコンデンサC2の整合容量は大きく変動する。また、図6(b)に示すようにコンデンサC2の整合容量は、慣らし放電時間40分以上で安定する。
このような現象は以下のように推測される。慣らし放電が不十分な場合、即ちウエットクリーニング直後は、残留した水分や大気中で生成されたデポがアウトガス成分となるため、処理容器内雰囲気が不安定となり、コンデンサの整合容量が安定しないと考えられる。しかし、慣らし放電が十分な場合は、慣らし放電にて生成されたデポで処理容器内雰囲気が安定することにより、コンデンサの整合容量も安定すると考えられる。このように、整合器105を構成するコンデンサの整合時の静電容量(整合容量)を検出し、検出容量がプラズマ処理時間の増加とともに増加して安定値に達したとき、慣らし放電の終了と判定することができる。
[実施例6]
図9は、第6の実施例を説明する図である。本実施例では、モニタリングするパラメータとしてプラズマ着火検出時間(プラズマ生成用高周波電力を印加してからプラズマが生成するまでの時間)を設定する。
本実施例では、2台の同一仕様のエッチング装置(装置1,装置2)にウエットクリーニングを施した後に真空排気を開始する。処理容器内の圧力を0.0005Pa以下に設定し、その後、Siウエハ(ダミーウエハ)を順次搬入して慣らし放電を繰り返す。このとき、光検出器113により、前記プラズマ着火時間を測定する。
慣らし放電の条件として、プラズマ生成用電力を400Wに設定し、処理ガスとしてAr/CF/CHF/Oの混合ガスを用い、下部電極に印加する高周波バイアスとして150Wを設定した。
図9は、ウエットクリーニング後の慣らし放電時間に対するプラズマ着火時間の推移を示した図である。図9に示すように、ウエットクリーニング直後は慣らし放電を行っても、プラズマ着火時間は装置1および装置2共に不安定である。しかし、慣らし放電を繰り返すことによりプラズマ着火時間は安定する。これは、ウエットクリーニング後に処理容器内壁に残留している水分あるいは洗浄での残留物が慣らし放電を繰り返すことにより除去され、処理容器内壁の表面状態が安定し、また、慣らし放電によるエッチング作用に伴って生成された反応生成物が処理容器内壁へ堆積し安定したことを示している。
すなわち、処理容器にプラズマ生成用高周波電力を供給してから、プラズマが着火するまでの時間を検出し、該時間がプラズマ処理時間の増加とともに減少して安定値に達したとき、慣らし放電の終了と判定することができる。
[実施例7]
図10は、第7の実施例を説明する図である。本実施例では、モニタリングするパラメータとして静電吸着電圧(ESC電圧)を設定する。なお、静電吸着電圧は静電吸着電源112が発生する直流電圧であり、ウエハ108を下部電極109に静電吸着させる電圧である。静電吸着電圧は、静電吸着用電源112の電源電圧が一定であっても電源の内部抵抗により変動する。すなわち静電吸着電流の変動に応じて変動する。
本実施例では、3台の同一仕様のエッチング装置(装置1,装置2,装置3)のそれぞれにウエットクリーニングを施した後、ターボ分子ポンプ116により真空排気を開始し、処理容器内圧力が0.0005Pa以下になるまで真空排気を継続する。次いで、処理条件として、例えば処理容器内圧力を2.0Paに制御し、プラズマ生成用電力を600Wに設定し、処理ガスとしてCl/HBr/Oの混合ガスを用いてプラズマを生成し、RFバイアスを50W印加した条件で処理を行った。
図10は、静電吸着電圧の時間推移を示す図である。図に示すように、ウエットクリーニング直後は静電吸着電圧が小さくて、慣らし放電が十分になるにつれて、静電吸着電圧が安定する。この現象は以下のように推測する。慣らし放電が不十分なときはウエットクリーニングによる残留水分あるいは反応生成物からのアウトガスにより、放電が不安定になる。このため、静電吸着電圧は小さく、その値もばらつく。慣らし放電が十分になると、前記残留水分あるいは前記反応生成物は除去され、アウトガスが減少して、プラズマは安定する。これにより静電吸着電圧が安定する。
このように、下部電極に供給する静電吸着電圧のばらつきがプラズマ処理時間の増加とともに減少し、かつ前記静電吸着電圧が増加して安定値に達したとき、慣らし放電の終了と判定することができる。
[実施例8]
本実施例では、モニタするパラメータとして下部電極と該下部電極上に載置したウエハ間に供給する伝熱ガスの流量を設定する。伝熱ガス(He)の流量は、ウエットクリーニング直後は大きくて、慣らし放電が十分になるにつれて、減少して安定する。
本実施例では、3台の同一仕様のエッチング装置のそれぞれにウエットクリーニングを施した後、ターボ分子ポンプ116により真空排気を開始し、処理容器内圧力が0.0005Pa以下になるまで真空排気を継続する。次いで、処理条件として、例えば処理容器内圧力を2.0Paに制御し、プラズマ生成用電力を600Wに設定し、処理ガスとしてCl/HBr/Oの混合ガスを用いてプラズマを生成し、RFバイアスを50W印加した条件で処理を行った。
ウエットクリーニング後、Siウエハ(ダミーウエハ)を用いて慣らし放電を繰り返し実施しすることにより、下部電極のヘッド部の残留水分が除去され、また、下部電極表面の外周部が反応生成物に覆われてウエハの吸着力が回復する。これにより、伝熱ガスの流量が減少して安定するものと考えられる。 なお、伝熱ガスの流量に代えて伝熱ガスの圧力(ウエハの裏面側における圧力)の推移を利用することができる。
すなわち、下部電極と該下部電極上に載置した試料間に供給する伝熱ガスの流量を検出し、該流量がプラズマ処理時間の増加とともに減少して安定値に達したとき、慣らし放電の終了と判定することができる。
以上説明したように、各実施例において慣らし放電の終了と判定した時点、すなわち、(1)プラズマ中の炭素Cと水素Hの発光強度比(C/H)が減少して安定値に達したとき、
(2)下部電極に供給する高周波バイアスのピークツーピーク電圧がプラズマ処理時間の増加とともに増加して安定値に達したとき、
(3)プラズマ処理中における前記排気バルブの開度がプラズマ処理時間の増加とともに減少してて安定値に達したとき、
(4)プラズマ放電終了後、処理容器内圧力が所定真空圧に排気されるまでに要する時間がプラズマ処理時間の増加とともに減少して安定値に達したとき、
(5)整合器を構成するコンデンサの整合動作時の静電容量がプラズマ処理時間の増加とともに増加して安定値に達したとき、
(6)アンテナ電極に整合器を介して高周波電力を供給してから、プラズマが着火するまでの時間がプラズマ処理時間の増加とともに減少して安定値に達したとき、
(7)下部電極に供給する静電吸着電圧のばらつきがプラズマ処理時間の増加とともに減少し、かつ前記静電吸着電圧が増加して安定値に達したとき、
(8)下部電極と該下部電極上に載置した試料間に供給する伝熱ガスの流量がプラズマ処理時間の増加とともに減少して安定値に達したとき、
はいずれもウエットクリーニングの際に付着した水分(残留水分)等に基づくアウトガスの供給が消失した時点、あるいは装置に所定量の反応生成物が付着して装置が安定化した時点、に密接に関連していると考えられる。
したがって、少なくとも前記アウトガスに伴う処理室内圧力の上昇(排気に要する時間の増大)を間接的に測定することで慣らし放電の終了時点を判定することができる。このため、以上に説明した各実施例では、慣らし放電中に、ある特定の物理量(パラメータ)が慣らし放電時間の経過と共に変化し、その後安定することを利用して、慣らし放電の終点を判定している。
前記物理量が安定したと判定する基準の設定に際して、発明者らは、事前に数多く実験を行い、前記物理量がどのように推移するかを記録した。
前記実験結果によれば、ウエットクリーニング後に慣らし放電を継続した場合、毎回安定して一定値になるパラメータもあれば、ウエットクリーニング毎に安定する値が異なるパラメータもある。
例えば、前記パラメータの値が、関係する部品の消耗度等に影響されない場合には、ウエットクリーニング毎にパラメータの安定する値が変化することはない(例えば、実施例2,3,4,5,6,7,8)。
一方、前記パラメータの値が、関係する部品の消耗度等に影響される場合には、ウエットクリーニング毎にパラメータの安定する値が異なることになる(例えば、実施例1)。
前者の場合(パラメータの値が毎回再現する場合)には、終点判定においてはパラメータの値がある閾値を超える(あるいは下回る)ことにより判定できる。この判定方法を以下、レベル判定と呼ぶこととする。
また、後者の場合(パラメータの値が毎回異なる場合)には、ある特定時点のパラメータの値を単独に用いるのみでは終点判定が行えない。このため、何度か実施する慣らし放電中のパラメータの変化量をチェックすることにより終点判定を行う必要がある。このような判定は従来よりプラズマ発光を用いたエッチング終点判定では実施されている。この方法では、パラメータの1次、もしくは2次微分値を計算し、その変化量により判定を行う。以下、この方法を1次、2次あわせて微分判定法と呼ぶ。
図11は、レベル判定法の原理を説明する図である。図11に示すように、慣らし放電時間の経過とともにパラメータの値が変化し、安定領域において安定する。ウエットクリーニング毎にこのように安定領域で安定し、その再現性が十分である場合には、安定領域における安定値の、例えば90%を越えた時点を慣らし放電の終点とすることができる。なお、この終点では、実際には未だ安定領域に入ってないので、実運用では終点判定後さらに一定のダミー放電時間を追加するとよい。
図12は微分法の原理を説明する図である。図12(a)に示すように推移するパラメータを1次微分すると図12(b)に示す1次微分値が得られ、2次微分すると図12(c)に示す2次微分値が得られる。このようにして得られた1次微分値あるいは2次微分値を閾値と比較して慣らし放電の終点を判定する。終点判定に際しては、誤判定防止用のデッドタイムを設定し、設定したデッドタイム経過した後の1次微分値あるいは2次微分値と閾値を比較して判定する。
図12(b)の例では、1次微分値が閾値を下回った場合、パラメータの変動が小さくなったことを表しているため、1次微分値が閾値を下回った時点を終点と判定する。
図12(c)の例では、2次微分値が閾値1を下回り、次いで閾値2を超えた時点を終点と判定する。2次微分値の極大、極小値は元の信号の変曲点を表すため、前述のように2次微分値と閾値を2度比較することにより、元の信号が一定状態から上昇に転じ、再度一定値に安定したことがわかる。
[実施例9]
本実施例では、モニタするパラメータとして前記実施例1ないし8においてモニタしたパラメータの全てをモニタする。すなわち、前記各実施例においてモニタしたパラメータは、ダミーウエハを用いた慣らし放電中に、同時にモニタすることができる。したがって実施例1ないし8の各々に開示した方法により慣らし放電の終点を検出することが可能であるが、すべての項目を用いて総合的に判定することもできる。以下に総合判定の例(総合判定例1,2,3)を図13,14,15を参照して説明する。
(総合判定例1)
図13は総合判定例1を説明する図である。この例では、まずモニタする項目(パラメータ)毎に点数を付与する。次に、モニタした項目毎に慣らし放電の終点が判定できたか否かを判別し、終点が判定できた項目に対して付与された前記点数のみを累積加算する。
図13の例では、各項目毎に各10点を付与し、終点が判定できた項目(1,2,6,7,8)に付与された点数を累積加算する。この例では、終点が判定できた項目が5項目あるので累積加算値は50点となる。また、モニタする項目は8項目あるので合計点数は最大80点となる。このうち、例えば60点獲得すれば、その時点で総合判定として慣らし放電が終了したものとすることができる。
(総合判定例2)
図14は総合判定例2を説明する図である。この例では、まずモニタする項目(パラメータ)毎に重み係数を付与する。また、図13の例と同様に各項目に10点を付与しておく。次に、モニタした項目毎に慣らし放電の終点が判定できたか否かを判別し、終点が判定できた項目に対して付与された前記点数のみに前記重み係数を積算して累積加算する。
図14の例では、各項目毎に各10点を付与し、更に図に示す重み係数を付与する。次に終点が判定できた項目(1,2,6,7,8)に付与された点数に重み係数を累積加算する。この例では、終点が判定できた項目が5項目あるので累積加算値は80点となる。また、モニタする項目は8項目あるので合計点数は最大135点となる。このうち、例えば90点獲得すれば、その時点で総合判定として慣らし放電が終了したものとすることができる。なお、この例では合計点が最大135点となるため、適当に正規化を行うとよい。
(総合判定例3)
図15は総合判定例3を説明する図である。前述のレベル判定法では、現在の値が目標値の何パーセントかという途中経過の値を得ることができる。
したがって、この例では、モニタしたパラメータの中で、そのレベルが測定可能なものについては、測定したレベルに応じて進行度(0ないし100%)を付与するようにした。
図15の例では、まずモニタする項目(パラメータ)毎に重み係数を付与する。また、図13の例と同様に各項目に10点を付与しておく。次に、モニタした項目毎に慣らし放電の終点が判定できたか否かを判別し、終点が判定できた項目に対して付与された前記点数のみに前記重み係数および進行度を積算して累積加算する。すなわち、(点数=10(点)×(進行度÷100)×係数)で計算する。なお、進行度に「−」表記のあるものは微分判定によるものであることを表している。
このように、重み係数および進行度を加味して総合判定することにより、より細かな総合判定が行えるようになり、余分な慣らし電時間を削減し、更に慣らし放電に使用するダミーウエハを節約することができる。
以上説明したように、エッチングパラメータの時間推移をモニタリングする機構を有し、モニタリングしたエッチングパラメータを予め設定した判定値と比較演算して、エッチング装置を制御する機構を制御するので、ウエットクリーニング後の慣らし放電の終了を高精度に判定して慣らし放電を終了することができる。これにより、 慣らし放電を必要最低限の処理枚数にすることができ、半導体製造装置の不稼動時間を短縮し、製造コストを低減することができる。
本発明を適用できるUHF−ECRを用いたプラズマエッチング装置を説明する図である。 慣らし放電の終了を判定するための構成を説明する図である。 第1の実施例を説明する図である。 第2の実施例を説明する図である。 第3の実施例を説明する図である。 第4の実施例を説明する図である。 第5の実施例を説明する図である。 第5の実施例を説明する図である。 第6の実施例を説明する図である。 第7の実施例を説明する図である。 レベル判定法の原理を説明する図である。 微分法の原理を説明する図である。 総合判定例1を説明する図である。 総合判定例2を説明する図である。 総合判定例3を説明する図である。
符号の説明
101 エッチング処理容器(プラズマ処理容器)
102 アンテナ
103 誘電体
104 導波管
105 整合器
106 プラズマ生成用高周波電源(UHF電源)
107 磁場コイル
108 ウエハ(試料)
109 下部電極(試料台)
110 高周波バイアス整合器
111 高周波バイアス電源
112 静電吸着用電源
113 光検出器
114 圧力計
115 排気バルブ
116 ターボ分子ポンプ
117 データ収集部
118 演算処理部
119 データベース部
120 制御部
121 光ファイバ

Claims (2)

  1. 料を載置する下部電極を内部に具備するプラズマ処理容器と、
    前記プラズマ処理容器内に処理ガスを供給するガス供給装置と、
    前記プラズマ処理容器内に供給された前記処理ガスを排気バルブを介して排気する排気ポンプと、
    アンテナ電極に整合器を介して高周波電力を供給する高周波電源と、
    前記下部電極に高周波バイアスを供給する高周波バイアス電源とを備えるプラズマ処理装置を用いて前記試料にプラズマ処理を施す処理を、複数の試料に対して順次繰り返す慣らし放電を行うプラズマ処理方法において、
    次の条件(1)ないし(6)のうち複数の条件が成立したとき慣らし放電の終了と判定することを特徴とするプラズマ処理方法。
    (1)プラズマ中の炭素C2と水素Hの発光強度比(C2/H)が減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (2)下部電極に供給する高周波バイアスのピークツーピーク電圧がプラズマ処理時間の増加とともに増加して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (3)プラズマ処理中における前記排気バルブの開度がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (4)プラズマ放電終了後、処理容器内圧力が所定真空圧に排気されるまでに要する時間がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (5)前記整合器を構成するコンデンサの整合動作時の静電容量がプラズマ処理時間の増加とともに増加して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (6)前記アンテナ電極に整合器を介して高周波電力を供給してから、プラズマが着火するまでの時間がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
  2. 料を載置する下部電極を内部に具備するプラズマ処理容器と、
    前記プラズマ処理容器内に処理ガスを供給するガス供給装置と、
    前記プラズマ処理容器内に供給された前記処理ガスを排気バルブを介して排気する排気ポンプと、
    アンテナ電極に整合器を介して高周波電力を供給する高周波電源と、
    前記下部電極に高周波バイアスを供給する高周波バイアス電源とを備え、
    前記試料にプラズマ処理を施す処理を、複数の試料に対して順次繰り返す慣らし放電を行うプラズマ処理装置において、
    次の条件(1)ないし(6)のうち複数の条件が成立したとき慣らし放電の終了と判定することを特徴とするプラズマ処理装置。
    (1)プラズマ中の炭素C2と水素Hの発光強度比(C2/H)が減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (2)下部電極に供給する高周波バイアスのピークツーピーク電圧がプラズマ処理時間の増加とともに増加して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (3)プラズマ処理中における前記排気バルブの開度がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (4)プラズマ放電終了後、処理容器内圧力が所定真空圧に排気されるまでに要する時間がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (5)前記整合器を構成するコンデンサの整合動作時の静電容量がプラズマ処理時間の増加とともに増加して予め設定された慣らし放電の終了と判定する判定値に達したとき、
    (6)前記アンテナ電極に整合器を介して高周波電力を供給してから、プラズマが着火するまでの時間がプラズマ処理時間の増加とともに減少して予め設定された慣らし放電の終了と判定する判定値に達したとき
JP2006152305A 2006-05-31 2006-05-31 プラズマ処理方法およびプラズマ処理装置 Expired - Fee Related JP4914119B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006152305A JP4914119B2 (ja) 2006-05-31 2006-05-31 プラズマ処理方法およびプラズマ処理装置
KR1020060075692A KR100780021B1 (ko) 2006-05-31 2006-08-10 플라즈마처리방법 및 플라즈마처리장치
US11/502,416 US8038896B2 (en) 2006-05-31 2006-08-11 Plasma processing method and apparatus
TW095129644A TW200744129A (en) 2006-05-31 2006-08-11 Plasma processing method and apparatus thereof
US12/846,403 US8900401B2 (en) 2006-05-31 2010-07-29 Plasma processing method and apparatus
US14/508,859 US9230782B2 (en) 2006-05-31 2014-10-07 Plasma processing method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006152305A JP4914119B2 (ja) 2006-05-31 2006-05-31 プラズマ処理方法およびプラズマ処理装置

Publications (3)

Publication Number Publication Date
JP2007324341A JP2007324341A (ja) 2007-12-13
JP2007324341A5 JP2007324341A5 (ja) 2009-06-18
JP4914119B2 true JP4914119B2 (ja) 2012-04-11

Family

ID=38790792

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006152305A Expired - Fee Related JP4914119B2 (ja) 2006-05-31 2006-05-31 プラズマ処理方法およびプラズマ処理装置

Country Status (4)

Country Link
US (3) US8038896B2 (ja)
JP (1) JP4914119B2 (ja)
KR (1) KR100780021B1 (ja)
TW (1) TW200744129A (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
KR100816453B1 (ko) * 2006-06-28 2008-03-27 (주)쎄미시스코 공정챔버의 실시간 리크 검출 시스템
JP2009231718A (ja) * 2008-03-25 2009-10-08 Renesas Technology Corp ドライエッチング終点検出方法
US8393197B2 (en) * 2008-07-24 2013-03-12 Pivotal Systems Corporation Method and apparatus for the measurement of atmospheric leaks in the presence of chamber outgassing
JP5160393B2 (ja) * 2008-12-16 2013-03-13 東京エレクトロン株式会社 プラズマ処理方法,プラズマ処理装置,プラズマ処理装置の水分量検出方法
JP2010165738A (ja) 2009-01-13 2010-07-29 Hitachi High-Technologies Corp プラズマ処理装置のシーズニング方法およびシーズニングの終了判定方法。
US20100332010A1 (en) * 2009-06-30 2010-12-30 Brian Choi Seasoning plasma processing systems
KR101604844B1 (ko) * 2009-12-16 2016-03-18 주성엔지니어링(주) 기판 처리 장치 및 이의 처리 방법
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
JP6078419B2 (ja) * 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
KR102543349B1 (ko) * 2016-07-11 2023-06-30 삼성전자주식회사 플라즈마 모니터링 장치
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
WO2019053836A1 (ja) 2017-09-14 2019-03-21 株式会社日立ハイテクノロジーズ プラズマ処理装置およびウェットクリーニング方法
US10134569B1 (en) * 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200130870A (ko) 2018-04-10 2020-11-20 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
JP6963097B2 (ja) 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
CN114080662A (zh) 2020-06-16 2022-02-22 株式会社日立高新技术 等离子处理装置以及等离子处理方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120173A (ja) * 1992-10-09 1994-04-28 Fujitsu Ltd エッチング終点検出方法
JPH07263408A (ja) * 1994-03-17 1995-10-13 Hitachi Ltd プラズマエッチング方法
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH0982645A (ja) * 1995-09-08 1997-03-28 Hitachi Ltd Cvd装置のクリーニング方法
JP3766991B2 (ja) * 1995-10-20 2006-04-19 株式会社日立製作所 プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置
JPH1050662A (ja) 1996-07-29 1998-02-20 Hitachi Ltd 半導体製造方法及び装置及びそれを用いて製造された半導体素子
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
JP3408409B2 (ja) * 1997-10-29 2003-05-19 松下電器産業株式会社 半導体装置の製造方法およびドライエッチング装置の反応室環境制御方法
KR200183543Y1 (ko) * 1997-12-08 2000-08-01 김영환 반도체 웨이퍼 식각장치
KR19990065311A (ko) 1998-01-12 1999-08-05 윤종용 식각 종말점 감지방법
JPH11233487A (ja) * 1998-02-13 1999-08-27 Hitachi Ltd 静電吸着電極のクリーニング方法及びその検出装置
JP4051470B2 (ja) * 1999-05-18 2008-02-27 東京エレクトロン株式会社 終点検出方法
JP2001081545A (ja) * 1999-09-09 2001-03-27 Tokyo Electron Ltd 成膜装置のクリーニング方法及びクリーニング装置
JP3535785B2 (ja) * 1999-11-26 2004-06-07 Necエレクトロニクス株式会社 クリーニング終点検出装置およびクリーニング終点検出方法
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
JP2002057149A (ja) * 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
US6566270B1 (en) * 2000-09-15 2003-05-20 Applied Materials Inc. Integration of silicon etch and chamber cleaning processes
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP3642299B2 (ja) * 2001-07-16 2005-04-27 松下電器産業株式会社 電子部品のプラズマクリーニング方法
JP4574422B2 (ja) * 2001-11-29 2010-11-04 株式会社日立ハイテクノロジーズ 発光分光処理装置
US20030159778A1 (en) * 2002-02-27 2003-08-28 Kunihiko Koroyasu Plasma processing apparatus, protecting layer therefor and installation of protecting layer
US7313451B2 (en) * 2002-03-12 2007-12-25 Tokyo Electron Limited Plasma processing method, detecting method of completion of seasoning, plasma processing apparatus and storage medium
JP4476551B2 (ja) 2003-01-29 2010-06-09 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
JP5404984B2 (ja) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7420653B2 (en) * 2003-10-02 2008-09-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4490704B2 (ja) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP4723871B2 (ja) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ ドライエッチング装置
JP4378234B2 (ja) * 2004-07-01 2009-12-02 株式会社日立ハイテクノロジーズ エッチング方法
JP2006073751A (ja) * 2004-09-01 2006-03-16 Ulvac Japan Ltd プラズマクリーニング処理の終点検出方法及び終点検出装置
US20060151429A1 (en) * 2005-01-11 2006-07-13 Hiroyuki Kitsunai Plasma processing method
JP4628807B2 (ja) * 2005-01-28 2011-02-09 株式会社日立ハイテクノロジーズ 真空処理装置および真空処理方法
JP2007073751A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp プラズマ処理装置および処理方法
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置

Also Published As

Publication number Publication date
US8900401B2 (en) 2014-12-02
US20150020970A1 (en) 2015-01-22
US9230782B2 (en) 2016-01-05
TW200744129A (en) 2007-12-01
US20100288195A1 (en) 2010-11-18
JP2007324341A (ja) 2007-12-13
TWI342046B (ja) 2011-05-11
US20070281478A1 (en) 2007-12-06
KR100780021B1 (ko) 2007-11-27
US8038896B2 (en) 2011-10-18

Similar Documents

Publication Publication Date Title
JP4914119B2 (ja) プラズマ処理方法およびプラズマ処理装置
TWI656573B (zh) 利用無晶片乾式清潔之光學放射光譜進行的乾式蝕刻處理特徵控制
US6499492B1 (en) Plasma process apparatus with in situ monitoring, monitoring method, and in situ residue cleaning
KR100881045B1 (ko) 챔버 내 잔여물의 2단계 플라즈마 세정
US20040263827A1 (en) Novel methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
TWI529788B (zh) 電漿未侷限之偵測方法與裝置
US7959970B2 (en) System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US20090165951A1 (en) Plasma processing apparatus capable of suppressing variation of processing characteristics
WO2002091453A9 (en) High pressure wafer-less auto clean for etch applications
TWI442468B (zh) Plasma processing device and plasma processing method
US20190043700A1 (en) Effluent impedance based endpoint detection
US8114244B2 (en) Method for etching a sample
JP2010165738A (ja) プラズマ処理装置のシーズニング方法およびシーズニングの終了判定方法。
KR20190031101A (ko) 플라즈마 처리 방법
US20040235303A1 (en) Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
KR100473856B1 (ko) 플라즈마 챔버의 공정 상태 관찰방법
US7312865B2 (en) Method for in situ monitoring of chamber peeling
US10854433B2 (en) In-situ real-time plasma chamber condition monitoring
JP5160393B2 (ja) プラズマ処理方法,プラズマ処理装置,プラズマ処理装置の水分量検出方法
JP2002110642A (ja) プラズマ処理方法
JP5189859B2 (ja) プラズマ処理方法
JP2008109071A (ja) 半導体装置の製造方法、半導体製造装置及び半導体装置
JP2009260091A (ja) プラズマ処理装置のシーズニング方法
KR20230119605A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090422

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090422

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111011

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120110

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120120

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150127

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees