TWI831204B - 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式 - Google Patents

半導體裝置之製造方法、基板處理方法、基板處理裝置及程式 Download PDF

Info

Publication number
TWI831204B
TWI831204B TW111118171A TW111118171A TWI831204B TW I831204 B TWI831204 B TW I831204B TW 111118171 A TW111118171 A TW 111118171A TW 111118171 A TW111118171 A TW 111118171A TW I831204 B TWI831204 B TW I831204B
Authority
TW
Taiwan
Prior art keywords
gas
film
substrate
supplying
raw material
Prior art date
Application number
TW111118171A
Other languages
English (en)
Other versions
TW202311558A (zh
Inventor
赤江尚徳
清水富介
尾崎貴志
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW202311558A publication Critical patent/TW202311558A/zh
Application granted granted Critical
Publication of TWI831204B publication Critical patent/TWI831204B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明係施行:(a)對表面設有凹狀構造的基板供給第1原料氣體,而在上述凹狀構造的內面形成具有既定黏著力之第1膜的步驟;以及 (b)對上述基板供給第2原料氣體,而在上述第1膜上形成具有黏著力小於上述第1膜之黏著力之第2膜的步驟。

Description

半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
本發明係關於半導體裝置之製造方法、基板處理方法、基板處理裝置及程式。
半導體裝置之製造步驟中一步驟係施行在基板表面上形成膜的處理(例如參照專利文獻1,2)。 [先前技術文獻] [專利文獻]
專利文獻1:日本專利特開2010-153776號公報 專利文獻1:日本專利特開2014-216342號公報
(發明所欲解決之問題)
本發明之目的在於提供:當利用膜嵌入基板的凹狀構造內部時,降低在基板表面上所形成圖案間生成之應力的技術。 (解決問題之技術手段)
根據本發明之一態樣,提供施行以下步驟的技術: (a)對表面設有凹狀構造的基板供給第1原料氣體,而在上述凹狀構造的內面形成具有既定黏著力之第1膜的步驟;以及 (b)對上述基板供給第2原料氣體,而在上述第1膜上形成具有黏著力小於上述第1膜之黏著力之第2膜的步驟。 (對照先前技術之功效)
根據本發明,當利用膜嵌入基板的凹狀構造內部時,可降低在基板表面上所形成圖案間生成之應力。
<本發明之一態樣> 以下,針對本發明之一態樣,主要參照圖1~圖4進行說明。另外,以下說明所使用的圖式均為示意性,圖式所示各要素的尺寸關係、各要素的比率等未必與實物一致。又,於複數圖式彼此間,各要素的尺寸關係、各要素的比率等亦未必一致。
(1)基板處理裝置之構成 如圖1所示,處理爐202係設有作為溫度調整器(加熱部)的加熱器207。加熱器207係呈圓筒形狀,藉由被保持板支撐而呈垂直安裝。加熱器207亦作為利用熱使氣體活化(激發)的活化機構(激發部)發揮功能。
在加熱器207的內側,與加熱器207呈同心圓狀地配設有反應管203。反應管203係例如由石英(SiO 2)或碳化矽(SiC)等耐熱性材料構成,形成為上端封閉下端開口的圓筒形狀。在反應管203的下方,與反應管203呈同心圓狀地配設有歧管209。歧管209係例如由不鏽鋼(SUS)等金屬材料構成,形成為上端與下端均開口的圓筒形狀。歧管209的上端部係接合於反應管203的下端部,依支撐著反應管203的方式構成。在歧管209與反應管203之間設有作為密封構件的O形環220a。反應管203係與加熱器207同樣地呈垂直安裝。主要係由反應管203與歧管209構成處理容器(反應容器)。於處理容器的筒中空部形成處理室201。處理室201係構成為可收容作為基板之晶圓200。在該處理室201內施行對晶圓200的處理。
在處理室201內,依貫穿歧管209側壁的方式分別設置作為第1供給部、第2供給部的噴嘴249a,249b。噴嘴249a,249b亦分別稱為「第1噴嘴、第2噴嘴」。噴嘴249a,249b係例如由石英或SiC等耐熱性材料構成。噴嘴249a,249b分別連接氣體供給管232a,232b。噴嘴249a,249b係各自不同的噴嘴,噴嘴249a,249b係相互鄰接設置。
在氣體供給管232a,232b中,從氣流上游側起依序分別設有:屬於流量控制器(流量控制部)的質量流量控制器(MFC)241a,241b、及屬於開閉閥的閥243a,243b。氣體供給管232a在較閥243a更靠下游側分別連接著氣體供給管232c~232e。氣體供給管232b在較閥243b更靠下游側連接著氣體供給管232f。在氣體供給管氣體供給管232c~232f中,從氣流上游側起依序分別設有:MFC241c~241f及閥243c~243f。氣體供給管232a~232f係由例如SUS等金屬材料構成。
如圖2所示,噴嘴249a,249b係在反應管203內壁與晶圓200間俯視時呈圓環狀的空間中,從反應管203的內壁下部沿上部,分別依朝晶圓200排列方向上方立起的方式設置。亦即,噴嘴249a,249b係在晶圓200所排列的晶圓排列區域側邊、水平地包圍晶圓排列區域的區域中,依沿晶圓排列區域的方式分別設置。在噴嘴249a,249b的側面分別設有供給氣體的氣體供給孔250a,250b。氣體供給孔250a,250b分別係俯視時朝晶圓200中心開口,可朝晶圓200供給氣體。氣體供給孔250a,250b係從反應管203下部朝上部複數設置。
從氣體供給管232a將第1原料氣體經由MFC241a、閥243a及噴嘴249a,供給至處理室201內。
從氣體供給管232b將作為氧化氣體之含氧(O)氣體,經由MFC241b、閥243b、及噴嘴249b,供給至處理室201內。
從氣體供給管232c將第2原料氣體經由MFC241c、閥243c及噴嘴249a,供給至處理室201內。
從氣體供給管232d將作為還原氣體的含氫(H)氣體,經由MFC241d、閥243d、氣體供給管232a、及噴嘴249a,供給至處理室201內。含H氣體係單體時便無法獲得氧化作用,但在後述基板處理步驟中,藉由在特定條件下與含O氣體進行反應,生成原子狀氧(atomic oxygen、O)等氧化種,依提升氧化處理效率的方式作用。所以,含H氣體係可考慮包含於氧化氣體中。
從氣體供給管232e,232f將惰性氣體分別經由MFC241e,241f、閥243e,243f、氣體供給管232a,232b、噴嘴249a,249b,供給至處理室201內。惰性氣體係作用為沖洗氣體、載氣、稀釋氣體等。
主要由氣體供給管232a、MFC241a、閥243a構成「第1原料氣體供給系統」。主要由氣體供給管232c、MFC241c、閥243c構成「第2原料氣體供給系統」。
主要由氣體供給管232b、MFC241b、閥243b構成「氧化氣體供給系統」。主要由氣體供給管232d、MFC241d、閥243d構成「還原氣體供給系統」。亦可考慮將氣體供給管232d、MFC241d、閥243d涵蓋於氧化氣體供給系統中。氧化氣體及還原氣體係在後述基板處理步驟中使用作為反應氣體。在基板處理步驟中,可將在基板上形成第1膜時所使用的反應氣體稱為「第1反應氣體」,將在基板上形成第2膜時所使用的反應氣體稱為「第2反應氣體」。所以,氧化氣體供給系統與還原氣體供給系統之各者或兩者亦可稱為「反應氣體供給系統」(第1反應氣體供給系統、第2反應氣體供給系統)。
主要由氣體供給管232e,232f、MFC241e,241f、閥243e,243f構成「惰性氣體供給系統」。
原料氣體與反應氣體之各者或兩者亦稱為「成膜氣體」,原料氣體供給系統、氧化氣體供給系統之各者或兩者亦稱為「成膜氣體供給系統」。
上述各種供給系統中任一或全部供給系統,亦可構成為由閥243a~243f或MFC241a~241f等集聚而成的積體型供給系統248。積體型供給系統248係連接於氣體供給管232a~232f之各者,且構成為利用後述控制器121控制各種物質(各種氣體)對氣體供給管232a~232f內的供給動作、即閥243a~243f的開閉動作或利用MFC241a~241f進行的流量調整動作等。積體型供給系統248係構成為一體型、或分割型的積體單元,且構成為可利用積體單元單位對氣體供給管232a~232f等進行裝卸,可利用積體單元單位對積體型供給系統248進行保養、更換、增設等。
在反應管203的側壁下方設有將處理室201內的環境氣體排出的排氣口231a。排氣口231a亦可從反應管203的側壁下部沿上部、即沿晶圓排列區域設置。排氣口231a係連接於排氣管231。排氣管231係經由檢測處理室201內壓力的作為壓力檢測器(壓力檢測部)之壓力感測器245、與作為壓力調整器(壓力調整部)之APC(Auto Pressure Controller,壓力自動控制器)閥244,連接於作為真空排氣裝置之真空泵246。APC閥244係構成為可藉由在使真空泵246產生動作之狀態下開閉閥,而進行處理室201內的真空排氣與停止真空排氣,又,可藉由在使真空泵246產生動作之狀態下,根據由壓力感測器245所檢測出的壓力資訊調節閥開度,而調整處理室201內的壓力。主要係由排氣管231、APC閥244、壓力感測器245構成「排氣系統」。亦可考慮將真空泵246包含於排氣系統中。
在歧管209的下方,設有可將歧管209下端開口氣密地封閉之作為爐口蓋體的密封蓋219。密封蓋219係例如由SUS等金屬材料構成,形成為圓盤狀。在密封蓋219的上面設有抵接於歧管209下端之作為密封構件的O形環220b。在密封蓋219的下方設置使後述晶舟217旋轉的旋轉機構267。旋轉機構267的旋轉軸255係貫穿密封蓋219連接於晶舟217。旋轉機構267係構成為藉由使晶舟217旋轉而使晶圓200旋轉。密封蓋219係構成為利用在反應管203外部所設置升降機構之晶舟升降機115,而在垂直方向上進行升降。晶舟升降機115係構成為藉由使密封蓋219升降,而將晶圓200搬入及搬出(搬送)至處理室201內外的搬送裝置(搬送機構)。
在歧管209的下方,設有在使密封蓋219下降並將晶舟217從處理室201內搬出之狀態下,可將歧管209下端開口氣密地封閉的作為爐口蓋體之擋板219s。擋板219s係例如由SUS等金屬材料構成,形成為圓盤狀。在擋板219s的上面設有抵接於歧管209下端的作為密封構件之O形環220c。擋板219s的開閉動作(升降動作、轉動動作等)係利用擋板開閉機構115s進行控制。
作為基板支撐件之晶舟217係構成為將複數片,例如25~200片晶圓200,依水平姿勢且依中心相互對齊之狀態,在垂直方向上整齊排列,呈多段地支撐、即隔開間隔排列。晶舟217係例如由石英、SiC等耐熱性材料構成。在晶舟217的下部係被例如由石英、SiC等耐熱性材料構成的絕熱板218多段地支撐。
在反應管203內設有作為溫度檢測器之溫度感測器263。藉由根據由溫度感測器263所檢測出的溫度資訊,調整對加熱器207的通電情況,使處理室201內的溫度成為所需溫度分佈。溫度感測器263係沿反應管203的內壁設置。
如圖3所示,屬於控制部(控制手段)的控制器121係構成為具備有:CPU(Central Processing Unit,中央處理單元)121a、RAM(Random Access Memory,隨機存取記憶體)121b、記憶裝置121c、以及I/O(Input/Output,輸入/輸出)埠121d的電腦。RAM121b、記憶裝置121c、I/O埠121d係構成為可經由內部匯流排121e與CPU121a進行資料交換。控制器121係連接於例如構成為觸控面板等的輸入輸出裝置122。又,控制器121係可連接於外部記憶裝置123。
記憶裝置121c係例如由快閃記憶體、HDD(Hard Disk Drive,硬式磁碟機)、SSD(Solid State Drive,固態硬碟)等構成。在記憶裝置121c內可讀出地儲存控制基板處理裝置之動作的控制程式、或者記載後述基板處理之程序或條件等的製程配方等等。製程配方係依可藉由控制器121使基板處理裝置執行後述基板處理中的各程序獲得既定結果的方式組合而成者,作為程式發揮功能。以下,將製程配方或控制程式等亦簡單統稱為「程式」。又,將製程配方亦簡稱為「配方」。本說明書中使用程式之用詞時,係有僅單含配方的情況、僅單含控制程式的情況、或該等二者均含有的情況。RAM121b係構成為暫時性保存由CPU121a所讀出之程式或資料等的記憶體區域(工作區域)。
I/O埠121d係連接於上述MFC241a~241f、閥243a~243f、壓力感測器245、APC閥244、真空泵246、溫度感測器263、加熱器207、旋轉機構267、晶舟升降機115、擋板開閉機構115s等。
CPU121a係構成為可從記憶裝置121c讀出控制程式並執行,且配合來自輸入輸出裝置122的操作指令之輸入等,從記憶裝置121c讀出配方。CPU121a係構成為依循所讀出配方的內容,控制:利用MFC241a~241f進行的各種物質(各種氣體)之流量調整動作、閥243a~243f之開閉動作、APC閥244之開閉動作及根據壓力感測器245利用APC閥244進行之壓力調整動作、真空泵246之起動及停止、根據溫度感測器263的加熱器207之溫度調整動作、利用旋轉機構267進行的晶舟217之旋轉與旋轉速度調節動作、利用晶舟升降機115進行的晶舟217之升降動作、以及利用擋板開閉機構115s進行的擋板219s之開閉動作等。
控制器121係藉由將外部記憶裝置123所儲存的上述程式,安裝於電腦中便可構成。外部記憶裝置123係包含有例如:HDD等磁碟、CD(Compact Disc)等光碟、MO(Magneto Optical)等磁光碟、USB(Universal Serial Bus)記憶體、SSD等半導體記憶體等等。記憶裝置121c與外部記憶裝置123係構成為電腦可讀取的記錄媒體。以下,將該等亦簡單統稱為「記錄媒體」。本說明書中使用記錄媒體之用詞時,係有:僅單含記憶裝置121c的情況、僅單含外部記憶裝置123的情況、或該等二者均含有的情況。另外,對電腦提供程式時,亦可不使用外部記憶裝置123,而使用網際網路或專用線路等通訊手段進行。
(2)基板處理步驟 作為使用上述基板處理裝置的半導體裝置之製造步驟之一步驟,針對依嵌入作為基板之晶圓200表面上所設置凹狀構造的方式,在凹狀構造內部形成膜之處理序列之例子,主要使用圖4進行說明。以下說明中,構成基板處理裝置的各部位動作係由控制器121進行控制。
晶圓200表面上所設置凹狀構造的內面,係具有相對向的側面、與底面。凹狀構造係構成為凹狀構造下部的側面間距離短(窄)於凹狀構造上部的側面間距離,即所謂的「推拔狀」。
圖4所示處理序列係包括有: 對表面已設有凹狀構造的晶圓200供給第1原料氣體,而在凹狀構造的內面形成具有既定黏著力之第1膜的步驟A;以及 對晶圓200供給第2原料氣體,而在第1膜上形成具有黏著力小於第1膜黏著力之第2膜的步驟B。
在步驟A中,既定次數(m次,m係1以上的整數)執行:非同時施行供給第1原料氣體的步驟、與供給第1反應氣體的步驟之循環。
在步驟B中,既定次數(n次,n係1以上的整數)執行:非同時施行供給第2原料氣體的步驟、與供給第2反應氣體的步驟之循環。
本說明書中,上述處理序列為求方便亦有如以下所示的情況。在以下的變化例及其他態樣等之說明中亦採用同樣的表述。
(第1原料氣體→第1反應氣體)×m→(第2原料氣體→第2反應氣體)×n
本說明書中,使用「晶圓」之用詞時,係有指晶圓本身的情況、以及晶圓與在其表面所形成既定層或膜的積層體之情況。本說明書中,使用「晶圓表面」之用詞時,係有指晶圓本身的表面之情況、以及在晶圓上所形成既定層等的表面之情況。本說明書中,記載為「在晶圓上形成既定層」時,係有:直接在晶圓本身的表面上形成既定層的情況、以及在晶圓上所形成層等之上形成既定層的情況。本說明書中,使用「基板」之用詞時,亦與使用「晶圓」之用詞時同義。
(晶圓裝填與晶舟載入) 若複數片晶圓200被裝填於晶舟217(晶圓裝填),便利用擋板開閉機構115s使擋板219s移動,而開放歧管209下端開口(擋板開啟)。然後,如圖1所示,已支撐複數片晶圓200的晶舟217,利用晶舟升降機115上舉並被搬入至處理室201內(晶舟載入)。在此狀態下,密封蓋219經由O形環220b形成密封歧管209下端之狀態。
(壓力調整與溫度調整) 依處理室201內、即晶圓200所存在空間成為所需壓力(真空度)的方式,利用真空泵246施行真空排氣(減壓排氣)。此時,處理室201內的壓力係利用壓力感測器245測定,再根據該測定的壓力資訊對APC閥244施行反饋控制。又,依處理室201內的晶圓200成為所需處理溫度的方式,利用加熱器207施行加熱。此時,依處理室201內成為所需溫度分佈的方式,根據溫度感測器263所檢測出的溫度資訊,對加熱器207中的通電情況施行反饋控制。又,開始利用旋轉機構267進行晶圓200的旋轉。處理室201內的排氣、晶圓200的加熱與旋轉,均係至少持續執行至對晶圓200的處理結束為止。
(OH終端形成) 本步驟係對處理室201內的晶圓200供給第1反應氣體(預流)。
具體而言,打開閥243b,朝氣體供給管232b內流入第1反應氣體。第1反應氣體係利用MFC241b進行流量調整,經由噴嘴249b供給至處理室201內,再從排氣口231a排氣。此時,對晶圓200供給第1反應氣體(反應氣體供給)。此時,打開閥243e,243f,分別經由噴嘴249a,249b朝處理室201內供給惰性氣體。另外,惰性氣體的供給亦可不實施。
本步驟中的處理條件係可例示: 處理溫度:400~900℃、較佳係600~700℃ 處理壓力:0.1~30Torr、較佳係0.2~20Torr 第1反應氣體供給流量:0.1~20slm、較佳係5~12slm 第1反應氣體供給時間:100~1000秒、較佳係200~1000秒 惰性氣體供給流量(每氣體供給管):0~3.0slm。
另外,本說明書中如「400~900℃」的數值範圍之表述,係指下限值與上限值含於該範圍內。所以,例如「400~900℃」便指「400℃以上且900℃以下」。其他相關數值範圍亦同。又,本說明書中所謂「處理溫度」係指晶圓200的溫度或處理室201內的溫度,而所謂「處理壓力」係指處理室201內的壓力。又,所謂「氣體供給流量:0slm」係指沒有供給該氣體的情況。該等在以下說明中亦同。
藉由在上述處理條件下實施本步驟,便可橫跨晶圓200表面全域形成羥基終端(OH終端)。晶圓200表面所存在的OH終端,在後述成膜處理中,作為原料氣體之吸附處、即構成原料氣體的分子或原子之吸附處發揮功能。
形成OH終端後,關閉閥243b,停止朝處理室201內供給第1反應氣體。然後,將處理室201內施行真空排氣,將處理室201內殘留的氣體狀物質等從處理室201內排除。此時,打開閥243e,243f,經由噴嘴249a,249b朝處理室201內供給惰性氣體。利用噴嘴249a,249b供給的惰性氣體係作為沖洗氣體發揮作用,藉此,處理室201內被沖洗(沖洗)。
沖洗的處理條件係可例示: 惰性氣體供給流量(每氣體供給管):0.5~10slm 惰性氣體供給時間:1~30秒、較佳係5~20秒。
惰性氣體係可使用:氮(N 2)氣體、或氬(Ar)氣體、氦(He)氣體、氖(Ne)氣體、氙(Xe)氣體等稀有氣體。惰性氣體係可使用該等中之1種以上。此點在後述各步驟中亦同。
(步驟A:第1膜形成) 然後,依序執行以下的步驟a1,a2。
[步驟a1] 本步驟係對處理室201內的晶圓200供給第1原料氣體。
具體而言,打開閥243a,朝氣體供給管232a內流入第1原料氣體。第1原料氣體係利用MFC241a進行流量調整,經由噴嘴249a供給至處理室201內,再從排氣口231a排氣。此時,對晶圓200供給第1原料氣體(原料氣體供給)。此時,打開閥243e,243f,分別經由噴嘴249a,249b朝處理室201內供給惰性氣體。另外,惰性氣體的供給亦可不實施。
本步驟中的處理條件係可例示: 處理溫度:400~900℃、較佳係600~700℃ 處理壓力:0.1~10Torr、較佳係0.2~10Torr 第1原料氣體供給流量:0.01~1slm、較佳係0.1~0.5slm 第1原料氣體供給時間:1~100秒、較佳係15~20秒 惰性氣體供給流量(每氣體供給管):0~10.0slm。
在上述處理條件下,藉由對晶圓200供給作為第1原料氣體之例如後述含有胺基與烷氧基的矽烷氣體,便可從第1原料氣體所含的矽(Si),在未使烷氧基脫離之情況下,使胺基脫離。又,可在脫離胺基使與烷氧基維持鍵結狀態的Si吸附(化學吸附)於晶圓200的表面。亦即,可在Si的3個結合鍵上鍵結著烷氧基之狀態下,使Si吸附於晶圓200表面的吸位置之一部分。依此,可在晶圓200的最表面上形成含有Si上鍵結著烷氧基之成分的第1層(含Si層)。
再者,藉由在上述處理條件下施行本步驟,便可使從第1原料氣體所含Si脫離的胺基,不吸附於晶圓200的表面上。結果,可使在晶圓200上所形成的第1層中,未含有從第1原料氣體所含Si脫離的胺基。亦即,在晶圓200上所形成的第1層,可成為胺基含有量少、且源自胺基的雜質(例如:碳(C)、氮(N)等雜質)少的層。
本步驟中,藉由吸附於晶圓200表面的Si所鍵結之烷氧基,亦即,藉由吸附於晶圓200表面的Si之結合鍵被烷氧基嵌入(封閉),便可阻礙原子或分子中之至少任一者對吸附於晶圓200表面的Si之吸附。又,本步驟中,藉由使吸附於晶圓200表面的Si所鍵結之烷氧基發揮立體障礙作用,便可阻礙原子或分子中之至少任一者對吸附於晶圓200表面的Si周邊之晶圓200表面的吸附位置(OH終端)之吸附。又,藉此本步驟中便可保持吸附於晶圓200表面的Si周邊之晶圓200表面的吸附位置(OH終端)。
本步驟中較佳係直到Si對晶圓200表面的吸附反應(化學吸附反應)達飽和為止,持續供給第1原料氣體。即使依此持續供給第1原料氣體,仍可藉由Si所鍵結的烷氧基發揮立體障礙作用,使Si不連續地吸附於晶圓200表面。具體而言,可使Si在晶圓200表面依未滿1原子層厚度的方式吸附。
在使Si對晶圓200表面的吸附反應已達飽和之狀態下,晶圓200表面便形成被Si所鍵結的烷氧基覆蓋之狀態,晶圓200表面的一部分形成吸附位置(OH終端)未被消耗而仍保持之狀態。在Si對晶圓200表面的吸附反應已達飽和之狀態下,由吸附於晶圓200表面的Si所構成之層,便成為未滿1原子層厚度的不連續層。
形成第1層後,關閉閥243a,停止第1原料氣體對處理室201內的供給。然後,依照與OH終端形成時的沖洗同樣之處理程序、處理條件,將處理室201內殘留的氣體等從處理室201內排除(沖洗)。
第1原料氣體係可使用例如:在構成晶圓200上所形成膜的主元素Si上,具有鍵結著烷氧基與胺基之分子結構的氣體。
所謂「烷氧基」係具有烷基(R)與氧(O)原子鍵結的結構,且係-OR結構式所示的1價官能基。烷氧基(-OR)係包含有:甲氧基(-OMe)、乙氧基(-OEt)、丙氧基(-OPr)、丁氧基(-OBu)等。烷氧基不僅為該等的直鏈狀烷氧基,亦可為異丙氧基、異丁氧基、第二丁氧基、第三丁氧基等分支狀烷氧基。又,烷基(-R)係包含有:甲基(-Me)、乙基(-Et)、丙基(-Pr)、丁基(-Bu)等。烷基不僅為該等直鏈狀烷基,亦可為異丙基、異丁基、第二丁基、第三丁基等分支狀烷基。
所謂「胺基」係具有從氨(NH 3)、一級胺、二級胺中之任一者除去氫(H)的結構,且係-NH 2、-NHR、-NRR'中任一結構式所示的1價官能基。結構式中所示的R、R'係包含:甲基、乙基、丙基、丁基等烷基。R、R'不僅為該等直鏈狀烷基,亦可為異丙基、異丁基、第二丁基、第三丁基等分支狀烷基。R、R'係可為相同的烷基、亦可為不同的烷基。胺基係可例示例如:二甲胺基(-N(CH 3) 2)、二乙胺基(-N(C 2H 5) 2)等。
第1原料氣體係可使用例如:(二甲胺基)三乙氧基矽烷([(CH 3) 2N]Si(OC 2H 5) 3)氣體、(二乙胺基)三乙氧基矽烷([(C 2H 5) 2N]Si(OC 2H 5) 3)氣體、(二甲胺基)三甲氧基矽烷([(CH 3) 2N]Si(OCH 3) 3)氣體、(二乙胺基)三甲氧基矽烷([(C 2H 5) 2N]Si(OCH 3) 3)氣體等二烷胺基三烷氧基矽烷氣體。二烷胺基三烷氧基矽烷氣體係可使用作為含胺基與烷氧基的矽烷氣體。該等氣體所含的Si係具有4個結合鍵,在Si的4個結合鍵中3個結合鍵上鍵結著烷氧基(甲氧基、乙氧基),在Si的4個結合鍵中剩餘的1個結合鍵上鍵結著胺基(二甲胺基、二乙胺基)。依此,第1原料氣體較佳係使用分子結構中含有胺基的有機系氣體。第1原料氣體係可使用該等中之1種以上。
第1原料氣體亦可使用例如:肆(二甲胺基)矽烷(Si[N(CH 3) 2] 4、簡稱:4DMAS)氣體、參(二甲胺基)矽烷(Si[N(CH 3) 2] 3H、簡稱:3DMAS)氣體、雙(二乙胺基)矽烷(Si[N(C 2H 5) 2] 2H 2、簡稱:BDEAS)氣體、雙(第三丁胺基)矽烷(SiH 2[NH(C 4H 9)] 2、簡稱:BTBAS)氣體、(二異丙胺基)矽烷(SiH 3[N(C 3H 7) 2]、簡稱:DIPAS)氣體等胺基矽烷系氣體。第1原料氣體係可使用該等中之1種以上。
[步驟a2] 本步驟係對處理室201內的晶圓200,供給作為第1反應氣體之含O氣體。
具體而言,打開閥243b,朝氣體供給管232b內流入第1反應氣體。第1反應氣體係利用MFC241b進行流量調整,經由噴嘴249b供給至處理室201內,再從排氣口231a排氣。此時,對晶圓200供給第1反應氣體(反應氣體供給)。此時,打開閥243e,243f,分別經由噴嘴249a,249b朝處理室201內供給惰性氣體。另外,惰性氣體供給亦可不實施。
本步驟中的處理條件係可例示: 處理壓力:0.1~30Torr、較佳係0.2~20Torr 第1反應氣體供給流量:0.1~20slm、較佳係5~12slm 第1反應氣體供給時間:1~200秒、較佳係150~190秒 惰性氣體供給流量(每氣體供給管):0~3.0slm。 其他的處理條件係可設為與步驟a1施行第1原料氣體供給時的處理條件同樣的處理條件。
藉由本步驟係在上述處理條件下實施,例如可使與第1層所含Si鍵結的烷氧基脫離第1層。在上述處理條件下,藉由對晶圓200供給作為第1反應氣體之例如氧化氣體(含O氣體),便可使晶圓200上所形成第1層之至少一部分氧化(改質),可形成作為第2層之含有Si與O層的氧化矽層(SiO層)。第2層成為未含烷氧基等的層、即未含C等雜質的層。又,第2層的表面,利用含O氣體施行氧化處理的結果,成為OH終端的狀態、即形成吸附位置的狀態。另外,脫離第1層的C等雜質,會構成二氧化碳(CO 2)等氣體狀物質,並從處理室201內排出。藉此,相較於步驟a1所形成的第1層(含Si層),第2層(SiO層)成為C等雜質較少的層。
在形成第2層後,關閉閥243b,停止朝處理室201內供給第1反應氣體。然後,依照與步驟a1中的沖洗同樣之處理程序、處理條件,將處理室201內殘留的氣體等從處理室201內排除(沖洗)。
第1反應氣體係可使用例如:氧(O 2)氣體、臭氧(O 3)氣體、水蒸氣(H 2O氣體)、過氧化氫(H 2O 2)氣體、一氧化氮(NO)氣體、氧化亞氮(N 2O)氣體、一氧化碳(CO)氣體、二氧化氮(NO 2)氣體、經電漿激發的O 2氣體(O 2 *)等含O氣體。第1反應氣體係可使用該等中之1種以上。
[既定次數實施] 藉由施行非同時、即非同步施行上述步驟a1,a2的循環既定次數(m次,m係1以上之整數),便可在晶圓200上形成具有既定組成與既定膜厚的作為第1膜之第1SiO膜。上述循環較佳係重複複數次。亦即,較佳係重複複數次上述循環直到藉由執行上述循環1次所形成第2層(SiO層)的厚度小於所需膜厚,且藉由積層第2層所形成第1SiO膜的膜厚成為所需膜厚為止。
另外,步驟A中,較佳係在維持晶圓200表面所設置凹狀構造內相對向側面上所形成的第1SiO膜不會相互接觸之狀態(膜厚)的情況下,形成第1SiO膜。
再者,步驟A中,第1SiO膜厚度相對於第1SiO膜厚度與後述作為第2膜之第2SiO膜厚度之合計厚度的比率,較佳係50%以下。
再者,步驟A中,第1SiO膜厚度相對於第1SiO膜厚度與後述作為第2膜之第2SiO膜厚度之合計厚度的比率,較佳係10%以上。
另外,第1SiO膜的階梯覆蓋性係高於後述作為第2膜之第2SiO膜的階梯覆蓋性。此係因為在步驟a1中,如上述,在第1原料氣體所含Si對晶圓200表面的吸附反應已達飽和之狀態下,可將由吸附於晶圓200表面的Si所構成之層,形成未滿1原子層厚度的不連續層。亦即,在步驟a1中,例如不管晶圓200凹狀構造內的上部附近側面、或凹狀構造底部,均可抑制第1層由1原子層以上的不均勻厚度形成,第1層形成為階梯覆蓋性優異且均勻厚度的層。此情況,在步驟a2中,例如即使在晶圓200凹狀構造內的上部附近側面、或在凹狀構造底部,仍能使含O氣體與階梯覆蓋性優異的第1層進行反應,結果可使第1SiO膜成為階梯覆蓋性優異的膜。
再者,第1SiO膜係具有較後述作為第2膜之第2SiO膜,能將底層氧化量維持於良好狀態的特性。形成第1SiO膜時,較形成第2SiO膜時能將底層氧化量維持於良好狀態的原因,係在步驟a2中,於氧化力較弱於後述步驟b2的處理條件下使第1層進行氧化所致。具體而言,係在步驟a2中,使用氧化力較弱於後述步驟b2所使用之第2反應氣體的氣體作為第1反應氣體所致。結果,可充分抑制底層的氧化、即鄰接第1SiO膜的晶圓200表面的氧化。藉由抑制晶圓200表面的氧化,便可降低伴隨於此之裝置特性降低等的影響。
(步驟B:第2膜形成) 然後,依序執行以下步驟b1,b2。
[步驟b1] 本步驟係對處理室201內的晶圓200供給第2原料氣體。
具體而言,打開閥243c,朝氣體供給管232c內流入第2原料氣體。第2原料氣體係利用MFC241c進行流量調整,經由噴嘴249a供給至處理室201內,再從排氣口231a排氣。此時,對晶圓200供給第2原料氣體(原料氣體供給)。此時,打開閥243e,243f,分別經由噴嘴249a,249b朝處理室201內供給惰性氣體。另外,惰性氣體的供給亦可不實施。
本步驟中的處理條件係可例示: 第2原料氣體供給流量:0.01~1slm、較佳係0.1~0.5slm 第2原料氣體供給時間:1~100秒、較佳係15~20秒。 其他的處理條件係可設為與步驟a1施行第1原料氣體供給時的處理條件同樣的處理條件。
在上述處理條件下,藉由對晶圓200供給作為第2原料氣體之例如後述氯矽烷系氣體,便可在作為底層之晶圓200的最表面上形成作為第3層之含氯(Cl)的含Si層。含Cl的含Si層係在晶圓200的最表面,藉由:氯矽烷系氣體分子的物理吸附或化學吸附、氯矽烷系氣體的一部分經分解之物質分子的物理吸附或化學吸附、利用氯矽烷系氣體之熱分解進行的Si沉積等便可形成。含Cl的含Si層係可為氯矽烷系氣體的分子或氯矽烷系氣體的一部分經分解之物質分子的吸附層(物理吸附層或化學吸附層),亦可為含Cl的Si沉積層。另外,在上述處理條件下,在晶圓200的最表面上,主要(優先)發生氯矽烷系氣體的分子或氯矽烷系氣體的一部分經分解之物質分子的物理吸附或化學吸附,而僅些微發生利用氯矽烷系氣體之熱分解進行的Si沉積、或幾乎不發生。亦即,在上述處理條件下,第3層(含Si層)係壓倒性地大量含有氯矽烷系氣體分子或氯矽烷系氣體的一部分經分解之物質分子的吸附層(物理吸附層或化學吸附層),而僅些微含有含Cl的Si沉積層、或幾乎未含有。
在形成第3層後,關閉閥243b,停止朝處理室201內供給第1反應氣體。然後,依照與步驟a1中的沖洗同樣之處理程序、處理條件,將處理室201內殘留的氣體等從處理室201內排除(沖洗)。
第2原料氣體係可使用例如:含有構成晶圓200上所形成膜之主元素矽(Si)的矽烷系氣體。矽烷系氣體係可使用例如:含Si與鹵素的氣體、即鹵矽烷系氣體。鹵素係包括有:氯(Cl)、氟(F)、溴(Br)、碘(I)等。鹵矽烷系氣體係可使用例如含有Si與Cl的上述氯矽烷系氣體。
第2原料氣體係可使用例如:四氯矽烷(SiCl 4、簡稱:STC)氣體、六氯二矽烷(Si 2Cl 6、簡稱:HCDS)氣體、三氯矽烷(SiHCl 3、簡稱:TCS)氣體、二氯矽烷(SiH 2Cl 2、簡稱:DCS)氣體、單氯矽烷(SiH 3Cl、簡稱:MCS)氣體等氯矽烷系氣體。依此,第2原料氣體係可使用分子結構中未含胺基的無機系氣體。第2原料氣體係可使用該等中之1種以上。
第2原料氣體係除了氯矽烷系氣體之外,亦可使用例如:四氟矽烷(SiF 4)氣體、二氟矽烷(SiH 2F 2)氣體等氟矽烷系氣體;四溴矽烷(SiBr 4)氣體、二溴矽烷(SiH 2Br 2)氣體等溴矽烷系氣體;四碘矽烷(SiI 4)氣體、二碘矽烷(SiH 2I 2)氣體等碘矽烷系氣體。原料氣體係可使用該等中之1種以上。
[步驟b2] 本步驟中,係對處理室201內的晶圓200供給作為第2反應氣體之含O氣體與含H氣體。
具體而言,打開閥243b,243d,分別朝氣體供給管232a,232b內流入含H氣體、含O氣體。在氣體供給管232a,232b內流動的含H氣體、含O氣體,分別利用MFC241a,241b進行流量調整,經由噴嘴249a,249b供給至處理室201內。含O氣體與含H氣體係在處理室201內混合並反應,然後再從排氣口231a排氣。此時,對晶圓200供給含有由含O氣體與含H氣體之反應所生成原子狀氧(atomic oxygen、O)等氧且未含有水分(H 2O)的氧化種(含O氣體及含H氣體供給)。此時,打開閥243d,243e,經由噴嘴249a,249b朝處理室201內供給惰性氣體。另外,惰性氣體的供給亦可不實施。
本步驟中的處理條件係可例示: 處理壓力:未滿大氣壓、較佳係0.1~20Torr、更佳係0.2~0.8Torr 含O氣體供給流量:0.1~10slm、較佳係0.5~10slm 含H氣體供給流量:0.01~5slm、較佳係0.1~1.5slm 各氣體供給時間:1~200秒、較佳係15~50秒 惰性氣體供給流量(每氣體供給管):0~10slm。 其他的處理條件係可設為與步驟a1施行第1原料氣體供給時的處理條件同樣的處理條件。
藉由在上述處理條件下施行本步驟,便可使晶圓200上所形成第3層之至少一部分氧化(改質),可形成作為第4層之含Si與O層的氧化矽層(SiO層)。形成第4層(SiO層)時,第3層(含Si層)所含的Cl等雜質,會在由含O氣體與含H氣體進行的含Si層改質反應過程中,構成至少含Cl的氣體狀物質,再從處理室201內排出。藉此,相較於由步驟b1所形成的第3層,第4層成為Cl等雜質較少的層。又,第4層的表面經利用含O氣體與含H氣體進行氧化處理的結果,成為OH終端的狀態、即形成吸附位置的狀態。
藉由在上述條件下同時且一起朝處理室201內供給含O氣體與含H氣體,含O氣體與含H氣體便在經加熱的減壓環境下,於非電漿中進行熱活化(激發)而產生反應,藉此便生成含有原子狀氧(O)等氧且未含有水分(H 2O)的氧化種。然後,主要利用該氧化種施行上述氧化(改質)處理。相較於單獨供給含O氣體的上述步驟a2,根據該氧化處理,可大幅提升氧化力。亦即,相較於單獨供給含O氣體的情況下,藉由在減壓環境下同時且一起添加含O氣體與含H氣體,能獲得更大幅度的氧化力提升效果。
在形成第4層後,關閉閥243b,243d,分別停止朝處理室201內供給含O氣體、含H氣體。然後,依照與步驟a1中的沖洗同樣之處理程序、處理條件,將處理室201內殘留的氣體等從處理室201內排除(沖洗)。
第2反應氣體、即含O氣體與含H氣體(含O氣體+含H氣體),係可使用例如:O 2氣體+氫(H 2)氣體、臭氧(O 3)氣體+H 2氣體、過氧化氫(H 2O 2)氣體+H 2氣體、水蒸氣(H 2O氣體)+H 2氣體等。此情況下,含H氣體亦可取代H 2氣體,改為使用重氫( 2H 2)氣體。另外,本說明書中稱「O 2氣體+H 2氣體」的2種氣體合併記載,係指H 2氣體與O 2氣體的混合氣體。供給混合氣體時,亦可先使2種氣體在供給管內進行混合(預混合)後,才供給至處理室201內,亦可將2種氣體利用不同的供給管分別供給至處理室201內,再於處理室201內進行混合(後混合)。第2反應氣體係可使用該等中之1種以上。
再者,本步驟亦可使含O氣體與含H氣體中之至少任一者進行電漿激發後才供給。例如:亦可供給經電漿激發的O 2氣體(O 2 *)、與未經電漿激發的H 2氣體(H 2 *),亦可供給未經電漿激發的O 2氣體、與經電漿激發的H 2氣體,亦可供給經電漿激發的O 2氣體、與經電漿激發的H 2氣體。
[既定次數實施] 藉由施行非同時、即非同步施行上述步驟b1,b2的循環既定次數(n次,n係1以上之整數),便可在晶圓200上形成既定組成與既定膜厚之作為第2膜的第2SiO膜。上述循環較佳係重複複數次。亦即,較佳係重複複數次上述循環直到藉由執行上述循環1次所形成第4層(SiO層)的厚度小於所需膜厚,且藉由積層第4層所形成第2SiO膜的膜厚成為所需膜厚為止。
另外,步驟B中,較佳係形成第2SiO膜,直到在第1SiO膜上所形成相對向的第2SiO膜之至少一部分相互接觸為止。
再者,步驟B中,較佳係形成第2SiO膜,直到利用第1SiO膜與第2SiO膜填充晶圓200的凹狀構造內之全體為止。
(後沖洗與回歸大氣壓) 在晶圓200上形成所需厚度第2SiO膜的處理結束後,便分別從噴嘴249a,249b朝處理室201內供給作為沖洗氣體之惰性氣體,再從排氣口231a排氣。藉此,處理室201內被沖洗,在處理室201內殘留的氣體及反應副產物等便被從處理室201內除去(後沖洗)。然後,將處理室201內的環境氣體置換為惰性氣體(惰性氣體置換),並將處理室201內的壓力回歸於常壓(回歸大氣壓)。
(晶舟卸載與晶圓卸取) 然後,利用晶舟升降機115使密封蓋219下降,使歧管209下端開口。然後,將經處理過之晶圓200以由晶舟217支撐之狀態從歧管209下端搬出至反應管203外部(晶舟卸載)。晶舟卸載後,使擋板219s移動,歧管209下端開口經由O形環220c利用擋板219s密封(擋板關閉)。經處理過之晶圓200搬出至反應管203外部之後,再從晶舟217取出(晶圓卸取)。
(3)本態樣的效果 根據本態樣,可獲得以下所示1個或複數個效果。
(a)藉由施行以下步驟,便可抑制晶圓200表面所形成圖案出現崩壞、變形的現象(以下亦將該等統稱為「圖案崩塌」):對表面設有凹狀構造的晶圓200供給第1原料氣體,而在凹狀構造內面形成具有既定黏著力之第1SiO膜的步驟A;以及對晶圓200供給第2原料氣體,而在第1SiO膜上形成具有黏著力小於第1SiO膜之黏著力之第2SiO膜的步驟B。
其理由係:在上述基板處理步驟中,當僅使用第1原料氣體作為原料氣體,且僅利用具有黏著力大於第2SiO膜之黏著力的第1SiO膜施行凹狀構造內部嵌入時,在進行第1SiO膜形成的途中,當凹狀構造內面所形成第1SiO膜的表面彼此接觸時,會導致該等膜依較強的力相互黏著(吸引)。依此,利用對凹狀構造施加的應力、即在凹狀構造內相對向的內面彼此間生成的吸引力變大,便會發生圖案崩塌(參照圖6)。
本態樣中,不僅使用第1原料氣體施行成膜,亦組合使用了第2原料氣體的成膜,在第1SiO膜上形成具有黏著力小於第1SiO膜之黏著力的第2SiO膜。藉此,相較於僅利用第1SiO膜施行凹狀構造內部嵌入的情況,可減輕在凹狀構造內面所形成膜的表面彼此接觸時對凹狀構造所施加的應力,俾能抑制圖案崩塌的發生(參照圖8)。根據本態樣,在步驟B中,即便於形成第2SiO膜直到利用第1SiO膜與第2SiO膜填充凹狀構造內之全體為止的情況,仍可抑制圖案崩塌的發生。
本說明書中,所謂「黏著力」係指主要根據凡得瓦力等作用於膜表面分子間的引力。又,所謂「圖案崩塌」係指鄰接之圖案彼此相互倚靠地靠近,視情況會有圖案從基部折損、或剝離的現象。
(b)即便在步驟A中,供給有機系氣體作為第1原料氣體的情況,藉由在步驟B中,供給無機系氣體作為第2原料氣體,仍可抑制圖案崩塌的發生。
其理由係:屬於有機系氣體的第1原料氣體之分子量,會有大於屬於無機系氣體的第2原料氣體之分子量之傾向,伴隨此現象,第1SiO膜表面的分子量便大於第2SiO膜表面的分子量。由於構成膜表面的分子之分子量越大,會有膜黏著力越大的傾向,因而第1SiO膜的黏著力便大於第2SiO膜的黏著力(參照圖10)。本態樣中,如上述,不僅使用第1原料氣體施行成膜,亦組合使用了第2原料氣體的成膜,藉此可抑制圖案崩塌的發生。
(c)在步驟A中,一邊維持在凹狀構造內相對向之2個側面分別形成的第1SiO膜不會相互接觸之狀態,一邊形成第1SiO膜,且在步驟B中,在第1SiO膜上形成第2SiO膜,直到相對向的第2SiO膜之至少一部分相接觸為止。亦即,在凹部結構內嵌入時所發生的膜彼此之接觸,不僅利用黏著力較大的第1SiO膜實施,亦利用黏著力較小的第2SiO膜實施。藉此,相較於具有黏著力大於第2SiO膜之黏著力的第1SiO膜相互接觸的情況下,可減輕對凹狀構造所施加的應力。藉此,可抑制圖案崩塌的發生。
(d)即使在晶圓200表面所設置的凹狀構造,構成為凹狀構造下部的側面間距離短於凹狀構造上部的側面間距離,所謂推拔狀的情況,仍可抑制圖案崩塌的發生。
其理由係:第1SiO膜、第2SiO膜均具有其膜厚越薄,則膜之黏著力越大的傾向(參照圖10)。此處,當凹狀構造係如上述構成推拔狀的情況,相較於凹狀構造上部附近,凹狀構造底部附近,相對向側面間的距離較短(較窄)。所以,在進行第1SiO膜形成的途中,相較於在凹狀構造上部附近側面所形成第1SiO膜的膜厚,在凹狀構造底部附近側面所形成第1SiO膜的膜厚較薄的狀態下、即黏著力較大的狀態下相互接觸,結果會有對凹狀構造施加較大應力的顧慮。其結果,容易發生以凹狀構造的底部附近為起點之圖案崩塌。本態樣中,在步驟A中,一邊維持在凹狀構造內相對向側面所形成第1SiO膜不會相互接觸的狀態,一邊形成第1SiO膜,因而可抑制圖案崩塌的發生。
(e)藉由將第1SiO膜厚度,相對於第1SiO膜厚度與第2SiO膜厚度之合計厚度(積層SiO膜厚度)的比率設為50%以下,便可迴避在凹狀構造內面所形成第1SiO膜的表面彼此接觸,俾能抑制圖案崩塌的發生。若第1SiO膜的厚度比率大於50%,便無法迴避在凹狀構造內面所形成第1SiO膜的表面彼此接觸,會有導致發生圖案崩塌之可能性提高的情況。
(f)藉由使步驟A所形成第1SiO膜的階梯覆蓋性高於步驟B所形成第2SiO膜的階梯覆蓋性,便可抑制凹狀構造內孔洞或隙縫的發生。
其理由係:在上述基板處理步驟中,當僅使用第2原料氣體作為原料氣體,且僅利用具有階梯覆蓋性低於第1SiO膜之階梯覆蓋性的第2SiO膜施行凹狀構造內部嵌入時,在凹狀構造的上部附近第2SiO膜會局部地較厚成長,導致在凹狀構造內部完成嵌入之前凹狀構造上部阻塞,結果會有凹部結構內發生孔洞或隙縫的情況(參照圖7)。
本態樣中,不僅施行使用了第2原料氣體的成膜,亦組合使用了第1原料氣體的成膜,藉由使具有階梯覆蓋性高於第2SiO膜之階梯覆蓋性的第1SiO膜,較第2SiO膜優先形成,便可抑制凹狀構造內孔洞或隙縫的發生(參照圖8)。根據本態樣,在步驟B中,即使在形成第2SiO膜直到利用第1SiO膜與第2SiO膜填充凹狀構造內之全體為止的情況,仍可抑制凹部結構內孔洞或隙縫的發生。
(g)在步驟A中,藉由使用分子結構中含有胺基的氣體作為第1原料氣體,便可抑制凹部結構內孔洞或隙縫的發生。
其理由係:當使用分子結構中含胺基的氣體作為原料氣體時,相較於使用分子結構中未含胺基的氣體情況下,可使原料氣體分子與晶圓200表面間的表面反應適當化,俾能提升所形成膜之階梯覆蓋性所致。本態樣中,分子結構中含胺基的第1原料氣體,較分子結構中未含胺基的第2原料氣體優先供給,使具有階梯覆蓋性高於第2SiO膜之階梯覆蓋性的第1SiO膜,較第2SiO膜優先形成,便可抑制凹部結構內孔洞或隙縫等的發生。
(h)藉由使步驟A所供給第1反應氣體的氧化力小於步驟B所供給第2反應氣體的氧化力,在步驟A中可抑制作為底層之晶圓200表面的氧化。
再者,藉由使步驟B所供給第2反應氣體的氧化力大於步驟A所供給第1反應氣體的氧化力,在步驟B中可使步驟B所形成的第2SiO膜充分氧化。又,即使步驟A所形成第1SiO膜有殘留氧化不足區域的情況,在步驟B中利用第2反應氣體的高氧化力,仍可使此種區域充分氧化。
依此,本態樣中,可兼顧抑制底層氧化、與使第1SiO膜及第2SiO膜確實氧化。
另外,步驟A,B分別僅使用氧化力較小的第1反應氣體作為反應氣體之情況,即便能抑制底層氧化,仍會有第1SiO膜與第2SiO膜的氧化不足之情況。又,步驟A,B分別僅使用氧化力較大的第2反應氣體作為反應氣體之情況,即便能使第1SiO膜與第2SiO膜充分氧化,仍會有無法抑制底層氧化之情況。
(i)藉由將第1SiO膜厚度,相對於第1SiO膜厚度與第2SiO膜厚度(積層SiO膜厚度)之合計厚度的比率設為10%以上,便可抑制因步驟B所供給的第2反應氣體導致的底層氧化。又,可提升所形成積層SiO膜的階梯覆蓋性。若第1SiO膜的厚度比率低於10%,便會有無法抑制底層氧化的情況。又,所形成積層SiO膜會有階梯覆蓋性降低的可能性。
(4)變化例 本態樣的基板處理序列係可變更為以下所示變化例。在無特別聲明的前提下,各變化例的各步驟中之處理程序、處理條件,係可設為與上述基板處理序列的各步驟中之處理程序、處理條件相同。
如上述態樣中的處理序列,除了在施行步驟A後才施行步驟B之外,亦可如圖5及以下所示處理序列,變更執行各步驟的順序,在施行步驟B後才施行步驟A。 本變化例中,較佳係在步驟B中,形成第2SiO膜直到在晶圓200表面所設置凹狀構造內相對向側面所形成的第2SiO膜相互接觸的狀態(膜厚)為止。又,更佳係形成第2SiO膜直到黏著力小於第1SiO膜的第2SiO膜嵌入凹狀構造內的底部之至少一部分為止。
(第2原料氣體→第2反應氣體)×n→(第1原料氣體→第1反應氣體)×m
另外,如以下所示氣體供給序列,較佳係在施行步驟B前,便對晶圓200供給(預流)作為第2反應氣體之含O氣體與含H氣體。該步驟的處理中的程序係可設為與上述步驟b2中的處理程序同樣的處理程序。
第2反應氣體→(第2原料氣體→第2反應氣體)×n→(第1原料氣體→第1反應氣體)×m
本步驟中的條件係可例示: 處理壓力:未滿大氣壓、較佳係0.1~20Torr、更佳係0.2~0.8Torr 含O氣體供給流量:0.1~10slm、較佳係0.5~10slm 含H氣體供給流量:0.01~5slm、較佳係0.1~1.5slm 各氣體供給時間:1~200秒、較佳係15~50秒 惰性氣體供給流量(每氣體供給管):0~10slm。 其他的處理條件係可設為與施行OH終端形成的第1原料氣體供給時之處理條件同樣的處理條件。
藉由在上述處理條件下施行本步驟,便可橫跨晶圓200表面全域形成羥基終端(OH終端)。在晶圓200表面所存在的OH終端,於後述成膜處理時作為原料氣體的吸附位置、即構成原料氣體的分子或原子之吸附位置發揮功能。
在形成OH終端後,關閉閥243b,243d,分別停止朝處理室201內供給含O氣體、含H氣體。然後,依照與步驟a1中的沖洗同樣之處理程序、處理條件,將處理室201內殘留的氣體等從處理室201內排除(沖洗)。
步驟B中,第2SiO膜的厚度相對於作為第1膜之第1SiO膜厚度與作為第2膜之第2SiO膜厚度的合計厚度的比率較佳係90%以下。藉由設為此種比率,便可抑制因步驟B所供給的第2反應氣體導致的底層氧化。又,可提升所形成積層SiO膜的階梯覆蓋性。若第2SiO膜的厚度比率大於90%,會有無法抑制底層氧化的情況。又,所形成積層SiO膜會有階梯覆蓋性降低的可能性。
步驟B中,第2SiO膜的厚度相對於作為第1膜之第1SiO膜厚度與作為第2膜之第2SiO膜厚度的合計厚度的比率較佳係設為50%以上。藉由設為此種比率,便可迴避凹狀構造內面所形成第1SiO膜的表面彼此接觸,可抑制圖案崩塌的發生。若第2SiO膜的厚度比率低於50%,便無法迴避在凹狀構造內面所形成第1SiO膜的表面彼此接觸,會有導致發生圖案崩塌之可能性提高的情況。
本變化例中,由於步驟B中,至少凹狀構造內底部利用黏著力小於作為第1膜之第1SiO膜的作為第2膜之第2SiO膜進行某程度填充後才施行步驟A,因而可抑制以底部為起點的圖案崩塌之發生(參照圖9)。
<本發明的其他態樣> 以上針對本發明的態樣進行具體說明。然而,本發明並不侷限於上述態樣,在不脫逸其主旨範圍內可進行各種變更。
上述態樣中,針對藉由依照步驟A、步驟B的順序實施,在晶圓200上形成由第1SiO膜與第2SiO膜積層而成的SiO膜(積層SiO膜)之例子進行說明。然而,本發明並不侷限於此種態樣。例如,亦可依照步驟A、步驟B的順序實施,在步驟B後,更進一步施行步驟A,而於晶圓200上,形成依序由第1SiO膜、第2SiO膜、及第1SiO膜積層而成的SiO膜。由於在凹狀構造內某程度填充第1SiO膜與第2SiO膜的狀態下施行第2次的步驟A,因而可抑制圖案崩塌的發生。又,由於藉由第2次的步驟A,可利用階梯覆蓋性優異的第1SiO膜施行凹狀構造內的填充,故能更確實地抑制孔洞或隙縫的發生。
上述態樣中,針對分別在同一處理室201內(原地(in-situ))實行步驟A、步驟B的例子進行說明。然而,本發明並不侷限於此種態樣。例如,亦可分別在其他處理室內(異地(ex-situ))實施步驟A、步驟B。此情況下,在步驟A與步驟B之間,較佳係不要使晶圓200暴露於大氣中。該等情況亦可獲得與上述態樣的效果同樣的效果。
上述態樣中,針對在步驟B中形成第2SiO膜直到填充凹狀構造內之全體為止的例子進行說明。然而,本發明並不侷限於此種態樣。例如,在步驟B中,亦可依填充凹狀構造內之至少一部分的方式形成第2SiO膜。此情況亦可獲得與上述態樣的效果同樣的效果。
再者,例如在步驟A、步驟B中分別不僅形成SiO膜,亦可形成例如:碳氧化矽膜(SiOC膜)、氮碳氧化矽膜(SiOCN膜)、氮氧化矽膜(SiON膜)、硼氧氮化矽膜(SiBON膜)、碳硼氧氮化矽膜(SiBOCN膜)等矽系氧化膜。又,在步驟A、步驟B中亦可分別形成例如:氧化鋁膜(AlO膜)、氧化鈦膜(TiO膜)、氧化鉿膜(HfO膜)、氧化鋯膜(ZrO膜)等金屬系氧化膜。
上述態樣中,針對使用一次處理複數片基板的批次式基板處理裝置形成膜之例子進行說明。本發明並不侷限於上述態樣,例如,使用一次處理1片或數片基板的單片式基板處理裝置形成膜的情況亦適用。又,上述態樣中,針對使用具有熱壁式處理爐的基板處理裝置形成膜之例子進行說明。本發明並不侷限於上述態樣,使用具有冷壁式處理爐的基板處理裝置形成膜形成的情況亦適用。
使用該等基板處理裝置的情況,亦可依照與上述態樣同樣的處理程序、處理條件施行各項處理,可獲得與上述態樣同樣的效果。
上述態樣係可適當組合使用。此時的處理程序、處理條件係例如可設為與上述態樣的處理程序、處理條件相同。 [實施例]
使用上述基板處理裝置,對表面設有凹狀構造的晶圓,施行上述態樣的處理序列,依嵌入凹狀構造內的方式形成第1SiO膜與第2SiO膜,而製作樣品1。製作樣品1時,第1原料氣體係使用(二甲胺基)三甲氧基矽烷氣體,第1反應氣體係使用O 2氣體,第2原料氣體係使用HCDS氣體,第2反應氣體係使用O 2氣體+氫(H 2)氣體。
使用上述基板處理裝置,對與製作樣品1時所使用晶圓同樣構成的晶圓,施行上述變化例的處理序列,依嵌入凹狀構造內的方式形成第1SiO膜與第2SiO膜,而製作樣品2。製作樣品2時,第1原料氣體、第1反應氣體、第2原料氣體、第2反應氣體係分別使用與製作樣品1時所使用氣體相同的氣體。
使用上述基板處理裝置,對與製作樣品1時所使用晶圓同樣構成的晶圓,僅實施上述態樣處理序列中的步驟A,依嵌入凹狀構造內的方式形成第1SiO膜,而製作樣品3。製作樣品3時,第1原料氣體、第1反應氣體係分別使用與製作樣品1時所使用氣體相同的氣體。其他的處理條件係設為與樣品1的步驟A中之處理條件相同。
使用上述基板處理裝置,對與製作樣品1時所使用晶圓同樣構成的晶圓,僅實施上述態樣處理序列中的步驟B,依嵌入凹狀構造內的方式形成第2SiO膜,而製作樣品4。製作樣品4時,第2原料氣體、第2反應氣體係分別使用與製作樣品1時所使用氣體相同的氣體。其他的處理條件係設為與樣品1的步驟B中之處理條件相同。
然後,針對樣品1~4中有無圖案崩塌發生、能否抑制底層氧化進行調查。
有無圖案崩塌發生,係利用觀察圖案上所形成SiO膜的截面TEM影像實施。經觀察截面TEM影像,結果確認到僅供給第1原料氣體(有機系氣體)作為原料氣體的樣品3,相較於僅供給第2原料氣體(無機系氣體)作為原料氣體的樣品4,發生較多圖案崩塌。分別針對樣品3,4,將鄰接圖案間的距離(晶圓表面所形成凹狀構造上部的側面間之距離)設為橫軸,將各距離下的鄰接圖案發生個數設為縱軸,製成統計圖,結果得知相較於樣品4,樣品3鄰接圖案間的距離有偏差。所以,分別針對樣品3,4,求取鄰接圖案間的距離標準偏差(nm),結果得知樣品3的標準偏差大於樣品4的標準偏差。根據該結果,關於有無圖案倒塌發生,係以樣品4的標準偏差作為閾值進行判定。分別針對樣品1,2,求取鄰接圖案間的距離標準偏差(nm),結果樣品1,2的標準偏差小於樣品4的標準偏差。藉此,可判定樣品1,2中並沒有發生圖案崩塌。
能否抑制底層氧化,係觀察樣品1~4的圖案上所形成SiO膜的截面TEM影像,藉由分別測定屬於底層的晶圓表面之氧化膜厚度(nm),並設為底層氧化量而進行。測定樣品1~4的晶圓表面之氧化膜厚度的結果,樣品1的氧化膜厚度係1.2(nm),樣品2的氧化膜厚度係1.4(nm),樣品3的氧化膜厚度係0.6(nm),樣品4的氧化膜厚度係1.5(nm)。根據該結果,能否抑制底層氧化係以樣品4的氧化膜厚度1.5(nm)作為閾值進行判定。由於呈現樣品1,2的氧化膜厚度較薄於樣品4的氧化膜厚度之結果,所以判定樣品1,2的底層氧化受抑制。
115:晶舟升降機 115s:擋板開閉機構 121:控制器 121a:CPU 121b:RAM 121c:記憶裝置 121d:I/O埠 121e:內部匯流排 122:輸入輸出裝置 123:外部記憶裝置 200:晶圓 201:處理室 202:處理爐 203:反應管 207:加熱器 209:歧管 217:晶舟 218:絕熱板 219:密封蓋 219s:擋板 220a~220c:O形環 231:排氣管 231a:排氣口 232a~232f:氣體供給管 232f:氣體供給管 241a~241f:質量流量控制器(MFC) 243a~243f:閥 244:APC閥 245:壓力感測器 246:真空泵 248:積體型供給系統 249a,249b:噴嘴 250a,250b:氣體供給孔 255:旋轉軸 263:溫度感測器 267:旋轉機構
圖1係本發明之一態樣中適宜使用之基板處理裝置的立式處理爐之概略構成圖,係以縱截面圖表示處理爐202部分的圖。 圖2係本發明之一態樣中適宜使用之基板處理裝置的立式處理爐之概略構成圖,係以圖1之A-A線剖視圖表示處理爐202部分的圖。 圖3係本發明之一態樣中適宜使用基板處理裝置的控制器121之概略構成圖,係以方塊圖表示控制器121的控制系統之圖。 圖4係表示本發明之一態樣的處理序列之圖。 圖5係表示本發明之一態樣的處理序列變化例之圖。 圖6係對表面設有凹狀構造的基板,使用作為原料氣體之第1原料氣體施行成膜,而進行凹狀構造內埋藏時,基板的截面部分放大圖。 圖7係對表面設有凹狀構造的基板,使用原料氣體之第2原料氣體施行成膜,並施行凹狀構造內之嵌入時,基板的截面部分放大圖。 圖8係對表面設有凹狀構造的基板,依序施行:使用第1原料氣體的成膜、與使用第2原料氣體的成膜,並施行凹狀構造內之嵌入時,基板的截面部分放大圖。 圖9係對表面設有凹狀構造的基板,依序施行:使用第1原料氣體的成膜、與使用第2原料氣體的成膜,並施行凹狀構造內之嵌入時,基板的截面部分放大圖。 圖10係例示基板上所形成膜的膜厚與黏著力之關係的圖。

Claims (22)

  1. 一種基板處理方法,係包括有:(a)對表面設有凹狀構造的基板供給第1原料氣體,而在上述凹狀構造的內面形成具有既定黏著力之第1膜的步驟;以及(b)對上述基板供給第2原料氣體,而在上述第1膜上形成具有黏著力小於上述第1膜之黏著力之第2膜的步驟;上述第1膜的階梯覆蓋性係高於上述第2膜的階梯覆蓋性。
  2. 如請求項1之基板處理方法,其中,上述凹狀構造內面係具有:相對向的側面、與底面;且在(a)中,一邊維持在上述相對向側面分別形成的上述第1膜不會相互接觸之狀態,一邊形成上述第1膜;在(b)中,形成上述第2膜,直到相對向的上述第2膜之至少一部分相互接觸為止。
  3. 如請求項1或2之基板處理方法,其中,在(a)中,既定次數執行非同時施行供給上述第1原料氣體之步驟、與供給第1反應氣體之步驟的循環,而形成上述第1膜;在(b)中,藉由既定次數執行非同時施行供給上述第2原料氣體之步驟、與供給第2反應氣體之步驟的循環,而形成上述第2膜。
  4. 如請求項3之基板處理方法,其中,上述第1反應氣體與上述第2反應氣體分別係氧化氣體,上述第1膜與上述第2膜分別係氧化膜。
  5. 一種基板處理方法,係包括有:(a)執行既定次數之循環,而在凹狀構造的內面形成具有既定黏著力之第1氧化膜的步驟;該循環係施行:對表面設有上述凹狀構造的基板供給第1原料氣體之步驟、與對上述基板供給第1氧化氣體之步驟;以及 (b)執行既定次數之循環,而在上述第1氧化膜上形成具有黏著力小於上述第1氧化膜之黏著力之第2氧化膜的步驟,該循環係施行:對上述基板供給第2原料氣體之步驟、與對上述基板供給第2氧化氣體之步驟;上述第1氧化氣體的氧化力係小於上述第2氧化氣體的氧化力。
  6. 如請求項1或2之基板處理方法,其中,上述第1原料氣體的分子量係大於上述第2原料氣體的分子量。
  7. 如請求項6之基板處理方法,其中,上述第1原料氣體係有機系氣體,上述第2原料氣體係無機系氣體。
  8. 如請求項1或2之基板處理方法,其中,在(b)中,形成上述第2膜,直到利用上述第1膜與上述第2膜填充上述凹狀構造內之至少一部分為止。
  9. 如請求項8之基板處理方法,其中,在(b)中,形成上述第2膜,直到利用上述第1膜與上述第2膜填充上述凹狀構造內之全體為止。
  10. 如請求項1或2之基板處理方法,其中,上述凹狀構造的內面係具有相對向的側面,且上述凹狀構造下部的上述側面間距離短於上述凹狀構造上部的上述側面間距離。
  11. 如請求項1或2之基板處理方法,其中,上述第1原料氣體與上述第2原料氣體係分別含有既定元素的分子結構;上述第1膜與上述第2膜係分別含有上述既定元素的膜。
  12. 如請求項11之基板處理方法,其中,上述既定元素係矽;在上述第1原料氣體所含矽原子具有的1個結合鍵上鍵結著胺基,且在剩餘的3個結合鍵上鍵結著烷氧基。
  13. 如請求項12之基板處理方法,其中,在(a)中,在上述烷氧基不從矽脫離而上述胺基脫離的條件下,且在上述胺基脫離並使與上述烷氧基維持鍵結狀態的矽吸附於上述基板表面的條件下,使上述第1原料氣體供給至上述基板。
  14. 如請求項12之基板處理方法,其中,上述第1原料氣體係二烷胺基三烷氧基矽烷氣體。
  15. 如請求項11之基板處理方法,其中,上述第2原料氣體係具有含鍵結於上述既定元素的鹵元素之分子結構。
  16. 如請求項1或2之基板處理方法,其中,在(b)之後,更進一步施行(a),而在上述第2膜上形成上述第1膜。
  17. 一種半導體裝置之製造方法,係包括有:(a)對表面設有凹狀構造的基板供給第1原料氣體,而在上述凹狀構造的內面形成具有既定黏著力之第1膜的步驟;以及(b)對上述基板供給第2原料氣體,而在上述第1膜上形成具有黏著力小於上述第1膜之黏著力之第2膜的步驟;上述第1膜的階梯覆蓋性係高於上述第2膜的階梯覆蓋性。
  18. 一種半導體裝置之製造方法,係包括有:(a)執行既定次數之循環,而在凹狀構造的內面形成具有既定黏著力之第1氧化膜的步驟;該循環係施行:對表面設有上述凹狀構造的基板供給第1原料氣體之步驟、與對上述基板供給第1氧化氣體之步驟;以及(b)執行既定次數之循環,而在上述第1氧化膜上形成具有黏著力小於上述第1氧化膜之黏著力之第2氧化膜的步驟,該循環係施行:對上述基板供給第2原料氣體之步驟、與對上述基板供給第2氧化氣體之步驟;上述第1氧化氣體的氧化力係小於上述第2氧化氣體的氧化力。
  19. 一種基板處理裝置,係具備有:第1原料氣體供給系統,其係對基板供給第1原料氣體;第2原料氣體供給系統,其係對上述基板,供給具有分子結構不同於上述第1原料氣體的第2原料氣體;反應氣體供給系統,其係對上述基板供給反應氣體;控制部,其係構成為能夠依進行以下處理之方式控制上述第1原料氣體供給系統、上述第2原料氣體供給系統、及上述反應氣體供給系統,該等處理為:(a)對表面設有凹狀構造的上述基板供給上述第1原料氣體,而在上述凹狀構造的內面形成具有既定黏著力之第1膜的處理;以及(b)對上述基板供給上述第2原料氣體,而在上述第1膜上形成具有黏著力小於上述第1膜之黏著力之第2膜的處理;上述第1膜的階梯覆蓋性係高於上述第2膜的階梯覆蓋性。
  20. 一種基板處理裝置,係具備有:第1原料氣體供給系統,其係對基板供給第1原料氣體;第2原料氣體供給系統,其係對上述基板,供給具有分子結構不同於上述第1原料氣體的第2原料氣體;第1氧化氣體供給系統,其係對上述基板供給第1氧化氣體;第2氧化氣體供給系統,其係對上述基板供給第2氧化氣體;控制部,其係構成為能夠依進行以下處理之方式控制上述第1原料氣體供給系統、上述第2原料氣體供給系統、上述第1氧化氣體供給系統及上述第2氧化氣體供給系統,該等處理為:(a)執行既定次數之循環,而在凹狀構造的內面形成具有既定黏著力之第1氧化膜的處理,該循環係施行:對表面設有上述凹狀構造的上述基板供給第1原料氣體之步驟、與對上述基板供給上述第1氧化氣體之步驟;以及(b)執行既定次數之循環, 而在上述第1氧化膜上形成具有黏著力小於上述第1氧化膜之黏著力之第2氧化膜的處理,該循環係施行:對上述基板供給上述第2原料氣體之步驟、與對上述基板供給上述第2氧化氣體之步驟;上述第1氧化氣體的氧化力係小於上述第2氧化氣體的氧化力。
  21. 一種利用電腦使基板處理裝置執行程序的程式,該等程序為:(a)對表面設有凹狀構造的基板供給第1原料氣體,而在上述凹狀構造的內面上形成具有既定黏著力之第1膜的程序;以及(b)對上述基板供給第2原料氣體,而在上述第1膜上形成具有黏著力小於上述第1膜之黏著力之第2膜的程序;上述第1膜的階梯覆蓋性係高於上述第2膜的階梯覆蓋性。
  22. 一種利用電腦使基板處理裝置執行程序的程式,該等程序為:(a)執行既定次數之循環,而在凹狀構造的內面形成具有既定黏著力之第1氧化膜的程序,該循環係施行:對表面設有上述凹狀構造的基板供給第1原料氣體之步驟、與對上述基板供給第1氧化氣體之步驟;以及(b)執行既定次數之循環,而在上述第1氧化膜上形成具有黏著力小於上述第1氧化膜之黏著力之第2氧化膜的程序,該循環係施行:對上述基板供給第2原料氣體之步驟、與對上述基板供給第2氧化氣體之步驟;上述第1氧化氣體的氧化力係小於上述第2氧化氣體的氧化力。
TW111118171A 2021-09-14 2022-05-16 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式 TWI831204B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/JP2021/033761 2021-09-14
PCT/JP2021/033761 WO2023042264A1 (ja) 2021-09-14 2021-09-14 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Publications (2)

Publication Number Publication Date
TW202311558A TW202311558A (zh) 2023-03-16
TWI831204B true TWI831204B (zh) 2024-02-01

Family

ID=85601997

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111118171A TWI831204B (zh) 2021-09-14 2022-05-16 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式

Country Status (4)

Country Link
KR (1) KR20240041928A (zh)
CN (1) CN117616546A (zh)
TW (1) TWI831204B (zh)
WO (1) WO2023042264A1 (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009146917A (ja) * 2007-12-11 2009-07-02 New Japan Radio Co Ltd 半導体装置
TW201945582A (zh) * 2018-04-30 2019-12-01 荷蘭商Asm Ip控股公司 使用矽氫鹵化物前驅物沉積SiN的方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910008830B1 (ko) * 1988-08-18 1991-10-21 현대전자산업 주식회사 산화물벽과 질화물벽을 이용한 트렌치 측면벽 도핑방법 및 그 반도체 소자
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012104695A (ja) * 2010-11-11 2012-05-31 Elpida Memory Inc 半導体装置の製造方法
JP6230809B2 (ja) 2013-04-22 2017-11-15 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10049913B2 (en) * 2016-04-12 2018-08-14 Tokyo Electron Limited Methods for SiO2 filling of fine recessed features and selective SiO2 deposition on catalytic surfaces

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009146917A (ja) * 2007-12-11 2009-07-02 New Japan Radio Co Ltd 半導体装置
TW201945582A (zh) * 2018-04-30 2019-12-01 荷蘭商Asm Ip控股公司 使用矽氫鹵化物前驅物沉積SiN的方法

Also Published As

Publication number Publication date
KR20240041928A (ko) 2024-04-01
TW202311558A (zh) 2023-03-16
WO2023042264A1 (ja) 2023-03-23
JPWO2023042264A1 (zh) 2023-03-23
CN117616546A (zh) 2024-02-27

Similar Documents

Publication Publication Date Title
JP7050985B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR101983437B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN107818911B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101786889B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 컴퓨터 프로그램
US10910214B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6529348B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN112309830A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
US11923193B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2023033533A (ja) 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
JP6470468B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US10720325B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
TWI831204B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
TWI821828B (zh) 半導體裝置之製造方法、基板處理裝置、基板處理方法及程式
JP6731527B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP7186909B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US20230098703A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2022023076A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN113113284A (zh) 半导体器件的制造方法、衬底处理装置及记录介质