US20170314128A1 - Chamber undercoat preparation method for low temperature ald films - Google Patents

Chamber undercoat preparation method for low temperature ald films Download PDF

Info

Publication number
US20170314128A1
US20170314128A1 US15/650,731 US201715650731A US2017314128A1 US 20170314128 A1 US20170314128 A1 US 20170314128A1 US 201715650731 A US201715650731 A US 201715650731A US 2017314128 A1 US2017314128 A1 US 2017314128A1
Authority
US
United States
Prior art keywords
reaction chamber
undercoat
reactant
chamber
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/650,731
Inventor
Hu Kang
Jun Qian
Adrien Lavoie
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US15/650,731 priority Critical patent/US20170314128A1/en
Publication of US20170314128A1 publication Critical patent/US20170314128A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Definitions

  • An undercoat can help improve wafer-to-wafer thickness uniformity and within-wafer thickness uniformity.
  • a method for forming an undercoat on interior surfaces of a reaction chamber for processing substrates including (a) introducing a flow of a first reactant in vapor phase into the reaction chamber and allowing the first reactant to adsorb onto the interior surfaces of the reaction chamber; (b) introducing a flow of a second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed on the interior surfaces of the reaction chamber; and (c) exposing the reaction chamber to plasma when the flow of at least one of the first and second reactants has ceased, in order to drive a reaction between the first and second reactants on the interior surfaces of the reaction chamber to form the undercoat, where the undercoat conformally coats the interior surfaces of the reaction chamber; where operations (a)-(c) occur when there is no substrate present in the reaction chamber, and where operations (a)-(c) are repeated until the
  • a temperature in the reaction chamber does not vary by more than about 2° C. during operations (a)-(c).
  • the undercoat may be an oxide, nitride, carbide, or carbonitride in some cases.
  • the undercoat may also be a noble metal, a lanthanide oxide, a group 4 metal oxide or a group 5 metal oxide.
  • Various different reactants may be used.
  • the second reactant includes O 2 and N 2 O.
  • the O 2 and N 2 O may be provided at substantially equal flow rates, as measured in SLM.
  • the undercoat may conformally coat various interior chamber surfaces, for example a substrate carrier. In some embodiments, the undercoat is no more than about 0.5 or 0.2 ⁇ m thick.
  • the method may continue by (d) receiving a substrate in the reaction chamber; (e) introducing a flow of a third reactant in vapor phase into the reaction chamber and allowing the third reactant to adsorb onto the surface of the substrate; (f) introducing a flow of a fourth reactant in vapor phase into the reaction chamber while the third reactant is adsorbed on the surface of the substrate; and (g) exposing the reaction chamber to plasma when the flow of at least one of the third and fourth reactants has ceased, in order to drive a reaction between the third and fourth reactants to form a second film on the surface of the substrate.
  • the first reactant and second reactant are the same as the third reactant and fourth reactant, respectively.
  • the second and fourth reactants may each comprise O 2 and N 2 O.
  • Other process characteristics may remain constant between the undercoat deposition and the deposition on substrates.
  • one or more of the reaction chamber pressure, reaction chamber temperature, dosing durations, plasma exposure durations, and RF power values remain substantially constant between operations (a)-(c) and operations (e)-(g). In certain cases, all of these process characteristics remain constant between the two sets of operations.
  • the temperature in the reaction chamber does not vary by more than about 2° C. during operations (a)-(g). Further, operation (e) may begin within about 5 minutes after a last iteration of operation (c), in some cases. In these or other cases, the reaction chamber may not be purged between a last iteration of operation (c) and a first iteration of operation (e). Operations (d)-(g) may be repeated with a plurality of substrates. In some embodiments, film deposited in operations (a)-(g) does not begin to flake or peel off until a chamber accumulation limit of about 4 ⁇ m is reached and/or until at least about 300 substrates have been processed through the reaction chamber using operations (d)-(g).
  • these limits may be higher, for example flaking/peeling may not begin until at least about 400, or at least about 500, or at least about 600 substrates have been processed. In various embodiments, this may correspond to a total deposition on substrates of at least about 7.5 ⁇ m, or at least about 10 ⁇ m, or at least about 15 ⁇ m before flaking/peeling. This measurement relates to the number of substrates processed between cleaning operations multiplied by the average thickness of film deposited on the substrates.
  • a method of treating a reaction chamber for depositing films on substrates including (a) removing previously deposited film from interior surfaces of the reaction chamber to clean the reaction chamber; and (b) depositing an undercoat on the cleaned interior surfaces of the reaction chamber by an atomic layer deposition process; where operation (b) occurs when there is no substrate present in the reaction chamber.
  • the undercoat is deposited to a thickness between about 0.1-0.5 ⁇ m. Operation (b) may occur isothermally in some embodiments.
  • a reaction chamber ready for depositing films on substrates including: one or more inlets for introducing vapor phase reactants to the reaction chamber; one or more outlets for removing vapor phase material from the reaction chamber; a plasma generator for generating a plasma that is exposed to the reaction chamber; and an undercoat on the interior surfaces of the reaction chamber, wherein the undercoat is about 0.5 ⁇ m thick or less, and wherein the undercoat conformally covers interior surfaces of the reaction chamber.
  • the undercoat on the interior surfaces of the reaction chamber may be formed by (a) introducing a flow of a first reactant in vapor phase into the reaction chamber and allowing the first reactant to adsorb onto the interior surfaces of the reaction chamber; (b) introducing a flow of a second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed on the interior surfaces of the reaction chamber; and (c) exposing the reaction chamber to plasma when the flow of at least one of the first and second reactants has ceased, in order to drive a reaction between the first and second reactants on the interior of the reaction chamber to form the undercoat; where operations (a)-(c) occur when there is no substrate present in the reaction chamber.
  • the undercoat on the interior surfaces of the reaction chamber may be about 0.2 ⁇ m thick or less.
  • the reaction chamber further includes a substrate carrier conformally coated by the undercoat.
  • FIG. 1 shows a flowchart for processing substrates in a reaction chamber including depositing an undercoat through CVD-based methods.
  • FIG. 2 shows a flowchart for processing substrates in a reaction chamber including depositing an undercoat through ALD-based methods.
  • FIG. 3 presents a flowchart for a method of depositing an undercoat through ALD-based methods.
  • FIG. 4 shows a flowchart for a method of depositing a silicon oxide undercoat through ALD-based methods.
  • FIGS. 5A and 5B show embodiments of a reaction chamber according to certain disclosed embodiments.
  • FIG. 6 shows a multi-tool semiconductor plating apparatus according to certain disclosed embodiments.
  • FIG. 7 is a table presenting the surface concentration of various metals in films deposited on substrates in reaction chambers having different types of undercoats.
  • FIGS. 8 and 9 are tables showing the number of particles detected on films deposited in reaction chambers having different types of undercoats.
  • FIGS. 10A and 10B show particle maps illustrating where particles were detected on the films described in FIG. 9 .
  • FIG. 11 is a table showing the uniformity of films deposited in a reaction chamber having an ALD-based undercoat.
  • FIG. 12 is a table comparing chamber performance and throughput for reaction chambers having CVD- and ALD-based undercoats.
  • FIG. 13 shows a graph depicting wet etch rate vs. deposition temperature for different levels of RF flux.
  • FIG. 14 shows a graph of wet etch rate vs. film stress for various films.
  • semiconductor wafer semiconductor wafer
  • wafer substrate wafer substrate
  • partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 or 300 mm, though the industry is moving towards the adoption of 450 mm diameter substrates.
  • reaction chambers used to deposit films on semiconductor wafers other types of deposition reactors may take advantage of this invention.
  • Other types of reactors that may benefit from the disclosed embodiments include those used to fabricate various articles such as printed circuit boards, displays, and the like.
  • the methods and apparatus described herein may be used with deposition chambers configured for other types of substrates including glass and plastic panels.
  • SiO 2 films and other dielectric films have many applications in semiconductor manufacturing.
  • a common application for thin SiO 2 films is the electrical isolation of adjacent transistors. Electrical isolation can be achieved by physically separating adjacent transistor components with insulating silicon dioxide.
  • Chemical vapor deposition (CVD) and plasma enhanced chemical vapor deposition (PECVD) are sometimes the method of choice for depositing silicon oxide films or other films for shallow trench isolation (STI), particularly where the features are relatively large.
  • STI shallow trench isolation
  • ALD atomic layer deposition
  • PEALD plasma enhanced atomic layer deposition
  • ALD methods involve self-limiting adsorption of reactant gases and can provide thin, conformal dielectric films within high aspect ratio features.
  • ALD methods have been developed for the deposition of silicon oxide and other types of film. Films produced by ALD are very thin (e.g., about one monolayer); therefore, numerous ALD cycles may be repeated to adequately fill a gap feature.
  • ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis.
  • a substrate surface including a population of surface active sites, is exposed to a gas phase distribution of a first film precursor (P 1 ).
  • P 1 a first film precursor
  • Some molecules of P 1 may form a condensed phase atop the substrate surface, including chemisorbed species and physisorbed molecules of P 1 .
  • the reactor is then evacuated to remove gas phase and physisorbed P 1 so that only chemisorbed species remain.
  • a second film precursor (P 2 ) is then introduced to the reactor so that some molecules of P 2 adsorb to the substrate surface.
  • the reactor may again be evacuated, this time to remove unbound P 2 .
  • energy provided to the substrate e.g., thermal or plasma energy
  • the reactor is evacuated to remove reaction by-products and possibly unreacted P 1 and P 2 , ending the ALD cycle. Additional ALD cycles may be included to build film thickness.
  • each ALD cycle may deposit a film layer of, in one example, between about 0.5 ⁇ -3 ⁇ thick.
  • Conformal films may also be deposited on planar substrates.
  • antireflective layers for lithographic patterning applications may be formed from planar stacks including alternating film types. Such antireflective layers may be approximately 100 ⁇ -1000 ⁇ thick, making slower ALD processes less attractive than faster CVD processes. However, such anti-reflective layers may also have a lower tolerance for within-wafer thickness variation than many CVD processes may provide. For example, a 600 ⁇ thick antireflective layer may tolerate a thickness range of less than 3 ⁇ .
  • a related deposition technique that presents a feasible alternative to CVD for small feature sizes is conformal film deposition (CFD).
  • CFD does not rely on complete purges of one or more reactants prior to reaction to form the film.
  • one or more of the process steps described in an ALD process e.g., a purge step
  • a chamber capable of performing an ALD process is also capable of performing a CFD process.
  • the novel undercoat formation process may be used to deposit an undercoat in a CVD, ALD or CFD reaction chamber. While the benefits of the novel undercoat may be greatest when used in conjunction with an ALD/CFD reactor, the embodiments are not limited to this context.
  • An undercoat can help improve wafer-to-wafer thickness uniformity and within-wafer thickness uniformity.
  • the use of a chamber undercoat is especially beneficial in the context of a plasma processing apparatus, for example an apparatus used to deposit plasma enhanced atomic layer deposition (PEALD) films or plasma enhanced chemical vapor deposition (PECVD) films.
  • PEALD plasma enhanced atomic layer deposition
  • PECVD plasma enhanced chemical vapor deposition
  • An undercoat is often used to help reduce metal contamination and the formation of defect-inducing particles on the surfaces of the chamber. These particles can flake off the chamber surfaces and fall onto a substrate as film is being deposited, thereby causing undesirable film impurities and increasing the likelihood of device failure.
  • Another advantage of using an undercoat is that it helps stabilize the impedance within a reactor.
  • One method of forming a chamber undercoat involves depositing undoped silicate glass (USG) on the chamber surfaces through a PECVD process. This process is typically performed without any substrates present in the chamber.
  • the reactants used to deposit the USG include silane (SiH 4 ) and nitrous oxide (N 2 O).
  • SiH 4 silane
  • N 2 O nitrous oxide
  • this reaction raises the temperature of the reaction chamber due to plasma heating effects related to the use of nitrous oxide. Where the reaction chamber is maintained at or below about 100° C., the plasma heating effect can be substantial.
  • the deposition of an undercoat may raise the temperature of the reaction chamber by about 4-8° C. This effect on the reaction chamber temperature significantly affects wafer-to-wafer uniformity.
  • a long idle time may be used (e.g., at least about ten minutes) after the undercoat is deposited and before any substrates are processed.
  • Another method to stabilize the chamber temperature is to run one or more “dummy wafers” through several deposition cycles within the reaction chamber.
  • the dummy wafers can be relatively inexpensive substrates that are not designed to be used in a final device. Both of these approaches slow down the production process and decrease throughput.
  • the CVD-based undercoat formation method typically requires multiple flushes of the chamber to remove the USG-forming reactants. If these flushes are not performed, the silane and nitrous oxide may remain in the reaction chamber and cause undesirable reactions when the chamber is used to deposit a film on a substrate. These multiple flush operations increase the required processing time and decrease throughput.
  • a further problem related to the CVD-based undercoat deposition process is that there is a potential for mismatch between an area covered by the PECVD-based USG undercoat and, for example, and an area covered by a PEALD-deposited film.
  • a PEALD reaction chamber may be coated with a PECVD-based USG undercoat.
  • the CVD-based undercoat will cover certain portions of the reaction chamber, but may inadequately cover other portions of the chamber.
  • some PEALD-based film will deposit on the undercoat of the reaction chamber. Because PECVD films and PEALD films are formed through different mechanisms, the coverage of the two films may be different.
  • the PEALD-based film may extend to areas of the reaction chamber where the PECVD-based film did not reach. This coverage mismatch can contribute to flaking within the chamber, thus impacting both within-wafer and wafer-to-wafer uniformity.
  • An undercoat is defined as a layer of film formed on the interior surfaces of the reaction chamber prior to processing substrates in the reaction chamber.
  • An undercoat is distinct from film buildup that occurs during a normal deposition process where material is deposited on substrates. In contrast to buildup that occurs during deposition on substrates, an undercoat is generally deposited without any substrates present in a reaction chamber. Further, an undercoat may be deposited directly on the bare chamber surfaces (e.g., on aluminum) or on a permanent layer on the chamber surfaces (e.g., aluminum fluoride), whereas film deposited during deposition on substrates is typically not in contact with these surfaces if it is deposited on top of a previously formed undercoat.
  • a substrate is a solid piece of material that may be inserted and removed from the reaction chamber, which is not part of the reaction chamber, upon which film is deposited, and upon which film deposition is generally desired.
  • a semiconductor wafer (with or without film(s) deposited thereon) is a typical substrate.
  • substrates are disc-shaped and have a diameter of, for example, 200, 300 or 450 mm.
  • Substrates typically go through many rounds of processing to become semiconductor devices. Certain other substrates, however, are not intended to become fully functioning devices.
  • These substrates may be referred to as dummy wafers, and they may be used as test vehicles for evaluating a deposition process or as sacrificial substrates for equilibrating a reaction chamber, for example.
  • the substrate-free chamber allows the undercoat to uniformly coat the chamber surfaces.
  • An undercoat is also distinct from a layer that may be deposited on chamber surfaces during certain test cycles, for example where a deposition process is run for a relatively small number of cycles without any substrates present.
  • One difference is that the undercoat formation process is repeated many times to form a film of a desired minimum thickness. This minimum thickness is important in ensuring that the undercoat is able to function (e.g., minimize flaking and particle formation, and maximize uniformity) as desired.
  • the undercoat can improve uniformity by helping reduce metal contamination and particle flaking, and by helping balance the impedance of the reaction chamber. Films deposited in reaction chambers lacking an undercoat often have substantial metal contamination problems. In many cases, the reaction chamber itself is made of a metal (e.g., aluminum). When an uncoated metal chamber is exposed to plasma, small amounts of metal may be removed from the chamber surfaces and end up on the substrate film. These metal impurities can negatively affect the performance of the devices formed on the substrate, and in some cases can lead to device failure. With regard to balancing impedance, the chamber undercoat is especially useful when depositing CVD-based films, which deposit through gas phase reactions.
  • the reaction chamber is made of metal and the film deposited on substrates is often a dielectric material, the impedance of the reaction chamber changes as the dielectric is deposited.
  • the impedance of the chamber can become relatively stabilized before any substrates are processed, thereby maximizing processing uniformity.
  • Certain methods for depositing a chamber undercoat involve the use of a PECVD method using silane and nitrous oxide. This method can raise the temperature of the reaction chamber by about 4-8° C. due to plasma heating effects related to the use of nitrous oxide. The heating effects are especially problematic with respect to film deposition methods performed at or below about 100° C. At these low deposition temperatures, the plasma heating effect is more pronounced.
  • CVD-based undercoat methods typically require a fairly long downtime between deposition of an undercoat and deposition of a film on a substrate in the newly coated reaction chamber (e.g., at least about ten minutes), and/or the use of dummy deposition wafers to stabilize chamber temperature.
  • multiple extensive flushes may be performed to remove all of the silane and nitrous oxide chemistry from the reaction chamber before a substrate is processed. Otherwise, these reactants can cause unwanted reactions within the chamber, which can cause film non-uniformities.
  • the downtime/dummy wafers/flushes all contribute to relatively long processing times and decreased throughput.
  • CVD-based undercoat is used in an ALD reaction chamber
  • additional problems may arise. For example, there may be a mismatch in coverage between a CVD-based undercoat and ALD-based film that is deposited on top of the undercoat when the chamber is used to deposit film on substrates.
  • These mismatches may promote particle formation/flaking at relatively low levels of film buildup.
  • the reaction chamber must be cleaned fairly frequently. During the cleaning time, the reaction chamber is unavailable for depositing films on substrates.
  • One aspect of the present embodiments is providing an undercoat that shows superior particle formation/flaking performance. By reducing the flaking problem, the reaction chamber does not have to be cleaned as often, more substrates may be processed between subsequent reaction chamber cleaning operations, and throughput can be maximized.
  • FIG. 1 presents a flowchart for a method of processing substrates in a reaction chamber.
  • the method shown in FIG. 1 corresponds to a process in which a PECVD-based undercoat is deposited.
  • the reaction chamber is “clean” at the beginning of operation 101 .
  • the aluminum fluoride layer may be formed in a previous operation by introducing a remotely generated fluorine plasma to the reaction chamber, for example.
  • the reaction chamber's aluminum surfaces may react with the fluorine plasma to form the layer of aluminum fluoride.
  • This aluminum fluoride layer is effectively permanent and is generally not removed in later cleaning operations.
  • a reaction chamber having a layer of a permanent material such as aluminum fluoride is considered a “clean” chamber.
  • silane and nitrous oxide are flowed into the reaction chamber at the same time.
  • a plasma is ignited in the reaction chamber, driving a gas-phase reaction between the silane and nitrous oxide to form undoped silicate glass (USG).
  • the plasma is generated by an RF plasma generator, and the RF power used to drive the generator is on the order of about 1800 W (divided among four stations, depositing on 300 mm diameter substrates).
  • the reaction chamber surfaces become plated with the USG undercoat film. Examples of surfaces that become coated include the chamber walls/ceiling/floor, pedestal, substrate carrier ring, showerhead, exhaust system, fluid line, pump, spindle, wafer transfer arm, filler plates, secondary purge collars, etc.
  • non-metallic parts include a substrate carrier ring and associated hardware such as a lift arm/lift pin. These non-metallic parts often experience flaking before the metallic parts begin to flake. This relatively early flaking may be due to coverage, composition and/or stress mismatches between the CVD-deposited undercoat and the film deposited over the undercoat during deposition on substrates. Examples of non-metallic materials that a carrier ring and other components may be made of include ceramic materials.
  • the temperature of the reaction chamber is likely to rise, especially if the chamber is being maintained at relatively low temperatures (e.g., under about 100° C.).
  • the fluctuating temperature may have a detrimental effect on wafer-to-wafer non-uniformity, because subsequent substrates will be processed at different temperatures as the chamber temperature equilibrates.
  • the method 100 may continue with operation 103 , where the temperature of the reaction chamber is permitted to change to a desired, stable level, before processing any valuable substrates. During this waiting time, the reaction chamber may sit idle. Alternatively or in addition, the reaction chamber may be used to deposit film on a series of dummy wafers, which are relatively inexpensive substrates that are not desired for use in an end product.
  • the reaction chamber is purged/flushed multiple times to remove any unwanted reactants.
  • one or more of the reactants used to deposit the PECVD-based undercoat are incompatible with the reactants used to deposit films on substrates. This chemistry mismatch is especially likely where the undercoat is deposited through a CVD method and the reaction chamber is used to deposit ALD or CFD films on substrates. This mismatch can cause unwanted reactions during film deposition on substrates.
  • the reaction chamber is purged multiple times to remove any unwanted reactants.
  • the purge may include flowing gas that does not contain the species to be removed from the chamber. Alternatively or in addition, the purge may include evacuating the reaction chamber. Operation 105 may occur before, during or after operation 103 .
  • reactants used to deposit films on substrates are pre-flowed into the reaction chamber to precoat the chamber surfaces. This is typically done before any substrates are present in the reaction chamber. This precoat helps prime the gas delivery hardware (e.g., liquid chemical injectors, gas delivery lines, etc.) and other portions of the apparatus by exposing them to the reactants used during deposition.
  • gas delivery hardware e.g., liquid chemical injectors, gas delivery lines, etc.
  • a series of substrates are processed in the reaction chamber.
  • material is deposited on the substrates through an ALD or CFD method.
  • film is also deposited on the interior surfaces of the reaction chamber. This film builds up over the course of processing many substrates, and eventually begins to peel or flake off.
  • a USG undercoat deposited by method 100 to a thickness of about 1 ⁇ m about 250 substrates may be processed before reaching the chamber total accumulation limit. This limit corresponds to (but does not exactly represent) the amount of film (including undercoat) deposited on the chamber surfaces at a point just before any sign of film flaking.
  • the chamber total accumulation may not be a direct measurement of the amount of film on the chamber surfaces.
  • an accumulation counter tracks the amount of film buildup based on the expected thickness of deposition per cycle.
  • the chamber total accumulation limit may be chosen for a particular system based on the performance of the process. For example, the chamber total accumulation limit may be set to a value just below the accumulation counter value at which the chamber began to experience flaking.
  • the reaction chamber undergoes an in situ cleaning process in operation 109 to remove the deposited film and undercoat.
  • This cleaning process is typically undertaken when no substrates are present in the reaction chamber.
  • the chamber cleaning process may involve introducing a gas phase species, e.g., a fluorine-containing compound, which reacts with the deposited film to form a volatile product that may be purged from the chamber.
  • the processing scheme may then be repeated on the newly cleaned chamber.
  • an undercoat may be made from the same material as the one deposited on substrates in the reaction chamber.
  • Example film types include doped or undoped oxides, nitrides, carbides, oxynitrides, carbonitrides, borides, noble metals, and high-k materials including, for example, lanthanide-oxides, group 4 metal oxides, and group 5 metal oxides.
  • Oxides include a wide range of materials including undoped silicate glass (USG), and doped silicate glass.
  • doped glasses include boron doped silicate glass (BSG), phosphorus doped silicate glass (PSG), and boron phosphorus doped silicate glass (BPSG).
  • the undercoat is silicon oxide, silicon nitride or silicon carbide.
  • the silicon oxide undercoat may be especially useful where the reaction chamber is used to deposit silicon oxide films on substrates.
  • a silicon oxide undercoat may also be used in chambers used to deposit other types of films on substrates, as well.
  • the undercoat is formed by the same process and process conditions as are used to deposit films on substrates in the relevant reaction chamber. These overlapping process conditions may include specific reactants, timing, RF power/frequency, temperature and/or pressure.
  • FIG. 2 presents a flowchart for a method of processing substrates in a reaction chamber according to certain disclosed embodiments.
  • the method shown in FIG. 2 differs from that shown in FIG. 1 in that the undercoat is formed through an ALD method instead of a CVD method. This results in the avoidance of multiple processing operations.
  • the process 200 begins at operation 201 , where the ALD-based undercoat is deposited. As in FIG. 1 , the reaction chamber is typically clean at the beginning of operation 201 .
  • the deposition process 201 involves cyclically introducing a first reactant to the chamber and allowing it to adsorb onto chamber surfaces, introducing a second reactant to the chamber while the first reactant is adsorbed onto chamber surfaces, and exposing the chamber to plasma to drive a surface reaction between the first and second reactants.
  • the undercoat may be delivered continuously.
  • the undercoat deposition 201 may also involve one or more purge operations after introduction of one or both reactants and/or after plasma exposure. This undercoat deposition process 201 is further described with reference to FIGS. 3 and 4 . Example process conditions for implementing the undercoat deposition process 201 are described further below, though the embodiments are not limited to these particular conditions.
  • an ALD-based undercoat it is possible to reduce or eliminate the need for several of the processing steps described in relation to FIG. 1 .
  • operations 103 (waiting for chamber temperature to fall), 105 purging reaction chamber to remove unwanted reactants), and 106 (pre-flowing reactants to precoat chamber) may be eliminated in some embodiments. In other embodiments, one or more of these operations may take place. In these cases, one or more of the listed operations may be done more quickly than in a conventional setting.
  • a CVD-based undercoat deposition process may raise the temperature of the reaction chamber by about 4-8° C.
  • an ALD-based undercoat deposition process may raise the temperature of the reaction chamber to a lower degree, for example less than 1° C. in many cases.
  • reaction chamber will be purged one or more times, and reactants may be pre-flowed to the reaction chamber between operations 201 and 207 . These operations may continue to be helpful, particularly where different reactants are used to deposit the undercoat and the film on substrates. In embodiments where the same reactants are used to deposit the undercoat and film on substrates, however, these purging and pre-flowing steps may be eliminated.
  • the process 200 continues with operation 207 , where material is deposited on substrates as desired in the reaction chamber.
  • the material is deposited on the substrates through an ALD or CFD method.
  • the process and process conditions used to deposit the films on the substrates may be the same as those used to deposit the undercoat.
  • any substrates present in the reaction chamber are removed, and the chamber is cleaned.
  • the cleaning process removes both the film buildup deposited during deposition on substrates, as well as the undercoat.
  • the reaction chamber is clean and the process 200 may be repeated.
  • the above description focuses on the deposition of an ALD-based undercoat in the context of processing many wafers, other embodiments may be directed to more limited portions of this process.
  • some embodiments relate to the deposition of an ALD-based undercoat in the context of a single cleaning process.
  • the cleaning process may include operation 209 followed by operation 201 of FIG. 2 , for example.
  • the reaction chamber is covered in film (and potentially but not necessarily an undercoat) at the outset of the process.
  • the reaction chamber is cleaned according to the cleaning methods described elsewhere herein.
  • the cleaning process removes any film and undercoat present on the chamber surfaces.
  • a new undercoat is deposited through ALD-based methods as described in relation to operation 201 .
  • Another embodiment relates to a method of depositing films on substrates including operation 201 followed by operation 207 of FIG. 2 .
  • the method begins with a clean reaction chamber.
  • An undercoat is deposited through ALD-based methods as described in relation to operation 201 .
  • a substrate is loaded into the reaction chamber, and a film is deposited thereon in operation 207 .
  • This operation may continue by removing the substrate and processing additional substrates as desired. In this embodiment, no cleaning of the reaction chamber may be performed.
  • Another embodiment is directed to a method of depositing an ALD-based undercoat in a reaction chamber, as described in relation to operation 201 of FIG. 2 .
  • This embodiment may be practiced without placing a substrate in the reaction chamber.
  • This may also be referred to as a wafer-less ALD undercoat deposition method. As mentioned, this method is further discussed with relation to FIGS. 3 and 4 .
  • the use of an ALD-based undercoat is beneficial for several reasons.
  • the ALD-based undercoat may be deposited without raising the temperature of the reaction chamber. Because the temperature of the chamber stays relatively constant, the wafer-to-wafer uniformity is improved.
  • the temperature of the reaction chamber rises no more than about 2° C., for example no more than about 1° C., during deposition of the undercoat. Where the rise in temperature during the undercoat deposition process is less than about 1° C., the process may be considered “isothermal.”
  • the temperature-stable ALD-based reaction reduces or eliminates the need for long idle times or dummy wafers to stabilize the chamber temperature.
  • the ALD-based undercoat and the ALD-based film deposited on the substrate may both be formed using the same set of chemistry. This eliminates the need to perform multiple flushes of the reaction chamber before depositing films on substrates.
  • ALD-based undercoats achieve better flaking/particle performance than CVD-based undercoats.
  • the Experimental section below, provides data related to particle performance in reaction chambers having CVD- or ALD-based undercoats.
  • a 2000 ⁇ thick ALD-based silicon oxide undercoat allowed the chamber to process about three times as many substrates than a chamber having a 2 ⁇ m thick CVD-based USG undercoat.
  • the upper limit on the number of processed substrates was determined by flaking/particle formation within the reaction chamber (i.e., the chamber total accumulation limit).
  • the ALD-based undercoat reached a higher chamber total accumulation limit before flaking occurred.
  • a chamber cleaning process often takes between about 20-90 minutes to complete, during which time the reaction chamber is unavailable for processing substrates. Cleaning operations performed at higher temperatures may be conducted quicker than cleaning that occurs at lower temperatures. Also, thicker film buildups require longer cleaning times.
  • a 50° C. cleaning operation to remove 2 ⁇ m of material may take about 40 minutes, while the same cleaning operation to remove 4 ⁇ m of material may take about 90 minutes. At higher temperatures such as 400° C., a 4 ⁇ m layer of material may be cleaned/removed in about 20 minutes. It is beneficial to maximize the number of substrates that can be processed between chamber cleaning operations in order to minimize the necessary downtime associated with cleaning processes.
  • a related benefit of the ALD-based undercoats is that they achieve adequate flaking/particle performance at a much lower undercoat thickness compared to the thickness required for CVD-based undercoats.
  • a thinner undercoat may be desirable because it requires less material, and because it allows additional substrates to be processed before reaching the chamber total accumulation limit, which positively impacts throughput.
  • the improved particle performance may be due to an increase in the similarity between the undercoat and the film deposited on the undercoat during deposition on substrates.
  • the increased similarity may relate to the coverage of the films, the composition of the films, the stress of the films, etc. It is believed that flaking is more likely to occur where there is an interface between different types of film, and that greater degrees of difference between the films increases the likelihood for flaking to occur.
  • ALD-based undercoats take a relatively long time to deposit. For example, while a 1 CVD-based undercoat may take about 3 minutes to deposit, an ALD-based undercoat may take about 15 minutes to deposit. This increased deposition time results from the careful management of reactants and the cyclic nature of the ALD deposition process. Whereas a CVD-based undercoat may be formed in a single step by delivering, for example, silane and nitrous oxide to the substrate in the presence of plasma, the ALD-based deposition is more complicated. Because ALD-based undercoats take much longer to deposit, it was thought that these undercoats would cause an increase in processing time and a decrease in throughput.
  • the ALD-based undercoats show better flaking/particle formation performance, which advantageously increases the number of substrates that may be processed between subsequent chamber cleaning operations. Further, it was unknown that the ALD-based undercoats would perform adequately at much thinner undercoat thicknesses than previously used with CVD-based undercoats. Whereas CVD-based undercoats may require a thickness of about 1-2 ⁇ m thick for adequate performance (which would take a very long time to deposit through cyclic ALD processes that build up slowly), this relatively large thickness is not required for an adequately performing ALD-based undercoat. Adequate ALD-based undercoat performance was instead achieved at an undercoat thickness of about 0.1-0.2 ⁇ m.
  • This thickness is about an order of magnitude lower than the thickness required for CVD-based undercoats.
  • Comparison of ALD- and CVD-based undercoat performance is shown below in the Experimental section. While it was thought that ALD-based undercoats would slow down production and decrease throughput, the unanticipated advantages arising from the use of the ALD-based undercoat deposition process may outweigh the increased undercoat deposition time, and result in an overall increase in throughput.
  • FIG. 3 provides a flowchart for a method of depositing an ALD-based undercoat in a clean reaction chamber.
  • the clean reaction chamber may have a permanent layer of aluminum fluoride or other material on the interior surfaces.
  • the method 300 begins at operation 301 , where a first reactant is introduced into the reaction chamber.
  • the reaction chamber does not contain a substrate while the undercoat is being deposited.
  • the first reactant will generally adsorb onto the surfaces of the reaction chamber during operation 301 , forming a layer of reactant material. This layer is generally, though not necessarily, a monolayer of the reactant material.
  • a second reactant may be introduced into the reaction chamber at operation 303 while the first reactant is adsorbed onto the chamber surfaces.
  • This second reactant may also adsorb onto the surfaces of the reaction chamber to form a monolayer of the second reactant.
  • the reaction chamber is exposed to plasma to drive a surface reaction between the first and second reactants.
  • the undercoat may be in direct contact with the underlying aluminum chamber parts, or may be in direct contact with an underlying layer of aluminum fluoride.
  • one or more purge operations may take place after operations 301 , 303 and/or 305 .
  • the purge may include sweeping the reaction chamber with a gas that is free of the species being purged. Alternatively or in addition, the purge may include evacuating the reaction chamber and performing a pump down to a low pressure (e.g., less than about 1 Torr).
  • FIGS. 2 and 3 refer to first and second reactants
  • a single reactant may be cyclically introduced, adsorbed, and decomposed to form an ALD-based undercoat.
  • one or more additional reactants e.g., a third reactant may be introduced, for example, to form ternary films.
  • FIG. 4 provides a flowchart for an exemplary method of depositing an ALD-based silicon oxide undercoat.
  • the method 400 begins at operation 401 , where a silicon-containing reactant is introduced to the reaction chamber.
  • the silicon-containing reactant is BTBAS (bis(tertiarybutylamino)silane, SiH 2 (NHC(CH 3 ) 3 ) 2 ).
  • the silicon-containing reactant may be provided at a rate of about 1.5-2.5 mL/min, for example about 1 mL/min.
  • the silicon-containing reactant may be provided over a period of about 0.1-0.5 seconds, for example about 0.2 seconds.
  • the silicon-containing reactant adsorbs onto the surfaces of the reaction chamber.
  • the temperature of the reaction chamber for example the temperature of a substrate holder, may be maintained between about 25-450° C.
  • the flow of the silicon-containing reactant stops, and the reaction chamber is purged at operation 402 .
  • the purge removes any residual silicon-containing reactant that is not strongly adsorbed to the reaction chamber surfaces.
  • the purge may occur by flowing a non-reactive gas into the reaction chamber, and/or by evacuating the reaction chamber.
  • the reaction chamber is purged for a period between about 0.1-0.5 seconds, for example about 0.2 seconds.
  • an oxidizing reactant is provided to the reaction chamber at operation 403 .
  • the oxidizing reactant is a mix of O 2 /N 2 O in equal or substantially equal flows (as measured in standard liters per minute (SLM)).
  • the oxidizing reactant is about 60% or less N 2 O, or about 50% or less N 2 O, as measured in SLM.
  • the flow rate of the oxidizing reactant may be between about 10-40 SLM total.
  • the flow rates of the O 2 and N 2 O may independently be between about 5-20 SLM, for example about 10 SLM.
  • the oxidizing reactant may be provided to the reaction chamber for a period between about 0.05-0.75 seconds, for example about 0.3 seconds.
  • the chamber may then be exposed to plasma to drive a reaction between the silicon-containing reactant and the oxidizing reactant at operation 405 .
  • the plasma is generated by an RF plasma generator.
  • the RF generator may provide about 100-2500 W/station, for example about 125 W/station to generate the plasma.
  • operations 403 and 405 occur concurrently or partially concurrently.
  • the duration of the plasma exposure may be between about 0.05-0.75 seconds, for example about 0.3 seconds.
  • the reaction chamber may then be purged (not shown) after the plasma is extinguished.
  • the purge may occur over a period of about 0.05-0.5 seconds, for example about 0.15 seconds.
  • the flow rates, times, and reactants provided are merely examples and are not intended to be limiting.
  • the flow of an oxidizing reactant or other co-reactant may be continuous or pulsed. In some cases where the flow is continuous, the flow may be periodically diverted from the reaction chamber. In this case, although the oxidant reactant flows continuously, its flow into the reaction chamber is pulsed. In certain embodiments, a continuous oxidant flow is used, but the oxidant flow is only introduced to the reaction chamber during an oxidant delivery pulse, which may occur plasma exposure. During the other times, the oxidant flow may be diverted to another portion of the processing apparatus.
  • ALD- and CFD-based deposition methods are further described in U.S. patent application Ser. No. 13/084,399, filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION,” which is herein incorporated by reference in its entirety. While the '399 application focuses on the deposition of films on substrates, the teachings are also applicable to the deposition of an undercoat on a reaction chamber when there is no substrate present in the chamber.
  • the disclosed embodiments may be used with a variety of reactants to form various kinds of undercoats and films. While certain implementations are described in the context of BTBAS in combination with oxygen and nitrous oxide, the embodiments are not so limited. Any appropriate silicon-containing reactant and oxidant may be used for the deposition of silicon oxide undercoats and films. Similarly, for the deposition of silicon nitride undercoats and films, any appropriate silicon-containing reactant and nitrogen-containing reactant may be used. Further, for the deposition of metal oxide or metal nitride undercoats and films, any appropriate metal-containing reactants and co-reactants may be used. The techniques herein are beneficial in implementing a wide variety of film chemistries.
  • a silicon-containing reactant may be used.
  • the silicon-containing reactant may include, for example, a silane, a halosilane or an aminosilane.
  • a silane contains hydrogen and/or carbon groups, but does not contain a halogen.
  • silanes examples include silane (SiH 4 ), disilane (Si 2 H 6 ), and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, and the like.
  • a halosilane contains at least one halogen group and may or may not contain hydrogens and/or carbon groups.
  • halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes. Although halosilanes, particularly fluorosilanes, may form reactive halide species that can etch silicon materials, in certain embodiments described herein, the silicon-containing reactant is not present when a plasma is struck.
  • chlorosilanes are tetrachlorosilane (SiCl 4 ), trichlorosilane (HSiCl 3 ), dichlorosilane (H 2 SiCl 2 ), monochlorosilane (ClSiH 3 ), chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, and the like.
  • aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens and carbons.
  • Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H 3 Si(NH 2 ) 4 , H 2 Si(NH 2 ) 2 , HSi(NH 2 ) 3 and Si(NH 2 ) 4 , respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH 2 (NHC(CH 3 ) 3 ) 2 (BTBAS), tert-butyl silylcarbamate, SiH(CH 3 )—(N(CH 3 ) 2 ) 2 , SiHCl—(N(CH 3 ) 2 )
  • the deposited film contains metal.
  • metal-containing films that may be formed include oxides and nitrides of aluminum, titanium, hafnium, tantalum, tungsten, manganese, magnesium, strontium, etc., as well as elemental metal films.
  • Example precursors may include metal alkylamines, metal alkoxides, metal alkylamides, metal halides, metal ⁇ -diketonates, metal carbonyls, organometallics, etc. Appropriate metal-containing precursors will include the metal that is desired to be incorporated into the film.
  • a tantalum-containing layer may be deposited by reacting pentakis(dimethylamido)tantalum with ammonia or another reducing agent.
  • metal-containing precursors examples include trimethylaluminum, tetraethoxytitanium, tetrakis-dimethyl-amido titanium, hafnium tetrakis(ethylmethylamide), bis(cyclopentadienyl)manganese, bis(n-propylcyclopentadienyl)magnesium, etc.
  • the deposited film contains nitrogen, and a nitrogen-containing reactant is used.
  • a nitrogen-containing reactant contains at least one nitrogen, for example, ammonia, hydrazine, amines (e.g., amines bearing carbon) such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines.
  • amines e.g., amines bearing carbon
  • Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds).
  • a nitrogen-containing reactant can contain heteroatoms other than nitrogen, for example, hydroxylamine, t-butyloxycarbonyl amine and N-t-butyl hydroxylamine are nitrogen-containing reactants.
  • an oxygen-containing reactant is used.
  • oxygen-containing reactants include oxygen, ozone, nitrous oxide, nitric oxide, nitrogen dioxide, carbon monoxide, carbon dioxide, sulfur oxide, sulfur dioxide, oxygen-containing hydrocarbons (C x H y O z ), water, mixtures thereof, etc.
  • FIGS. 3 and 4 and their corresponding descriptions disclose general outlines for depositing an undercoat through ALD-based methods.
  • one of the reactants may be delivered continuously (e.g., even during delivery of other reactants and/or during plasma exposure).
  • the continuously flowing reactant may be delivered to the reaction chamber in conjunction with a carrier gas—e.g., argon, helium, etc.
  • a continuously flowing reactant will be an oxygen- or nitrogen-containing reactant.
  • the deposition may be referred to as a conformal film deposition (CFD) method.
  • CFD conformal film deposition
  • all reactants may be delivered in pulses. Where this is the case, the reactant pulses may be delivered to the reaction chamber in an alternating fashion, such that only a single reactant is being introduced to the reaction chamber at a given time.
  • the use of the ALD-based undercoat may reduce or eliminate the need to wait for the reaction chamber temperature to equilibrate between deposition of the undercoat and deposition on substrates.
  • the ALD-based undercoat also may eliminate the need to flush the reaction chamber multiple times to remove unwanted undercoat reactants, and the need to pre-flow reactants used to form film on substrates.
  • films may be deposited on substrates in the reaction chamber immediately after the undercoat is formed.
  • a first reactant for forming a film on a substrate is delivered to a reaction chamber having a non-dummy substrate therein within about 5 minutes after the undercoat is formed.
  • the time between termination of the undercoat formation process and delivery of a reactant to form a film on a substrate may be reduced to less than about 3 minutes, or less than about 1 minute, or less than about 30 seconds.
  • reaction chamber temperatures temperatures, pressures, etc.
  • Typical reaction chamber temperatures during deposition of an undercoat and/or during deposition of a film on a substrate range from about 25-450° C.
  • the throughput benefits of the disclosed embodiments may be most effective where the process for depositing film on substrates in the reaction chamber occurs at relatively low temperatures, e.g., less than about 200° C. and in some cases less than about 100° C. In these or other cases, the temperature may be at least about 25° C.
  • the ALD-based undercoat is used with a reaction chamber that is used to deposit films on substrates at higher temperatures.
  • ALD-based undercoats may be beneficial at these high temperatures because they may allow processing to occur at higher temperatures than is possible with CVD-based undercoats. In other words, the ALD-based undercoats may expand the possible processing window for ALD-based films deposited on substrates.
  • the pressure in the reaction chamber during deposition of the undercoat and/or during deposition of films on substrates may be between about 1-10 Torr.
  • the substrate is exposed to plasma to drive the reaction between the first and second reactants.
  • plasma may be used to drive this reaction including capacitively coupled plasmas and inductively coupled plasmas.
  • plasma generators may be used including RF, DC, and microwave plasma generators.
  • the plasma may be direct or remote.
  • the RF power may be between about 100-2500 W/station, as calculated for a 300 mm diameter wafer.
  • the power level scales linearly with substrate area, and may be scaled accordingly for substrates of other sizes. For example, an RF power of 500 W/station for a 300 mm wafer would correspond to an RF power of about 1125 W/station for a 450 mm wafer.
  • the RF frequency used to drive the plasma may have a high frequency (HF) and/or low frequency (LF) component.
  • Example HF RF frequencies may include, but are not limited to, frequencies between about 1.8 MHz-2.45 GHz. Common HF frequencies include 13.56 MHz and 27 MHz.
  • Example LF frequencies may include, but are not limited to, frequencies between about 50-500 kHz. In some embodiments, only HF frequency is used. In other embodiments, LF frequencies are used in addition to HF frequencies. In other embodiments, only an LF frequency is used.
  • the gas used to generate the plasma may vary.
  • plasma may be ignited while one of the reactants (e.g., an oxygen- or nitrogen-containing reactant) is present in the reaction chamber in gaseous form, such that the plasma is generated from this reactant.
  • the plasma may be generated from an inert gas.
  • the disclosed embodiments are not limited to any particular chamber cleaning method. Any method that removes all or substantially all of the deposited film and undercoat may be used. Chamber cleaning methods are further discussed and described in the following U.S. patents and patent applications, each of which is incorporated herein in its entirety and for all purposes: U.S. Pat. No. 7,479,191, titled “METHOD FOR ENDPONTING CVD CHAMBER CLEANS FOLLOWING ULTRA LOW-K FILM TREATMENTS”; U.S. Pat. No. 8,262,800, titled “METHODS AND APPARATUS FOR CLEANING DEPOSITION REACTORS”; U.S. patent application Ser. No. 12/355,601, filed Jan.
  • plasma cleaning methods sometimes referred to as dry cleaning methods
  • wet cleaning methods wet cleaning methods
  • Plasma cleaning procedures can be done using either in situ chamber cleans or remote chamber cleans.
  • in situ chamber cleans the chamber clean chemicals are introduced into the reaction chamber in a gaseous state and plasma excitation within the reaction chamber is used to dissociate the chamber clean chemicals into reactive radicals and ions.
  • remote cleans an independent plasma source is used to dissociate the gaseous chamber clean chemicals into strongly reactive radicals and ions outside the reaction chamber, and the dissociated chamber clean chemicals are then introduced into the reaction chamber.
  • the reactive species in the chamber react with the accumulated deposited films and undercoat to form gaseous products that are evacuated from the chamber.
  • the chamber clean chemicals include fluorine-containing species, for example NF3 that may be optionally mixed with inert gas such as He or Ar.
  • fluorine-containing cleaning chemical SiO 2 present in the chamber may be converted to SiF 4 , a volatile substance that may be removed by purging (e.g., sweeping and/or evacuating) the reaction chamber.
  • the substrate deposition process may degrade and a wet clean may be used.
  • wet cleaning procedures the reaction chamber is vented to atmosphere and the interior surfaces of the reactor are physically scrubbed using appropriate cleaning solutions (e.g., isopropanol (IPA) and/or water) and/or abrasives.
  • IPA isopropanol
  • Wet cleaning removes any thick and flaked accumulation that was not cleaned effectively with the plasma cleaning methods.
  • This type of cleaning procedure is time consuming, labor intensive, and may require reconditioning of the chamber once completed.
  • the wet cleaning method may remove the layer of aluminum fluoride under the undercoat. As such, the wet cleaning methods are used sparingly and the plasma cleaning methods are used when possible.
  • FIG. 5A schematically shows an embodiment of a ALD/CFD process station 1300 .
  • process station 1300 is depicted as a standalone process station having a process chamber body 1302 for maintaining a low-pressure environment.
  • a plurality of process stations 1300 may be included in a common process tool environment.
  • FIG. 6 depicts an embodiment of a multi-station processing tool 2400 .
  • one or more hardware parameters of process station 1300 may be adjusted programmatically by one or more computer controllers.
  • ALD/CFD process station 1300 fluidly communicates with reactant delivery system 1301 for delivering process gases to a distribution showerhead 1306 .
  • Reactant delivery system 1301 includes a mixing vessel 1304 for blending and/or conditioning process gases for delivery to showerhead 1306 .
  • One or more mixing vessel inlet valves 1320 may control introduction of process gases to mixing vessel 1304 .
  • Some reactants may be stored in liquid form prior to vaporization at and subsequent delivery to the process station.
  • the embodiment of FIG. 5A includes a vaporization point 1303 for vaporizing liquid reactant to be supplied to mixing vessel 1304 .
  • vaporization point 1303 may be a heated vaporizer.
  • the saturated reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc.
  • delivery piping downstream of vaporization point 1303 may be heat traced.
  • mixing vessel 1304 may also be heat traced.
  • piping downstream of vaporization point 1303 has an increasing temperature profile extending from approximately 100 degrees Celsius to approximately 150 degrees Celsius at mixing vessel 1304 .
  • reactant liquid may be vaporized at a liquid injector.
  • a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel.
  • a liquid injector may vaporize reactant by flashing the liquid from a higher pressure to a lower pressure.
  • a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 1303 .
  • a liquid injector may be mounted directly to mixing vessel 1304 .
  • a liquid injector may be mounted directly to showerhead 1306 .
  • a liquid flow controller upstream of vaporization point 1303 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 1300 .
  • the liquid flow controller may include a thermal mass flow meter (MFM) located downstream of the LFC.
  • a plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM.
  • PID proportional-integral-derivative
  • the LFC may be dynamically switched between a feedback control mode and a direct control mode.
  • the LFC may be dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.
  • showerhead 1306 distributes process gases toward substrate 1312 .
  • substrate 1312 is located beneath showerhead 1306 , and is shown resting on a pedestal 1308 .
  • showerhead 1306 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 1312 .
  • a microvolume 1307 is located beneath showerhead 1306 .
  • Performing a CFD process in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and sweep times, may reduce times for altering process conditions (e.g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc.
  • Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters.
  • pedestal 1308 may be raised or lowered to expose substrate 1312 to microvolume 1307 and/or to vary a volume of microvolume 1307 .
  • pedestal 1308 may be lowered to allow substrate 1312 to be loaded onto pedestal 1308 .
  • pedestal 1308 may be raised to position substrate 1312 within microvolume 1307 .
  • microvolume 1307 may completely enclose substrate 1312 as well as a portion of pedestal 1308 to create a region of high flow impedance during an ALD/CFD process.
  • pedestal 1308 may be lowered and/or raised during portions the ALD/CFD process to modulate process pressure, reactant concentration, etc., within microvolume 1307 .
  • lowering pedestal 1308 may allow microvolume 1307 to be evacuated.
  • Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:500 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller.
  • adjusting a height of pedestal 1308 may allow a plasma density to be varied during plasma activation and/or treatment cycles included in the ALD/CFD process.
  • pedestal 1308 may be lowered during another substrate transfer phase to allow removal of substrate 1312 from pedestal 1308 .
  • a position of showerhead 1306 may be adjusted relative to pedestal 1308 to vary a volume of microvolume 1307 .
  • a vertical position of pedestal 1308 and/or showerhead 1306 may be varied by any suitable mechanism within the scope of the present disclosure.
  • pedestal 1308 may include a rotational axis for rotating an orientation of substrate 1312 . It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers.
  • showerhead 1306 and pedestal 1308 electrically communicate with RF power supply 1314 and matching network 1316 for powering a plasma.
  • the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing.
  • RF power supply 1314 and matching network 1316 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above.
  • RF power supply 1314 may provide RF power of any suitable frequency.
  • RF power supply 1314 may be configured to control high- and low-frequency RF power sources independently of one another.
  • Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 500 kHz.
  • Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions.
  • the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.
  • the plasma may be monitored in-situ by one or more plasma monitors.
  • plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes).
  • plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES).
  • OES optical emission spectroscopy sensors
  • one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors.
  • an OES sensor may be used in a feedback loop for providing programmatic control of plasma power.
  • other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
  • the plasma may be controlled via input/output control (IOC) sequencing instructions.
  • the instructions for setting plasma conditions for a plasma activation phase may be included in a corresponding plasma activation recipe phase of a process recipe.
  • process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
  • instructions for setting one or more plasma parameters may be included in a recipe phase preceding a plasma process phase.
  • a first recipe phase may include instructions for setting a flow rate of an inert and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase.
  • a second, subsequent recipe phase may include instructions for enabling the plasma generator and time delay instructions for the second recipe phase.
  • a third recipe phase may include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.
  • plasma strikes last on the order of a few seconds or more in duration. In certain implementations described herein, much shorter plasma strikes may be applied during a processing cycle. These may be on the order of 50 ms to 1 second, with 0.25 seconds being a specific example. Such short RF plasma strikes require quick stabilization of the plasma.
  • the plasma generator may be configured such that the impedance match is preset to a particular voltage, while the frequency is allowed to float. Conventionally, high-frequency plasmas are generated at an RF frequency at about 13.56 MHz. In various embodiments disclosed herein, the frequency is allowed to float to a value that is different from this standard value. By permitting the frequency to float while fixing the impedance match to a predetermined voltage, the plasma can stabilize much more quickly, a result which may be important when using the very short plasma strikes associated with ALD/CFD cycles.
  • pedestal 1308 may be temperature controlled via heater 1310 .
  • pressure control for process station 1300 may be provided by butterfly valve 1318 . As shown in the embodiment of FIG. 5A , butterfly valve 1318 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 1300 may also be adjusted by varying a flow rate of one or more gases introduced to process station 1300 .
  • the internal surfaces of the process station 1300 are coated with undercoat 1350 .
  • Examples of surfaces that become coated with undercoat include the chamber walls 1302 , the chamber ceiling and floor, the pedestal 1308 and the showerhead 1306 .
  • FIG. 5A is shown with a substrate 1312 in the process station 1300 , this substrate 1312 is not present during deposition of an undercoat. Instead, the substrate 1312 is introduced to the process station 1300 after the undercoat is deposited, when the process station 1300 is ready to be used for depositing film on the substrate 1312 .
  • FIG. 5B shows another view of a reaction chamber 500 .
  • the substrate When used to deposit films on substrates, the substrate (not shown) is positioned on the substrate carrier ring 531 , which is supported by pedestal 504 (also referred to as a substrate support), which is supported by support pillar 508 .
  • Process gases are provided to the reaction chamber through inlet 551 .
  • a remote plasma generator 550 may be used to generate plasma.
  • reactants and other process gases enter the reaction chamber through showerhead 502 .
  • the interior surfaces of the reaction chamber (including at least the showerhead 502 , support 508 , pedestal 504 , substrate carrier ring 531 , and the walls, floor and ceiling of the reaction chamber 500 ) are coated with undercoat 506 .
  • undercoat 506 has been exaggerated for the purpose of illustration.
  • some of the interior surfaces e.g., the substrate carrier ring
  • CVD-based methods are used to coat a chamber
  • these non-metallic and intricate geometry parts often do not receive a uniform coating of undercoat.
  • the undercoat may not completely coat certain areas, or may coat too thick in other areas.
  • ALD-based undercoat formation methods even these non-metallic and intricate parts receive a uniform, conformal undercoat.
  • the uniform undercoat provides superior resistance to flaking compared to a CVD-based undercoat, especially on the non-metallic parts such as the substrate carrier ring.
  • a carrier ring is moveable between up and down positions.
  • an undercoat deposition may be performed with the carrier ring in an up position such that all surfaces are accessible for deposition.
  • FIG. 6 shows a schematic view of an embodiment of a multi-station processing tool 2400 with an inbound load lock 2402 and an outbound load lock 2404 , either or both of which may comprise a remote plasma source.
  • a robot 2406 at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 2408 into inbound load lock 2402 via an atmospheric port 2410 .
  • a wafer is placed by the robot 2406 on a pedestal 2412 in the inbound load lock 2402 , the atmospheric port 2410 is closed, and the load lock is pumped down.
  • the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 2414 . Further, the wafer also may be heated in the inbound load lock 2402 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 2416 to processing chamber 2414 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 6 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
  • the depicted processing chamber 2414 comprises four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 6 .
  • Each station has a heated pedestal (shown at 2418 for station 1 ), and gas line inlets.
  • each process station may have different or multiple purposes.
  • a process station may be switchable between an ALD mode, a CFD mode, and a CVD process mode.
  • processing chamber 2414 may include one or more matched pairs of ALD/CFD/CVD process stations. While the depicted processing chamber 2414 comprises four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations.
  • a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
  • FIG. 6 also depicts an embodiment of a wafer handling system 2490 for transferring wafers within processing chamber 2414 .
  • wafer handling system 2490 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots.
  • FIG. 6 also depicts an embodiment of a system controller 2450 employed to control process conditions and hardware states of process tool 2400 .
  • System controller 2450 may include one or more memory devices 2456 , one or more mass storage devices 2454 , and one or more processors 2452 .
  • Processor 2452 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • system controller 2450 controls all of the activities of process tool 2400 .
  • System controller 2450 executes system control software 2458 stored in mass storage device 2454 , loaded into memory device 2456 , and executed on processor 2452 .
  • System control software 2458 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, RF exposure time, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 2400 .
  • These programed processes may include various types of processes including, but not limited to, processes related to deposition of an undercoat, processes related to deposition of film on substrates, and processes related to cleaning the chamber.
  • System control software 2458 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software 2458 may be coded in any suitable computer readable programming language.
  • system control software 2458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of an ALD undercoat deposition process may include one or more instructions for execution by system controller 2450 .
  • the instructions for setting process conditions for an ALD/CFD undercoat deposition process phase may be included in a corresponding ALD/CFD undercoat deposition recipe phase.
  • the recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
  • mass storage device 2454 and/or memory device 2456 associated with system controller 2450 may be employed in some embodiments.
  • programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • a substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 2418 and to control the spacing between the substrate and other parts of process tool 2400 .
  • the positioning program may include instructions for appropriately moving substrates in and out of the reaction chamber as necessary to form an undercoat, deposit films on substrates, and clean the chamber. These may include instructions for ensuring that no substrates are present in the reaction chamber during deposition of the ALD/CFD-based undercoat and during the cleaning process.
  • a process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station.
  • the process gas control program includes instructions for introducing certain gases during formation of an undercoat on the reaction chamber, and for introducing the same gases during formation of a film on a substrate in the reaction chamber.
  • the process gas control program may also include instructions to deliver these gases at the same rates, for the same durations, during formation of the undercoat and during deposition of film on substrates.
  • a pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
  • the pressure control program may include instructions for maintaining the same pressure during deposition of the undercoat on the reaction chamber as during the deposition of film on substrates.
  • a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate.
  • the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
  • the heater control program may include code for maintaining the same temperature in the reaction chamber and/or substrate holder during deposition of the undercoat and during deposition of film on substrates.
  • a plasma control program may include code for setting RF power levels, frequencies, and exposure times in one or more process stations in accordance with the embodiments herein.
  • the plasma control program may include instructions for using the same RF power levels and/or frequencies and/or exposure times during deposition of the undercoat on the reaction chamber and during deposition of film on substrates.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by system controller 2450 may relate to process conditions.
  • process conditions include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels and exposure times), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 2450 from various process tool sensors.
  • the signals for controlling the process may be output on the analog and digital output connections of process tool 2400 .
  • process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 2450 may provide program instructions for implementing the above-described deposition processes.
  • the program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc.
  • the instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.
  • the system controller will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with the present invention.
  • Machine-readable, non-transitory media containing instructions for controlling process operations in accordance with the present invention may be coupled to the system controller.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • an ashable hard mask layer such as an amorphous carbon layer
  • another suitable hard mask such as an antireflective
  • FIG. 7 shows a table relating the concentration of various metals on the surface of a substrate after different deposition processes.
  • a layer of ALD-based silicon oxide was deposited on a substrate to a thickness of about 250 ⁇ .
  • the film was deposited on a substrate in a clean chamber having no undercoat.
  • the concentration of aluminum detected in the film deposited in a chamber lacking an undercoat was fairly high at 0.24 ⁇ 10 10 atoms/cm 2 . Copper and iron were also present in detectable amounts.
  • the oxide film was deposited on a substrate having a CVD-based undoped silicate glass undercoat having a thickness of about 1 ⁇ m.
  • Columns B and C of FIG. 7 relate to films deposited in reaction chambers having ALD-based undercoats as described herein.
  • the ALD-based undercoat was deposited to a thickness of about 1000 ⁇ (0.1 ⁇ m, ten times thinner than the CVD-based undercoat represented in column D)
  • the ALD-based undercoat was deposited to a thickness of about 2000 ⁇ (0.2 ⁇ m, five times thinner than the CVD-based undercoat). Both films showed very low amounts of metal contamination. Neither film showed a detectable amount of aluminum or iron.
  • the concentration of copper was reduced compared to the no undercoat case of column A, and was nearly as low as the CVD-based undercoat case of column D.
  • the 2000 ⁇ thick ALD-based undercoat of column C showed somewhat lower metal contamination than the 1000 ⁇ thick ALD-based undercoat of column B.
  • FIG. 8 is a table showing the number of particles of different sizes that were detected on films deposited in a reaction chamber having a 2000 ⁇ thick ALD-based silicon oxide undercoat, and in a reaction chamber having a 2 ⁇ m thick CVD-based USG undercoat. In each case, the film deposited on the substrate was a 250 ⁇ thick silicon oxide film.
  • the ALD-based undercoat was deposited under the following conditions: chamber pressure of 1.8 Torr, 0.2 second dose of BTBAS flowing between about 1-2 mL/min, followed by a 0.3 second purge, followed by a 0.25 second application of plasma at an RF power between about 500-2500 W/station while providing a dose of O 2 and N 2 O co-flowing at about 10 SLM each, followed by a post-RF purge lasting about 0.15 second.
  • larger particles e.g., particles larger than about 0.12 ⁇ m
  • both films showed very good (i.e., low) levels of particle formation.
  • smaller particles e.g., particles greater than about 0.05 ⁇ m
  • the film deposited with a CVD-based undercoat showed somewhat better particle performance. Although the ALD-based film showed higher particle formation at small particle sizes, the results were still within acceptable levels.
  • FIG. 9 is a table similar to FIG. 8 , but compares films deposited in reaction chambers having undercoats deposited according to two different ALD-based methods.
  • the film on the substrate was silicon oxide deposited at about 50° C. to a thickness of about 250 ⁇ .
  • the undercoat in each case was deposited to a thickness of about 2000 ⁇ .
  • Process A the undercoat was deposited at an RF power level of about 200 W/station, while in Process B, the undercoat was deposited at an RF power level of about 125 W/station.
  • Both the films shown in FIG. 9 show good particle performance. At particle sizes greater than about 0.05 ⁇ m, the particle performance was excellent for both cases, showing lower levels of particle formation than the film deposited in a chamber having a CVD-based undercoat as shown in FIG. 8 . These results suggest that thin ALD-based undercoats can result in a resistance to particle formation that is as good or better than thicker CVD-based undercoats.
  • FIGS. 10A and 10B show particle maps for the films described in relation to Process A and Process B of FIG. 9 , respectively.
  • the particle maps show the location of particles detected on the substrate surface. Only particles that are larger than 0.04 ⁇ m are shown.
  • FIG. 11 is a table showing wafer-to-wafer repeatability/uniformity for films deposited in a reaction chamber having an ALD-based undercoat.
  • the silicon oxide undercoat was deposited to a thickness of about 2000 ⁇ .
  • the films were deposited on substrates to a thickness of about 260 ⁇ , at a temperature of about 50° C.
  • a total of 25 substrates were processed and tested.
  • the wafer count refers to the order in which the substrates were processed. For example, wafer count 1 is the first substrate that was processed after deposition of the undercoat, and wafer count 25 was the last substrate that was processed.
  • the thickness reported corresponds to the thickness of the particular film deposited.
  • the range corresponds to the difference between the thickest part of the film and the thinnest part of the film.
  • the % NU (1-sigma) and Range % (half range) relate to the degree of non-uniformity in the film as calculated by different standard methods. Overall, the films showed a wafer-to-wafer non-uniformity (half range) of 0.16%.
  • the results in FIG. 11 show that the use of an ALD-based undercoat can result in excellent wafer-to-wafer repeatability.
  • FIG. 12 is a table comparing the performance of a 2 ⁇ m thick CVD-based undercoat to that of a 2000 ⁇ (0.2 ⁇ m thick) ALD-based undercoat.
  • the ALD-based undercoat was able to achieve a chamber total accumulation limit of 5 ⁇ m compared to only 3.7 ⁇ m for the CVD-based undercoat. In other words, substantially more film was deposited on the ALD-based undercoat than on the CVD-based undercoat before film flaking was apparent. While the accumulation limit is only about 35% higher in the ALD-based undercoat case, much of the accumulation contributing to the accumulation limit in the CVD-based undercoat case is deposited during formation of the thick CVD-based undercoat.
  • the ALD-based undercoat was able to process about 770 substrates while the CVD-based undercoat was only able to process about 250 substrates. Due to the N 2 O plasma heating effects described above, twenty dummy wafers were processed in the chamber having the CVD-based undercoat before the chamber was used to deposit on valuable substrates. This dummy wafer deposition was done to help lower the temperature of the reaction chamber to its desired, stable value. Overall, the ALD-based undercoat resulted in an increased throughput compared to the CVD-based undercoat.
  • an undercoat may be formed at different plasma characteristics (e.g., RF power, RF time and/or RF frequency) as compared to those used during deposition of film on substrates after the undercoat is deposited. For instance, lower levels of RF flux may be used to deposit films (e.g., undercoats and/or film on substrates) having higher wet etch rates.
  • FIG. 13 is a graph showing the wet etch rate ratio (WERR) to thermal oxide in 100:1 water:HF vs. the deposition temperature for low RF and high RF cases. As shown in FIG. 13 , the film deposited at lower RF flux showed a higher wet etch rate.
  • FIG. 14 shows a graph depicting the wet etch rate ratio to thermal oxide vs. film stress. As shown, an ALD-based SiO 2 film with a higher wet etch rate ratio has a substantially neutral stress, while an ALD-based SiO 2 film with a lower wet etch rate ratio has a more compressive stress.
  • RF flux may be decreased by using a lower RF power and/or a shorter RF time.
  • a higher level of RF flux may be used to deposit a film (e.g., undercoat and/or film on a substrate) that has a lower wet etch rate and more compressive stress level, which may be desirable in certain applications.
  • the undercoat on the reaction chamber may be deposited at a lower RF flux (RF power and/or RF time) than that used during deposition of film on substrates in the reaction chamber. In other implementations, the undercoat on the reaction chamber may be deposited at a higher RF flux than that used during deposition of film on substrates in the reaction chamber.
  • the RF flux may be changed during deposition of an undercoat to gradually change the wet etch rate and stress of the undercoat as it is deposited.
  • an RF flux may be decreased during deposition of the undercoat to gradually increase the wet etch rate and decrease the stress of the undercoat. This gradual decrease in stress may help prevent film flaking and peeling, thereby allowing the chamber to reach a higher chamber accumulation limit between cleaning operations.
  • the deposition temperature may change between deposition of an undercoat and deposition of films on substrates, or during deposition of the undercoat.
  • lower deposition temperatures result in higher wet etch rate ratios and correspondingly more neutral films.
  • higher deposition temperatures result in lower wet etch rates and ratios, and more compressive films.
  • the undercoat is deposited in a chamber at a higher temperature than the films deposited on substrates in the chamber.
  • the undercoat may be deposited at lower temperatures than the films deposited on substrates in the chamber.
  • the undercoat is deposited at the same temperature as the films deposited on substrates in the reaction chamber.
  • the temperature may change during deposition of the undercoat. For instance, the temperature may decrease during deposition of the undercoat to form an undercoat that becomes gradually more neutral. Conversely, the temperature may increase during deposition of the undercoat to form an undercoat that becomes gradually more compressive. These gradual changes may help prevent flaking and peeling, and may help the chamber reach a higher chamber accumulation limit between cleaning processes.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)

Abstract

Methods and apparatus disclosed herein relate to the formation and use of undercoats on the interior surfaces of reaction chambers used to deposit films on substrates. The undercoats are deposited through atomic layer deposition methods. The disclosed undercoats help prevent metal contamination, provide improved resistance to flaking, and are relatively thin. Because of the superior resistance to flaking, the disclosed undercoats allow more substrates to be processed between subsequent cleaning operations, thereby increasing throughput.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of and claims priority to U.S. patent application Ser. No. 14/089,653, titled “CHAMBER UNDERCOAT PREPARATION METHOD FOR LOW TEMPERATURE ALD FILMS,” filed Nov. 25, 2013, all of which is incorporated herein in its entirety by this reference and for all purposes.
  • BACKGROUND
  • As the semiconductor industry advances, device dimensions are becoming increasingly smaller. These progressively smaller features require deposition procedures that are extremely uniform, as the presence of film impurities or other non-uniformities can often lead to the failure of a semiconductor device. An undercoat can help improve wafer-to-wafer thickness uniformity and within-wafer thickness uniformity.
  • SUMMARY
  • Certain embodiments herein relate to methods and apparatus for forming an undercoat in a reaction chamber used to deposit films on substrates. In one aspect of the embodiments herein, a method is provided for forming an undercoat on interior surfaces of a reaction chamber for processing substrates, including (a) introducing a flow of a first reactant in vapor phase into the reaction chamber and allowing the first reactant to adsorb onto the interior surfaces of the reaction chamber; (b) introducing a flow of a second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed on the interior surfaces of the reaction chamber; and (c) exposing the reaction chamber to plasma when the flow of at least one of the first and second reactants has ceased, in order to drive a reaction between the first and second reactants on the interior surfaces of the reaction chamber to form the undercoat, where the undercoat conformally coats the interior surfaces of the reaction chamber; where operations (a)-(c) occur when there is no substrate present in the reaction chamber, and where operations (a)-(c) are repeated until the undercoat is at least about 0.1 μm thick.
  • In some embodiments, a temperature in the reaction chamber does not vary by more than about 2° C. during operations (a)-(c). The undercoat may be an oxide, nitride, carbide, or carbonitride in some cases. The undercoat may also be a noble metal, a lanthanide oxide, a group 4 metal oxide or a group 5 metal oxide. Various different reactants may be used. In some cases, the second reactant includes O2 and N2O. The O2 and N2O may be provided at substantially equal flow rates, as measured in SLM. The undercoat may conformally coat various interior chamber surfaces, for example a substrate carrier. In some embodiments, the undercoat is no more than about 0.5 or 0.2 μm thick.
  • The method may continue by (d) receiving a substrate in the reaction chamber; (e) introducing a flow of a third reactant in vapor phase into the reaction chamber and allowing the third reactant to adsorb onto the surface of the substrate; (f) introducing a flow of a fourth reactant in vapor phase into the reaction chamber while the third reactant is adsorbed on the surface of the substrate; and (g) exposing the reaction chamber to plasma when the flow of at least one of the third and fourth reactants has ceased, in order to drive a reaction between the third and fourth reactants to form a second film on the surface of the substrate.
  • In some implementations, the first reactant and second reactant are the same as the third reactant and fourth reactant, respectively. For example, the second and fourth reactants may each comprise O2 and N2O. Other process characteristics may remain constant between the undercoat deposition and the deposition on substrates. In some embodiments, one or more of the reaction chamber pressure, reaction chamber temperature, dosing durations, plasma exposure durations, and RF power values remain substantially constant between operations (a)-(c) and operations (e)-(g). In certain cases, all of these process characteristics remain constant between the two sets of operations.
  • In certain embodiments, the temperature in the reaction chamber does not vary by more than about 2° C. during operations (a)-(g). Further, operation (e) may begin within about 5 minutes after a last iteration of operation (c), in some cases. In these or other cases, the reaction chamber may not be purged between a last iteration of operation (c) and a first iteration of operation (e). Operations (d)-(g) may be repeated with a plurality of substrates. In some embodiments, film deposited in operations (a)-(g) does not begin to flake or peel off until a chamber accumulation limit of about 4 μm is reached and/or until at least about 300 substrates have been processed through the reaction chamber using operations (d)-(g). In some cases these limits may be higher, for example flaking/peeling may not begin until at least about 400, or at least about 500, or at least about 600 substrates have been processed. In various embodiments, this may correspond to a total deposition on substrates of at least about 7.5 μm, or at least about 10 μm, or at least about 15 μm before flaking/peeling. This measurement relates to the number of substrates processed between cleaning operations multiplied by the average thickness of film deposited on the substrates.
  • In another aspect of the disclosed embodiments, a method of treating a reaction chamber for depositing films on substrates is provided, including (a) removing previously deposited film from interior surfaces of the reaction chamber to clean the reaction chamber; and (b) depositing an undercoat on the cleaned interior surfaces of the reaction chamber by an atomic layer deposition process; where operation (b) occurs when there is no substrate present in the reaction chamber. In some embodiments, the undercoat is deposited to a thickness between about 0.1-0.5 μm. Operation (b) may occur isothermally in some embodiments.
  • In a further aspect of the disclosed embodiments, a reaction chamber ready for depositing films on substrates is provided, including: one or more inlets for introducing vapor phase reactants to the reaction chamber; one or more outlets for removing vapor phase material from the reaction chamber; a plasma generator for generating a plasma that is exposed to the reaction chamber; and an undercoat on the interior surfaces of the reaction chamber, wherein the undercoat is about 0.5 μm thick or less, and wherein the undercoat conformally covers interior surfaces of the reaction chamber.
  • In some implementations, the undercoat on the interior surfaces of the reaction chamber may be formed by (a) introducing a flow of a first reactant in vapor phase into the reaction chamber and allowing the first reactant to adsorb onto the interior surfaces of the reaction chamber; (b) introducing a flow of a second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed on the interior surfaces of the reaction chamber; and (c) exposing the reaction chamber to plasma when the flow of at least one of the first and second reactants has ceased, in order to drive a reaction between the first and second reactants on the interior of the reaction chamber to form the undercoat; where operations (a)-(c) occur when there is no substrate present in the reaction chamber. The undercoat on the interior surfaces of the reaction chamber may be about 0.2 μm thick or less. In certain embodiments, the reaction chamber further includes a substrate carrier conformally coated by the undercoat.
  • These and other features will be described below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a flowchart for processing substrates in a reaction chamber including depositing an undercoat through CVD-based methods.
  • FIG. 2 shows a flowchart for processing substrates in a reaction chamber including depositing an undercoat through ALD-based methods.
  • FIG. 3 presents a flowchart for a method of depositing an undercoat through ALD-based methods.
  • FIG. 4 shows a flowchart for a method of depositing a silicon oxide undercoat through ALD-based methods.
  • FIGS. 5A and 5B show embodiments of a reaction chamber according to certain disclosed embodiments.
  • FIG. 6 shows a multi-tool semiconductor plating apparatus according to certain disclosed embodiments.
  • FIG. 7 is a table presenting the surface concentration of various metals in films deposited on substrates in reaction chambers having different types of undercoats.
  • FIGS. 8 and 9 are tables showing the number of particles detected on films deposited in reaction chambers having different types of undercoats.
  • FIGS. 10A and 10B show particle maps illustrating where particles were detected on the films described in FIG. 9.
  • FIG. 11 is a table showing the uniformity of films deposited in a reaction chamber having an ALD-based undercoat.
  • FIG. 12 is a table comparing chamber performance and throughput for reaction chambers having CVD- and ALD-based undercoats.
  • FIG. 13 shows a graph depicting wet etch rate vs. deposition temperature for different levels of RF flux.
  • FIG. 14 shows a graph of wet etch rate vs. film stress for various films.
  • DETAILED DESCRIPTION
  • In this application, the terms “semiconductor wafer,” “wafer,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 or 300 mm, though the industry is moving towards the adoption of 450 mm diameter substrates. In addition to reaction chambers used to deposit films on semiconductor wafers, other types of deposition reactors may take advantage of this invention. Other types of reactors that may benefit from the disclosed embodiments include those used to fabricate various articles such as printed circuit boards, displays, and the like. In addition to semiconductor wafers, the methods and apparatus described herein may be used with deposition chambers configured for other types of substrates including glass and plastic panels.
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • Conformal, uniform silicon dioxide (SiO2) films and other dielectric films have many applications in semiconductor manufacturing. A common application for thin SiO2 films is the electrical isolation of adjacent transistors. Electrical isolation can be achieved by physically separating adjacent transistor components with insulating silicon dioxide. Chemical vapor deposition (CVD) and plasma enhanced chemical vapor deposition (PECVD) are sometimes the method of choice for depositing silicon oxide films or other films for shallow trench isolation (STI), particularly where the features are relatively large. However, as devices continue to shrink, the aspect ratios (depth to width) of features increase, and traditional CVD techniques can no longer provide adequately conformal films in these high aspect ratio features.
  • One alternative to CVD is atomic layer deposition (ALD) processing and plasma enhanced atomic layer deposition (PEALD) processing. Unless otherwise noted, the term ALD is intended to include PEALD, and the term CVD is intended to include PECVD in the following description. ALD methods involve self-limiting adsorption of reactant gases and can provide thin, conformal dielectric films within high aspect ratio features. ALD methods have been developed for the deposition of silicon oxide and other types of film. Films produced by ALD are very thin (e.g., about one monolayer); therefore, numerous ALD cycles may be repeated to adequately fill a gap feature.
  • In contrast with a CVD process, where activated gas phase reactions are used to deposit films, ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis. In one example ALD process, a substrate surface, including a population of surface active sites, is exposed to a gas phase distribution of a first film precursor (P1). Some molecules of P1 may form a condensed phase atop the substrate surface, including chemisorbed species and physisorbed molecules of P1. The reactor is then evacuated to remove gas phase and physisorbed P1 so that only chemisorbed species remain. A second film precursor (P2) is then introduced to the reactor so that some molecules of P2 adsorb to the substrate surface. The reactor may again be evacuated, this time to remove unbound P2. Subsequently, energy provided to the substrate (e.g., thermal or plasma energy) activates surface reactions between adsorbed molecules of P1 and P2, forming a film layer. Finally, the reactor is evacuated to remove reaction by-products and possibly unreacted P1 and P2, ending the ALD cycle. Additional ALD cycles may be included to build film thickness.
  • Depending on the exposure time of the precursor dosing steps and the sticking coefficients of the precursors, each ALD cycle may deposit a film layer of, in one example, between about 0.5 Å-3 Å thick.
  • Conformal films may also be deposited on planar substrates. For example, antireflective layers for lithographic patterning applications may be formed from planar stacks including alternating film types. Such antireflective layers may be approximately 100 Å-1000 Å thick, making slower ALD processes less attractive than faster CVD processes. However, such anti-reflective layers may also have a lower tolerance for within-wafer thickness variation than many CVD processes may provide. For example, a 600 Å thick antireflective layer may tolerate a thickness range of less than 3 Å.
  • A related deposition technique that presents a feasible alternative to CVD for small feature sizes is conformal film deposition (CFD). Generally, CFD does not rely on complete purges of one or more reactants prior to reaction to form the film. For example, there may be one or more reactants present in the vapor phase when a plasma (or other activation energy) is struck. Accordingly, one or more of the process steps described in an ALD process (e.g., a purge step) may be shortened or eliminated in an example CFD process. Typically, a chamber capable of performing an ALD process is also capable of performing a CFD process. In some implementations, the novel undercoat formation process may be used to deposit an undercoat in a CVD, ALD or CFD reaction chamber. While the benefits of the novel undercoat may be greatest when used in conjunction with an ALD/CFD reactor, the embodiments are not limited to this context.
  • An undercoat can help improve wafer-to-wafer thickness uniformity and within-wafer thickness uniformity. The use of a chamber undercoat is especially beneficial in the context of a plasma processing apparatus, for example an apparatus used to deposit plasma enhanced atomic layer deposition (PEALD) films or plasma enhanced chemical vapor deposition (PECVD) films. An undercoat is often used to help reduce metal contamination and the formation of defect-inducing particles on the surfaces of the chamber. These particles can flake off the chamber surfaces and fall onto a substrate as film is being deposited, thereby causing undesirable film impurities and increasing the likelihood of device failure. Another advantage of using an undercoat is that it helps stabilize the impedance within a reactor.
  • One method of forming a chamber undercoat involves depositing undoped silicate glass (USG) on the chamber surfaces through a PECVD process. This process is typically performed without any substrates present in the chamber. The reactants used to deposit the USG include silane (SiH4) and nitrous oxide (N2O). Unfortunately, this reaction raises the temperature of the reaction chamber due to plasma heating effects related to the use of nitrous oxide. Where the reaction chamber is maintained at or below about 100° C., the plasma heating effect can be substantial. For example, the deposition of an undercoat may raise the temperature of the reaction chamber by about 4-8° C. This effect on the reaction chamber temperature significantly affects wafer-to-wafer uniformity. In order to stabilize the temperature of the reaction chamber and improve uniformity, a long idle time may be used (e.g., at least about ten minutes) after the undercoat is deposited and before any substrates are processed. Another method to stabilize the chamber temperature is to run one or more “dummy wafers” through several deposition cycles within the reaction chamber. The dummy wafers can be relatively inexpensive substrates that are not designed to be used in a final device. Both of these approaches slow down the production process and decrease throughput.
  • In addition to the long idle times and/or use of dummy wafers, the CVD-based undercoat formation method typically requires multiple flushes of the chamber to remove the USG-forming reactants. If these flushes are not performed, the silane and nitrous oxide may remain in the reaction chamber and cause undesirable reactions when the chamber is used to deposit a film on a substrate. These multiple flush operations increase the required processing time and decrease throughput.
  • A further problem related to the CVD-based undercoat deposition process is that there is a potential for mismatch between an area covered by the PECVD-based USG undercoat and, for example, and an area covered by a PEALD-deposited film. For example, a PEALD reaction chamber may be coated with a PECVD-based USG undercoat. The CVD-based undercoat will cover certain portions of the reaction chamber, but may inadequately cover other portions of the chamber. When the reaction chamber is then used to deposit a PEALD-based film on a substrate, some PEALD-based film will deposit on the undercoat of the reaction chamber. Because PECVD films and PEALD films are formed through different mechanisms, the coverage of the two films may be different. For instance, the PEALD-based film may extend to areas of the reaction chamber where the PECVD-based film did not reach. This coverage mismatch can contribute to flaking within the chamber, thus impacting both within-wafer and wafer-to-wafer uniformity.
  • Moreover, the presence of a PECVD-based film under a PEALD-based film can result in a composition and/or stress mismatch between these two films. These mismatches can also contribute to the particle flaking problem. As such, there exists a need for an improved method of depositing a reaction chamber undercoat.
  • An undercoat is defined as a layer of film formed on the interior surfaces of the reaction chamber prior to processing substrates in the reaction chamber. An undercoat is distinct from film buildup that occurs during a normal deposition process where material is deposited on substrates. In contrast to buildup that occurs during deposition on substrates, an undercoat is generally deposited without any substrates present in a reaction chamber. Further, an undercoat may be deposited directly on the bare chamber surfaces (e.g., on aluminum) or on a permanent layer on the chamber surfaces (e.g., aluminum fluoride), whereas film deposited during deposition on substrates is typically not in contact with these surfaces if it is deposited on top of a previously formed undercoat. A substrate is a solid piece of material that may be inserted and removed from the reaction chamber, which is not part of the reaction chamber, upon which film is deposited, and upon which film deposition is generally desired. In the context of semiconductor device fabrication, a semiconductor wafer (with or without film(s) deposited thereon) is a typical substrate. In many cases, substrates are disc-shaped and have a diameter of, for example, 200, 300 or 450 mm. Substrates typically go through many rounds of processing to become semiconductor devices. Certain other substrates, however, are not intended to become fully functioning devices. These substrates may be referred to as dummy wafers, and they may be used as test vehicles for evaluating a deposition process or as sacrificial substrates for equilibrating a reaction chamber, for example. When depositing the undercoat, the substrate-free chamber allows the undercoat to uniformly coat the chamber surfaces.
  • An undercoat is also distinct from a layer that may be deposited on chamber surfaces during certain test cycles, for example where a deposition process is run for a relatively small number of cycles without any substrates present. One difference is that the undercoat formation process is repeated many times to form a film of a desired minimum thickness. This minimum thickness is important in ensuring that the undercoat is able to function (e.g., minimize flaking and particle formation, and maximize uniformity) as desired.
  • The undercoat can improve uniformity by helping reduce metal contamination and particle flaking, and by helping balance the impedance of the reaction chamber. Films deposited in reaction chambers lacking an undercoat often have substantial metal contamination problems. In many cases, the reaction chamber itself is made of a metal (e.g., aluminum). When an uncoated metal chamber is exposed to plasma, small amounts of metal may be removed from the chamber surfaces and end up on the substrate film. These metal impurities can negatively affect the performance of the devices formed on the substrate, and in some cases can lead to device failure. With regard to balancing impedance, the chamber undercoat is especially useful when depositing CVD-based films, which deposit through gas phase reactions. Because the reaction chamber is made of metal and the film deposited on substrates is often a dielectric material, the impedance of the reaction chamber changes as the dielectric is deposited. Thus, by providing an undercoat, the impedance of the chamber can become relatively stabilized before any substrates are processed, thereby maximizing processing uniformity.
  • Certain methods for depositing a chamber undercoat involve the use of a PECVD method using silane and nitrous oxide. This method can raise the temperature of the reaction chamber by about 4-8° C. due to plasma heating effects related to the use of nitrous oxide. The heating effects are especially problematic with respect to film deposition methods performed at or below about 100° C. At these low deposition temperatures, the plasma heating effect is more pronounced. In order to process substrates in a uniform manner and with uniform results, CVD-based undercoat methods typically require a fairly long downtime between deposition of an undercoat and deposition of a film on a substrate in the newly coated reaction chamber (e.g., at least about ten minutes), and/or the use of dummy deposition wafers to stabilize chamber temperature. Additionally, multiple extensive flushes may be performed to remove all of the silane and nitrous oxide chemistry from the reaction chamber before a substrate is processed. Otherwise, these reactants can cause unwanted reactions within the chamber, which can cause film non-uniformities. The downtime/dummy wafers/flushes all contribute to relatively long processing times and decreased throughput.
  • Where the CVD-based undercoat is used in an ALD reaction chamber, additional problems may arise. For example, there may be a mismatch in coverage between a CVD-based undercoat and ALD-based film that is deposited on top of the undercoat when the chamber is used to deposit film on substrates. There may also be a mismatch in composition and/or stress levels between the CVD-based undercoat and the ALD-based film on the undercoat. These mismatches may promote particle formation/flaking at relatively low levels of film buildup. Unfortunately, this means that the reaction chamber must be cleaned fairly frequently. During the cleaning time, the reaction chamber is unavailable for depositing films on substrates. One aspect of the present embodiments is providing an undercoat that shows superior particle formation/flaking performance. By reducing the flaking problem, the reaction chamber does not have to be cleaned as often, more substrates may be processed between subsequent reaction chamber cleaning operations, and throughput can be maximized.
  • FIG. 1 presents a flowchart for a method of processing substrates in a reaction chamber. The method shown in FIG. 1 corresponds to a process in which a PECVD-based undercoat is deposited. In a typical processing scheme, the reaction chamber is “clean” at the beginning of operation 101. This means that there is no undercoat or other non-permanent deposited film present on the chamber surfaces. There may, however, be a layer of permanent material (e.g., aluminum fluoride) on the reaction chamber at this point. The aluminum fluoride layer may be formed in a previous operation by introducing a remotely generated fluorine plasma to the reaction chamber, for example. The reaction chamber's aluminum surfaces may react with the fluorine plasma to form the layer of aluminum fluoride. This aluminum fluoride layer is effectively permanent and is generally not removed in later cleaning operations. A reaction chamber having a layer of a permanent material such as aluminum fluoride is considered a “clean” chamber.
  • During the undercoat deposition process of 101, silane and nitrous oxide are flowed into the reaction chamber at the same time. A plasma is ignited in the reaction chamber, driving a gas-phase reaction between the silane and nitrous oxide to form undoped silicate glass (USG). In some cases, the plasma is generated by an RF plasma generator, and the RF power used to drive the generator is on the order of about 1800 W (divided among four stations, depositing on 300 mm diameter substrates). The reaction chamber surfaces become plated with the USG undercoat film. Examples of surfaces that become coated include the chamber walls/ceiling/floor, pedestal, substrate carrier ring, showerhead, exhaust system, fluid line, pump, spindle, wafer transfer arm, filler plates, secondary purge collars, etc. There may be certain surfaces that do not receive a uniform layer of undercoat during the CVD-based undercoat deposition. For example, surfaces which are not metallic are especially likely to receive inadequate or otherwise non-uniform coverage. In some cases, these non-metallic parts include a substrate carrier ring and associated hardware such as a lift arm/lift pin. These non-metallic parts often experience flaking before the metallic parts begin to flake. This relatively early flaking may be due to coverage, composition and/or stress mismatches between the CVD-deposited undercoat and the film deposited over the undercoat during deposition on substrates. Examples of non-metallic materials that a carrier ring and other components may be made of include ceramic materials.
  • During operation 101, the temperature of the reaction chamber is likely to rise, especially if the chamber is being maintained at relatively low temperatures (e.g., under about 100° C.). The fluctuating temperature may have a detrimental effect on wafer-to-wafer non-uniformity, because subsequent substrates will be processed at different temperatures as the chamber temperature equilibrates.
  • In order to reduce the non-uniformity problem, the method 100 may continue with operation 103, where the temperature of the reaction chamber is permitted to change to a desired, stable level, before processing any valuable substrates. During this waiting time, the reaction chamber may sit idle. Alternatively or in addition, the reaction chamber may be used to deposit film on a series of dummy wafers, which are relatively inexpensive substrates that are not desired for use in an end product.
  • At operation 105, the reaction chamber is purged/flushed multiple times to remove any unwanted reactants. In many cases, one or more of the reactants used to deposit the PECVD-based undercoat are incompatible with the reactants used to deposit films on substrates. This chemistry mismatch is especially likely where the undercoat is deposited through a CVD method and the reaction chamber is used to deposit ALD or CFD films on substrates. This mismatch can cause unwanted reactions during film deposition on substrates. In order to avoid these unwanted reactions, the reaction chamber is purged multiple times to remove any unwanted reactants. The purge may include flowing gas that does not contain the species to be removed from the chamber. Alternatively or in addition, the purge may include evacuating the reaction chamber. Operation 105 may occur before, during or after operation 103.
  • At operation 106, reactants used to deposit films on substrates are pre-flowed into the reaction chamber to precoat the chamber surfaces. This is typically done before any substrates are present in the reaction chamber. This precoat helps prime the gas delivery hardware (e.g., liquid chemical injectors, gas delivery lines, etc.) and other portions of the apparatus by exposing them to the reactants used during deposition.
  • Next, at operation 107 a series of substrates are processed in the reaction chamber. In certain cases, material is deposited on the substrates through an ALD or CFD method. As film is deposited on the substrates, film is also deposited on the interior surfaces of the reaction chamber. This film builds up over the course of processing many substrates, and eventually begins to peel or flake off. In one example of a USG undercoat deposited by method 100 to a thickness of about 1 μm, about 250 substrates may be processed before reaching the chamber total accumulation limit. This limit corresponds to (but does not exactly represent) the amount of film (including undercoat) deposited on the chamber surfaces at a point just before any sign of film flaking. The chamber total accumulation may not be a direct measurement of the amount of film on the chamber surfaces. Rather in some embodiments, an accumulation counter tracks the amount of film buildup based on the expected thickness of deposition per cycle. The chamber total accumulation limit may be chosen for a particular system based on the performance of the process. For example, the chamber total accumulation limit may be set to a value just below the accumulation counter value at which the chamber began to experience flaking.
  • Then, after the substrates are processed, the reaction chamber undergoes an in situ cleaning process in operation 109 to remove the deposited film and undercoat. This cleaning process is typically undertaken when no substrates are present in the reaction chamber. In many cases, the chamber cleaning process may involve introducing a gas phase species, e.g., a fluorine-containing compound, which reacts with the deposited film to form a volatile product that may be purged from the chamber. The processing scheme may then be repeated on the newly cleaned chamber.
  • The disclosed embodiments may use an ALD-based undercoat. In certain embodiments, an undercoat may be made from the same material as the one deposited on substrates in the reaction chamber. Example film types include doped or undoped oxides, nitrides, carbides, oxynitrides, carbonitrides, borides, noble metals, and high-k materials including, for example, lanthanide-oxides, group 4 metal oxides, and group 5 metal oxides. Oxides include a wide range of materials including undoped silicate glass (USG), and doped silicate glass. Examples of doped glasses include boron doped silicate glass (BSG), phosphorus doped silicate glass (PSG), and boron phosphorus doped silicate glass (BPSG). In certain embodiments, the undercoat is silicon oxide, silicon nitride or silicon carbide. The silicon oxide undercoat may be especially useful where the reaction chamber is used to deposit silicon oxide films on substrates. However, a silicon oxide undercoat may also be used in chambers used to deposit other types of films on substrates, as well. In certain embodiments, the undercoat is formed by the same process and process conditions as are used to deposit films on substrates in the relevant reaction chamber. These overlapping process conditions may include specific reactants, timing, RF power/frequency, temperature and/or pressure.
  • FIG. 2 presents a flowchart for a method of processing substrates in a reaction chamber according to certain disclosed embodiments. The method shown in FIG. 2 differs from that shown in FIG. 1 in that the undercoat is formed through an ALD method instead of a CVD method. This results in the avoidance of multiple processing operations. The process 200 begins at operation 201, where the ALD-based undercoat is deposited. As in FIG. 1, the reaction chamber is typically clean at the beginning of operation 201. The deposition process 201 involves cyclically introducing a first reactant to the chamber and allowing it to adsorb onto chamber surfaces, introducing a second reactant to the chamber while the first reactant is adsorbed onto chamber surfaces, and exposing the chamber to plasma to drive a surface reaction between the first and second reactants. In certain embodiments where the undercoat is deposited through CFD methods, one of the reactants may be delivered continuously. The undercoat deposition 201 may also involve one or more purge operations after introduction of one or both reactants and/or after plasma exposure. This undercoat deposition process 201 is further described with reference to FIGS. 3 and 4. Example process conditions for implementing the undercoat deposition process 201 are described further below, though the embodiments are not limited to these particular conditions.
  • Where an ALD-based undercoat is used, it is possible to reduce or eliminate the need for several of the processing steps described in relation to FIG. 1. For example, operations 103 (waiting for chamber temperature to fall), 105 purging reaction chamber to remove unwanted reactants), and 106 (pre-flowing reactants to precoat chamber) may be eliminated in some embodiments. In other embodiments, one or more of these operations may take place. In these cases, one or more of the listed operations may be done more quickly than in a conventional setting. For instance, a CVD-based undercoat deposition process may raise the temperature of the reaction chamber by about 4-8° C., whereas an ALD-based undercoat deposition process may raise the temperature of the reaction chamber to a lower degree, for example less than 1° C. in many cases. As such, if any waiting is required to allow the temperature of the reaction chamber to equilibrate, this waiting period will be substantially shorter than that required for a CVD-based undercoat deposition process. In some embodiments, the reaction chamber will be purged one or more times, and reactants may be pre-flowed to the reaction chamber between operations 201 and 207. These operations may continue to be helpful, particularly where different reactants are used to deposit the undercoat and the film on substrates. In embodiments where the same reactants are used to deposit the undercoat and film on substrates, however, these purging and pre-flowing steps may be eliminated.
  • The process 200 continues with operation 207, where material is deposited on substrates as desired in the reaction chamber. In certain embodiments, the material is deposited on the substrates through an ALD or CFD method. As mentioned above, the process and process conditions used to deposit the films on the substrates may be the same as those used to deposit the undercoat.
  • Next, once the chamber total accumulation limit is met and flaking begins or is likely to begin occurring, any substrates present in the reaction chamber are removed, and the chamber is cleaned. The cleaning process removes both the film buildup deposited during deposition on substrates, as well as the undercoat. At the end of operation 209, the reaction chamber is clean and the process 200 may be repeated.
  • While the above description focuses on the deposition of an ALD-based undercoat in the context of processing many wafers, other embodiments may be directed to more limited portions of this process. For example, some embodiments relate to the deposition of an ALD-based undercoat in the context of a single cleaning process. The cleaning process may include operation 209 followed by operation 201 of FIG. 2, for example. In this embodiment, the reaction chamber is covered in film (and potentially but not necessarily an undercoat) at the outset of the process. The reaction chamber is cleaned according to the cleaning methods described elsewhere herein. The cleaning process removes any film and undercoat present on the chamber surfaces. After the chamber is cleaned, a new undercoat is deposited through ALD-based methods as described in relation to operation 201.
  • Another embodiment relates to a method of depositing films on substrates including operation 201 followed by operation 207 of FIG. 2. In this embodiment, the method begins with a clean reaction chamber. An undercoat is deposited through ALD-based methods as described in relation to operation 201. Next, a substrate is loaded into the reaction chamber, and a film is deposited thereon in operation 207. This operation may continue by removing the substrate and processing additional substrates as desired. In this embodiment, no cleaning of the reaction chamber may be performed.
  • Another embodiment is directed to a method of depositing an ALD-based undercoat in a reaction chamber, as described in relation to operation 201 of FIG. 2. This embodiment may be practiced without placing a substrate in the reaction chamber. This may also be referred to as a wafer-less ALD undercoat deposition method. As mentioned, this method is further discussed with relation to FIGS. 3 and 4.
  • The use of an ALD-based undercoat is beneficial for several reasons. First, the ALD-based undercoat may be deposited without raising the temperature of the reaction chamber. Because the temperature of the chamber stays relatively constant, the wafer-to-wafer uniformity is improved. In some embodiments, the temperature of the reaction chamber rises no more than about 2° C., for example no more than about 1° C., during deposition of the undercoat. Where the rise in temperature during the undercoat deposition process is less than about 1° C., the process may be considered “isothermal.” The temperature-stable ALD-based reaction reduces or eliminates the need for long idle times or dummy wafers to stabilize the chamber temperature. Furthermore, the ALD-based undercoat and the ALD-based film deposited on the substrate may both be formed using the same set of chemistry. This eliminates the need to perform multiple flushes of the reaction chamber before depositing films on substrates.
  • Another advantage to using ALD-based undercoats is that they achieve better flaking/particle performance than CVD-based undercoats. The Experimental section, below, provides data related to particle performance in reaction chambers having CVD- or ALD-based undercoats. To briefly summarize the experimental findings, a 2000 Å thick ALD-based silicon oxide undercoat allowed the chamber to process about three times as many substrates than a chamber having a 2 μm thick CVD-based USG undercoat. The upper limit on the number of processed substrates was determined by flaking/particle formation within the reaction chamber (i.e., the chamber total accumulation limit). The ALD-based undercoat reached a higher chamber total accumulation limit before flaking occurred. The higher total accumulation limit, along with a corresponding increase in the number of substrates that may be processed between chamber cleaning operations, contributes to an increase in throughput. A chamber cleaning process often takes between about 20-90 minutes to complete, during which time the reaction chamber is unavailable for processing substrates. Cleaning operations performed at higher temperatures may be conducted quicker than cleaning that occurs at lower temperatures. Also, thicker film buildups require longer cleaning times. A 50° C. cleaning operation to remove 2 μm of material may take about 40 minutes, while the same cleaning operation to remove 4 μm of material may take about 90 minutes. At higher temperatures such as 400° C., a 4 μm layer of material may be cleaned/removed in about 20 minutes. It is beneficial to maximize the number of substrates that can be processed between chamber cleaning operations in order to minimize the necessary downtime associated with cleaning processes.
  • A related benefit of the ALD-based undercoats is that they achieve adequate flaking/particle performance at a much lower undercoat thickness compared to the thickness required for CVD-based undercoats. A thinner undercoat may be desirable because it requires less material, and because it allows additional substrates to be processed before reaching the chamber total accumulation limit, which positively impacts throughput.
  • Without wishing to be bound by theory, it is believed that the improved particle performance may be due to an increase in the similarity between the undercoat and the film deposited on the undercoat during deposition on substrates. The increased similarity may relate to the coverage of the films, the composition of the films, the stress of the films, etc. It is believed that flaking is more likely to occur where there is an interface between different types of film, and that greater degrees of difference between the films increases the likelihood for flaking to occur. By increasing the similarity of the films at the interface between the undercoat and film deposited on the undercoat, particle formation may be minimized.
  • One drawback to ALD-based undercoats is that they take a relatively long time to deposit. For example, while a 1 CVD-based undercoat may take about 3 minutes to deposit, an ALD-based undercoat may take about 15 minutes to deposit. This increased deposition time results from the careful management of reactants and the cyclic nature of the ALD deposition process. Whereas a CVD-based undercoat may be formed in a single step by delivering, for example, silane and nitrous oxide to the substrate in the presence of plasma, the ALD-based deposition is more complicated. Because ALD-based undercoats take much longer to deposit, it was thought that these undercoats would cause an increase in processing time and a decrease in throughput.
  • However, it was unexpectedly found that the ALD-based undercoats show better flaking/particle formation performance, which advantageously increases the number of substrates that may be processed between subsequent chamber cleaning operations. Further, it was unknown that the ALD-based undercoats would perform adequately at much thinner undercoat thicknesses than previously used with CVD-based undercoats. Whereas CVD-based undercoats may require a thickness of about 1-2 μm thick for adequate performance (which would take a very long time to deposit through cyclic ALD processes that build up slowly), this relatively large thickness is not required for an adequately performing ALD-based undercoat. Adequate ALD-based undercoat performance was instead achieved at an undercoat thickness of about 0.1-0.2 μm. This thickness is about an order of magnitude lower than the thickness required for CVD-based undercoats. Comparison of ALD- and CVD-based undercoat performance is shown below in the Experimental section. While it was thought that ALD-based undercoats would slow down production and decrease throughput, the unanticipated advantages arising from the use of the ALD-based undercoat deposition process may outweigh the increased undercoat deposition time, and result in an overall increase in throughput.
  • FIG. 3 provides a flowchart for a method of depositing an ALD-based undercoat in a clean reaction chamber. As mentioned above, the clean reaction chamber may have a permanent layer of aluminum fluoride or other material on the interior surfaces. The method 300 begins at operation 301, where a first reactant is introduced into the reaction chamber. The reaction chamber does not contain a substrate while the undercoat is being deposited. The first reactant will generally adsorb onto the surfaces of the reaction chamber during operation 301, forming a layer of reactant material. This layer is generally, though not necessarily, a monolayer of the reactant material. Next, a second reactant may be introduced into the reaction chamber at operation 303 while the first reactant is adsorbed onto the chamber surfaces. This second reactant may also adsorb onto the surfaces of the reaction chamber to form a monolayer of the second reactant. Then, at operation 305, the reaction chamber is exposed to plasma to drive a surface reaction between the first and second reactants. This forms a layer of undercoat on the interior surfaces of the reaction chamber. The undercoat may be in direct contact with the underlying aluminum chamber parts, or may be in direct contact with an underlying layer of aluminum fluoride. In some embodiments, one or more purge operations may take place after operations 301, 303 and/or 305. The purge may include sweeping the reaction chamber with a gas that is free of the species being purged. Alternatively or in addition, the purge may include evacuating the reaction chamber and performing a pump down to a low pressure (e.g., less than about 1 Torr).
  • In should also be noted that while FIGS. 2 and 3 refer to first and second reactants, in some embodiments, a single reactant may be cyclically introduced, adsorbed, and decomposed to form an ALD-based undercoat. Still further, in some embodiments, one or more additional reactants (e.g., a third reactant) may be introduced, for example, to form ternary films.
  • FIG. 4 provides a flowchart for an exemplary method of depositing an ALD-based silicon oxide undercoat. The method 400 begins at operation 401, where a silicon-containing reactant is introduced to the reaction chamber. In one example, the silicon-containing reactant is BTBAS (bis(tertiarybutylamino)silane, SiH2(NHC(CH3)3)2). The silicon-containing reactant may be provided at a rate of about 1.5-2.5 mL/min, for example about 1 mL/min. The silicon-containing reactant may be provided over a period of about 0.1-0.5 seconds, for example about 0.2 seconds. The silicon-containing reactant adsorbs onto the surfaces of the reaction chamber. The temperature of the reaction chamber, for example the temperature of a substrate holder, may be maintained between about 25-450° C. Next, the flow of the silicon-containing reactant stops, and the reaction chamber is purged at operation 402. The purge removes any residual silicon-containing reactant that is not strongly adsorbed to the reaction chamber surfaces. The purge may occur by flowing a non-reactive gas into the reaction chamber, and/or by evacuating the reaction chamber. In some embodiments, the reaction chamber is purged for a period between about 0.1-0.5 seconds, for example about 0.2 seconds. Next, an oxidizing reactant is provided to the reaction chamber at operation 403. In a particular example, the oxidizing reactant is a mix of O2/N2O in equal or substantially equal flows (as measured in standard liters per minute (SLM)). In some implementations, the oxidizing reactant is about 60% or less N2O, or about 50% or less N2O, as measured in SLM. The flow rate of the oxidizing reactant may be between about 10-40 SLM total. The flow rates of the O2 and N2O may independently be between about 5-20 SLM, for example about 10 SLM. The oxidizing reactant may be provided to the reaction chamber for a period between about 0.05-0.75 seconds, for example about 0.3 seconds. The chamber may then be exposed to plasma to drive a reaction between the silicon-containing reactant and the oxidizing reactant at operation 405. In some embodiments, the plasma is generated by an RF plasma generator. The RF generator may provide about 100-2500 W/station, for example about 125 W/station to generate the plasma. In some embodiments, operations 403 and 405 occur concurrently or partially concurrently. The duration of the plasma exposure may be between about 0.05-0.75 seconds, for example about 0.3 seconds. The reaction chamber may then be purged (not shown) after the plasma is extinguished. The purge may occur over a period of about 0.05-0.5 seconds, for example about 0.15 seconds. The flow rates, times, and reactants provided are merely examples and are not intended to be limiting.
  • The flow of an oxidizing reactant or other co-reactant may be continuous or pulsed. In some cases where the flow is continuous, the flow may be periodically diverted from the reaction chamber. In this case, although the oxidant reactant flows continuously, its flow into the reaction chamber is pulsed. In certain embodiments, a continuous oxidant flow is used, but the oxidant flow is only introduced to the reaction chamber during an oxidant delivery pulse, which may occur plasma exposure. During the other times, the oxidant flow may be diverted to another portion of the processing apparatus.
  • ALD- and CFD-based deposition methods are further described in U.S. patent application Ser. No. 13/084,399, filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION,” which is herein incorporated by reference in its entirety. While the '399 application focuses on the deposition of films on substrates, the teachings are also applicable to the deposition of an undercoat on a reaction chamber when there is no substrate present in the chamber.
  • Reactants
  • The disclosed embodiments may be used with a variety of reactants to form various kinds of undercoats and films. While certain implementations are described in the context of BTBAS in combination with oxygen and nitrous oxide, the embodiments are not so limited. Any appropriate silicon-containing reactant and oxidant may be used for the deposition of silicon oxide undercoats and films. Similarly, for the deposition of silicon nitride undercoats and films, any appropriate silicon-containing reactant and nitrogen-containing reactant may be used. Further, for the deposition of metal oxide or metal nitride undercoats and films, any appropriate metal-containing reactants and co-reactants may be used. The techniques herein are beneficial in implementing a wide variety of film chemistries.
  • In some embodiments, a silicon-containing reactant may be used. The silicon-containing reactant may include, for example, a silane, a halosilane or an aminosilane. A silane contains hydrogen and/or carbon groups, but does not contain a halogen. Examples of silanes are silane (SiH4), disilane (Si2H6), and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, and the like. A halosilane contains at least one halogen group and may or may not contain hydrogens and/or carbon groups. Examples of halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes. Although halosilanes, particularly fluorosilanes, may form reactive halide species that can etch silicon materials, in certain embodiments described herein, the silicon-containing reactant is not present when a plasma is struck. Specific chlorosilanes are tetrachlorosilane (SiCl4), trichlorosilane (HSiCl3), dichlorosilane (H2SiCl2), monochlorosilane (ClSiH3), chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, and the like. An aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens and carbons. Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 and Si(NH2)4, respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2 (BTBAS), tert-butyl silylcarbamate, SiH(CH3)—(N(CH3)2)2, SiHCl—(N(CH3)2)2, (Si(CH3)2NH)3 and the like. A further example of an aminosilane is trisilylamine (N(SiH3)3).
  • In other cases, the deposited film contains metal. Examples of metal-containing films that may be formed include oxides and nitrides of aluminum, titanium, hafnium, tantalum, tungsten, manganese, magnesium, strontium, etc., as well as elemental metal films. Example precursors may include metal alkylamines, metal alkoxides, metal alkylamides, metal halides, metal β-diketonates, metal carbonyls, organometallics, etc. Appropriate metal-containing precursors will include the metal that is desired to be incorporated into the film. For example, a tantalum-containing layer may be deposited by reacting pentakis(dimethylamido)tantalum with ammonia or another reducing agent. Further examples of metal-containing precursors that may be employed include trimethylaluminum, tetraethoxytitanium, tetrakis-dimethyl-amido titanium, hafnium tetrakis(ethylmethylamide), bis(cyclopentadienyl)manganese, bis(n-propylcyclopentadienyl)magnesium, etc.
  • In some embodiments, the deposited film contains nitrogen, and a nitrogen-containing reactant is used. A nitrogen-containing reactant contains at least one nitrogen, for example, ammonia, hydrazine, amines (e.g., amines bearing carbon) such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines. Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds). A nitrogen-containing reactant can contain heteroatoms other than nitrogen, for example, hydroxylamine, t-butyloxycarbonyl amine and N-t-butyl hydroxylamine are nitrogen-containing reactants.
  • In certain implementations, an oxygen-containing reactant is used. Examples of oxygen-containing reactants include oxygen, ozone, nitrous oxide, nitric oxide, nitrogen dioxide, carbon monoxide, carbon dioxide, sulfur oxide, sulfur dioxide, oxygen-containing hydrocarbons (CxHyOz), water, mixtures thereof, etc.
  • Timing
  • FIGS. 3 and 4 and their corresponding descriptions disclose general outlines for depositing an undercoat through ALD-based methods. In some cases, one of the reactants may be delivered continuously (e.g., even during delivery of other reactants and/or during plasma exposure). The continuously flowing reactant may be delivered to the reaction chamber in conjunction with a carrier gas—e.g., argon, helium, etc. Typically in embodiments in which an oxide or nitride undercoat is deposited, a continuously flowing reactant will be an oxygen- or nitrogen-containing reactant. Where a reactant is delivered continuously, the deposition may be referred to as a conformal film deposition (CFD) method. These CFD methods are within the scope of the present embodiments. In other cases, all reactants may be delivered in pulses. Where this is the case, the reactant pulses may be delivered to the reaction chamber in an alternating fashion, such that only a single reactant is being introduced to the reaction chamber at a given time.
  • As mentioned above, the use of the ALD-based undercoat may reduce or eliminate the need to wait for the reaction chamber temperature to equilibrate between deposition of the undercoat and deposition on substrates. The ALD-based undercoat also may eliminate the need to flush the reaction chamber multiple times to remove unwanted undercoat reactants, and the need to pre-flow reactants used to form film on substrates. As such, in certain embodiments, films may be deposited on substrates in the reaction chamber immediately after the undercoat is formed. In some cases, a first reactant for forming a film on a substrate is delivered to a reaction chamber having a non-dummy substrate therein within about 5 minutes after the undercoat is formed. In some embodiments, the time between termination of the undercoat formation process and delivery of a reactant to form a film on a substrate may be reduced to less than about 3 minutes, or less than about 1 minute, or less than about 30 seconds.
  • Reaction Chamber Conditions During ALD-Deposition
  • The disclosed embodiments are not limited to use with particular reaction chamber temperatures, pressures, etc. Typical reaction chamber temperatures during deposition of an undercoat and/or during deposition of a film on a substrate range from about 25-450° C. However, the throughput benefits of the disclosed embodiments may be most effective where the process for depositing film on substrates in the reaction chamber occurs at relatively low temperatures, e.g., less than about 200° C. and in some cases less than about 100° C. In these or other cases, the temperature may be at least about 25° C. In some cases, the ALD-based undercoat is used with a reaction chamber that is used to deposit films on substrates at higher temperatures. ALD-based undercoats may be beneficial at these high temperatures because they may allow processing to occur at higher temperatures than is possible with CVD-based undercoats. In other words, the ALD-based undercoats may expand the possible processing window for ALD-based films deposited on substrates.
  • The pressure in the reaction chamber during deposition of the undercoat and/or during deposition of films on substrates may be between about 1-10 Torr.
  • Plasma Generation Conditions During ALD-Deposition
  • In a PEALD operation, the substrate is exposed to plasma to drive the reaction between the first and second reactants. Various types of plasma may be used to drive this reaction including capacitively coupled plasmas and inductively coupled plasmas. Various types of plasma generators may be used including RF, DC, and microwave plasma generators. Moreover, according to various embodiments, the plasma may be direct or remote.
  • Where an RF plasma generator is used, the RF power may be between about 100-2500 W/station, as calculated for a 300 mm diameter wafer. The power level scales linearly with substrate area, and may be scaled accordingly for substrates of other sizes. For example, an RF power of 500 W/station for a 300 mm wafer would correspond to an RF power of about 1125 W/station for a 450 mm wafer. The RF frequency used to drive the plasma may have a high frequency (HF) and/or low frequency (LF) component. Example HF RF frequencies may include, but are not limited to, frequencies between about 1.8 MHz-2.45 GHz. Common HF frequencies include 13.56 MHz and 27 MHz. Example LF frequencies may include, but are not limited to, frequencies between about 50-500 kHz. In some embodiments, only HF frequency is used. In other embodiments, LF frequencies are used in addition to HF frequencies. In other embodiments, only an LF frequency is used.
  • The gas used to generate the plasma may vary. In some embodiments, plasma may be ignited while one of the reactants (e.g., an oxygen- or nitrogen-containing reactant) is present in the reaction chamber in gaseous form, such that the plasma is generated from this reactant. Alternatively or in addition, the plasma may be generated from an inert gas.
  • Cleaning the Reaction Chamber
  • The disclosed embodiments are not limited to any particular chamber cleaning method. Any method that removes all or substantially all of the deposited film and undercoat may be used. Chamber cleaning methods are further discussed and described in the following U.S. patents and patent applications, each of which is incorporated herein in its entirety and for all purposes: U.S. Pat. No. 7,479,191, titled “METHOD FOR ENDPONTING CVD CHAMBER CLEANS FOLLOWING ULTRA LOW-K FILM TREATMENTS”; U.S. Pat. No. 8,262,800, titled “METHODS AND APPARATUS FOR CLEANING DEPOSITION REACTORS”; U.S. patent application Ser. No. 12/355,601, filed Jan. 16, 2009, and titled “PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER”; and U.S. patent application Ser. No. 13/654,303, filed Oct. 17, 2012, and titled “METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS.”
  • There are two general types of chamber cleaning methods that are frequently used to clean deposition chambers. These include plasma cleaning methods (sometimes referred to as dry cleaning methods) and wet cleaning methods.
  • Plasma cleaning procedures can be done using either in situ chamber cleans or remote chamber cleans. In in situ chamber cleans, the chamber clean chemicals are introduced into the reaction chamber in a gaseous state and plasma excitation within the reaction chamber is used to dissociate the chamber clean chemicals into reactive radicals and ions. In remote cleans, an independent plasma source is used to dissociate the gaseous chamber clean chemicals into strongly reactive radicals and ions outside the reaction chamber, and the dissociated chamber clean chemicals are then introduced into the reaction chamber. In both in situ and remote chamber cleans, the reactive species in the chamber react with the accumulated deposited films and undercoat to form gaseous products that are evacuated from the chamber. In many cases, the chamber clean chemicals include fluorine-containing species, for example NF3 that may be optionally mixed with inert gas such as He or Ar. Where a fluorine-containing cleaning chemical is used, SiO2 present in the chamber may be converted to SiF4, a volatile substance that may be removed by purging (e.g., sweeping and/or evacuating) the reaction chamber.
  • After a number of plasma cleaning cycles, the substrate deposition process may degrade and a wet clean may be used. In wet cleaning procedures, the reaction chamber is vented to atmosphere and the interior surfaces of the reactor are physically scrubbed using appropriate cleaning solutions (e.g., isopropanol (IPA) and/or water) and/or abrasives. Wet cleaning removes any thick and flaked accumulation that was not cleaned effectively with the plasma cleaning methods. This type of cleaning procedure is time consuming, labor intensive, and may require reconditioning of the chamber once completed. For example, the wet cleaning method may remove the layer of aluminum fluoride under the undercoat. As such, the wet cleaning methods are used sparingly and the plasma cleaning methods are used when possible.
  • Apparatus
  • It will be appreciated that any suitable process station may be employed with the embodiments described above. For example, FIG. 5A schematically shows an embodiment of a ALD/CFD process station 1300. For simplicity, process station 1300 is depicted as a standalone process station having a process chamber body 1302 for maintaining a low-pressure environment. However, it will be appreciated that a plurality of process stations 1300 may be included in a common process tool environment. For example, FIG. 6 depicts an embodiment of a multi-station processing tool 2400. Further, it will be appreciated that, in some embodiments, one or more hardware parameters of process station 1300, including those discussed in detail above, may be adjusted programmatically by one or more computer controllers.
  • ALD/CFD process station 1300 fluidly communicates with reactant delivery system 1301 for delivering process gases to a distribution showerhead 1306. Reactant delivery system 1301 includes a mixing vessel 1304 for blending and/or conditioning process gases for delivery to showerhead 1306. One or more mixing vessel inlet valves 1320 may control introduction of process gases to mixing vessel 1304.
  • Some reactants, like BTBAS, may be stored in liquid form prior to vaporization at and subsequent delivery to the process station. For example, the embodiment of FIG. 5A includes a vaporization point 1303 for vaporizing liquid reactant to be supplied to mixing vessel 1304. In some embodiments, vaporization point 1303 may be a heated vaporizer. The saturated reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve sweeping and/or evacuating the delivery piping to remove residual reactant. However, sweeping the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 1303 may be heat traced. In some examples, mixing vessel 1304 may also be heat traced. In one non-limiting example, piping downstream of vaporization point 1303 has an increasing temperature profile extending from approximately 100 degrees Celsius to approximately 150 degrees Celsius at mixing vessel 1304.
  • In some embodiments, reactant liquid may be vaporized at a liquid injector. For example, a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one scenario, a liquid injector may vaporize reactant by flashing the liquid from a higher pressure to a lower pressure. In another scenario, a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 1303. In one scenario, a liquid injector may be mounted directly to mixing vessel 1304. In another scenario, a liquid injector may be mounted directly to showerhead 1306.
  • In some embodiments, a liquid flow controller upstream of vaporization point 1303 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 1300. For example, the liquid flow controller (LFC) may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for dosing a liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between a feedback control mode and a direct control mode. In some embodiments, the LFC may be dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.
  • Showerhead 1306 distributes process gases toward substrate 1312. In the embodiment shown in FIG. 5A, substrate 1312 is located beneath showerhead 1306, and is shown resting on a pedestal 1308. It will be appreciated that showerhead 1306 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 1312.
  • In some embodiments, a microvolume 1307 is located beneath showerhead 1306. Performing a CFD process in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and sweep times, may reduce times for altering process conditions (e.g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc. Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters.
  • In some embodiments, pedestal 1308 may be raised or lowered to expose substrate 1312 to microvolume 1307 and/or to vary a volume of microvolume 1307. For example, in a substrate transfer phase, pedestal 1308 may be lowered to allow substrate 1312 to be loaded onto pedestal 1308. During an ALD/CFD process phase, pedestal 1308 may be raised to position substrate 1312 within microvolume 1307. In some embodiments, microvolume 1307 may completely enclose substrate 1312 as well as a portion of pedestal 1308 to create a region of high flow impedance during an ALD/CFD process.
  • Optionally, pedestal 1308 may be lowered and/or raised during portions the ALD/CFD process to modulate process pressure, reactant concentration, etc., within microvolume 1307. In one scenario where process chamber body 1302 remains at a base pressure during the process, lowering pedestal 1308 may allow microvolume 1307 to be evacuated. Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1:500 and 1:10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller.
  • In another scenario, adjusting a height of pedestal 1308 may allow a plasma density to be varied during plasma activation and/or treatment cycles included in the ALD/CFD process. At the conclusion of the ALD/CFD process phase, pedestal 1308 may be lowered during another substrate transfer phase to allow removal of substrate 1312 from pedestal 1308.
  • While the example microvolume variations described herein refer to a height-adjustable pedestal, it will be appreciated that, in some embodiments, a position of showerhead 1306 may be adjusted relative to pedestal 1308 to vary a volume of microvolume 1307. Further, it will be appreciated that a vertical position of pedestal 1308 and/or showerhead 1306 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 1308 may include a rotational axis for rotating an orientation of substrate 1312. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers.
  • Returning to the embodiment shown in FIG. 5A, showerhead 1306 and pedestal 1308 electrically communicate with RF power supply 1314 and matching network 1316 for powering a plasma. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 1314 and matching network 1316 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above. Likewise, RF power supply 1314 may provide RF power of any suitable frequency. In some embodiments, RF power supply 1314 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 500 kHz. Example high-frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions. In one non-limiting example, the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.
  • In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
  • In some embodiments, the plasma may be controlled via input/output control (IOC) sequencing instructions. In one example, the instructions for setting plasma conditions for a plasma activation phase may be included in a corresponding plasma activation recipe phase of a process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more plasma parameters may be included in a recipe phase preceding a plasma process phase. For example, a first recipe phase may include instructions for setting a flow rate of an inert and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase. A second, subsequent recipe phase may include instructions for enabling the plasma generator and time delay instructions for the second recipe phase. A third recipe phase may include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.
  • In some deposition processes, plasma strikes last on the order of a few seconds or more in duration. In certain implementations described herein, much shorter plasma strikes may be applied during a processing cycle. These may be on the order of 50 ms to 1 second, with 0.25 seconds being a specific example. Such short RF plasma strikes require quick stabilization of the plasma. To accomplish this, the plasma generator may be configured such that the impedance match is preset to a particular voltage, while the frequency is allowed to float. Conventionally, high-frequency plasmas are generated at an RF frequency at about 13.56 MHz. In various embodiments disclosed herein, the frequency is allowed to float to a value that is different from this standard value. By permitting the frequency to float while fixing the impedance match to a predetermined voltage, the plasma can stabilize much more quickly, a result which may be important when using the very short plasma strikes associated with ALD/CFD cycles.
  • In some embodiments, pedestal 1308 may be temperature controlled via heater 1310. Further, in some embodiments, pressure control for process station 1300 may be provided by butterfly valve 1318. As shown in the embodiment of FIG. 5A, butterfly valve 1318 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 1300 may also be adjusted by varying a flow rate of one or more gases introduced to process station 1300.
  • The internal surfaces of the process station 1300 are coated with undercoat 1350. Examples of surfaces that become coated with undercoat include the chamber walls 1302, the chamber ceiling and floor, the pedestal 1308 and the showerhead 1306. Although FIG. 5A is shown with a substrate 1312 in the process station 1300, this substrate 1312 is not present during deposition of an undercoat. Instead, the substrate 1312 is introduced to the process station 1300 after the undercoat is deposited, when the process station 1300 is ready to be used for depositing film on the substrate 1312.
  • FIG. 5B shows another view of a reaction chamber 500. When used to deposit films on substrates, the substrate (not shown) is positioned on the substrate carrier ring 531, which is supported by pedestal 504 (also referred to as a substrate support), which is supported by support pillar 508. Process gases are provided to the reaction chamber through inlet 551. In this embodiment, a remote plasma generator 550 may be used to generate plasma. After passing through inlet 551, reactants and other process gases enter the reaction chamber through showerhead 502. The interior surfaces of the reaction chamber (including at least the showerhead 502, support 508, pedestal 504, substrate carrier ring 531, and the walls, floor and ceiling of the reaction chamber 500) are coated with undercoat 506. The thickness of undercoat 506 has been exaggerated for the purpose of illustration. In some embodiments, some of the interior surfaces (e.g., the substrate carrier ring) may be made from a non-metallic material such as ceramic, or may have intricate geometries. Where CVD-based methods are used to coat a chamber, these non-metallic and intricate geometry parts often do not receive a uniform coating of undercoat. For example, the undercoat may not completely coat certain areas, or may coat too thick in other areas. With certain embodiments of the disclosed ALD-based undercoat formation methods, even these non-metallic and intricate parts receive a uniform, conformal undercoat. The uniform undercoat provides superior resistance to flaking compared to a CVD-based undercoat, especially on the non-metallic parts such as the substrate carrier ring. In some embodiments, a carrier ring is moveable between up and down positions. In some embodiments, an undercoat deposition may be performed with the carrier ring in an up position such that all surfaces are accessible for deposition.
  • As described above, one or more process stations may be included in a multi-station processing tool. FIG. 6 shows a schematic view of an embodiment of a multi-station processing tool 2400 with an inbound load lock 2402 and an outbound load lock 2404, either or both of which may comprise a remote plasma source. A robot 2406, at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 2408 into inbound load lock 2402 via an atmospheric port 2410. A wafer is placed by the robot 2406 on a pedestal 2412 in the inbound load lock 2402, the atmospheric port 2410 is closed, and the load lock is pumped down. Where the inbound load lock 2402 comprises a remote plasma source, the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 2414. Further, the wafer also may be heated in the inbound load lock 2402 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 2416 to processing chamber 2414 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 6 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
  • The depicted processing chamber 2414 comprises four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 6. Each station has a heated pedestal (shown at 2418 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between an ALD mode, a CFD mode, and a CVD process mode. Additionally or alternatively, in some embodiments, processing chamber 2414 may include one or more matched pairs of ALD/CFD/CVD process stations. While the depicted processing chamber 2414 comprises four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
  • FIG. 6 also depicts an embodiment of a wafer handling system 2490 for transferring wafers within processing chamber 2414. In some embodiments, wafer handling system 2490 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 6 also depicts an embodiment of a system controller 2450 employed to control process conditions and hardware states of process tool 2400. System controller 2450 may include one or more memory devices 2456, one or more mass storage devices 2454, and one or more processors 2452. Processor 2452 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • In some embodiments, system controller 2450 controls all of the activities of process tool 2400. System controller 2450 executes system control software 2458 stored in mass storage device 2454, loaded into memory device 2456, and executed on processor 2452. System control software 2458 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, RF exposure time, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 2400. These programed processes may include various types of processes including, but not limited to, processes related to deposition of an undercoat, processes related to deposition of film on substrates, and processes related to cleaning the chamber. System control software 2458 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software 2458 may be coded in any suitable computer readable programming language.
  • In some embodiments, system control software 2458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of an ALD undercoat deposition process may include one or more instructions for execution by system controller 2450. The instructions for setting process conditions for an ALD/CFD undercoat deposition process phase may be included in a corresponding ALD/CFD undercoat deposition recipe phase. In some embodiments, the recipe phases may be sequentially arranged, so that all instructions for a process phase are executed concurrently with that process phase.
  • Other computer software and/or programs stored on mass storage device 2454 and/or memory device 2456 associated with system controller 2450 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 2418 and to control the spacing between the substrate and other parts of process tool 2400. The positioning program may include instructions for appropriately moving substrates in and out of the reaction chamber as necessary to form an undercoat, deposit films on substrates, and clean the chamber. These may include instructions for ensuring that no substrates are present in the reaction chamber during deposition of the ALD/CFD-based undercoat and during the cleaning process.
  • A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. In some embodiments, the process gas control program includes instructions for introducing certain gases during formation of an undercoat on the reaction chamber, and for introducing the same gases during formation of a film on a substrate in the reaction chamber. The process gas control program may also include instructions to deliver these gases at the same rates, for the same durations, during formation of the undercoat and during deposition of film on substrates.
  • A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc. The pressure control program may include instructions for maintaining the same pressure during deposition of the undercoat on the reaction chamber as during the deposition of film on substrates.
  • A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate. The heater control program may include code for maintaining the same temperature in the reaction chamber and/or substrate holder during deposition of the undercoat and during deposition of film on substrates.
  • A plasma control program may include code for setting RF power levels, frequencies, and exposure times in one or more process stations in accordance with the embodiments herein. In some embodiments, the plasma control program may include instructions for using the same RF power levels and/or frequencies and/or exposure times during deposition of the undercoat on the reaction chamber and during deposition of film on substrates.
  • In some embodiments, there may be a user interface associated with system controller 2450. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • In some embodiments, parameters adjusted by system controller 2450 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels and exposure times), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 2450 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 2400. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 2450 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.
  • The system controller will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with the present invention. Machine-readable, non-transitory media containing instructions for controlling process operations in accordance with the present invention may be coupled to the system controller.
  • The various hardware and method embodiments described above may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (such as an amorphous carbon layer) and another suitable hard mask (such as an antireflective layer) may be deposited prior to applying the photoresist.
  • It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed.
  • The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.
  • EXPERIMENTAL
  • Experimental testing has shown that thin ALD-based undercoats are able to minimize metal contamination with performance comparable to much thicker CVD-based undercoats. Further, the ALD-based undercoats resist flaking much better than the CVD-based undercoats, allowing an increased number of substrates to be processed in the reaction chamber between subsequent chamber cleaning operations, thereby increasing throughput. Although the ALD-based undercoats take longer to deposit than CVD-based undercoats, this longer undercoat deposition time is outweighed in certain embodiments by certain throughput-related advantages of the ALD-based undercoats (e.g., increased number of substrates between cleaning operations, no need to wait for chamber to cool down, no need to flush CVD reactants from chamber multiple times, and/or no need to perform a precoating operation).
  • FIG. 7 shows a table relating the concentration of various metals on the surface of a substrate after different deposition processes. In each case, a layer of ALD-based silicon oxide was deposited on a substrate to a thickness of about 250 Å. In the case shown in column A, the film was deposited on a substrate in a clean chamber having no undercoat. The concentration of aluminum detected in the film deposited in a chamber lacking an undercoat was fairly high at 0.24×1010 atoms/cm2. Copper and iron were also present in detectable amounts. In the case shown in column D, the oxide film was deposited on a substrate having a CVD-based undoped silicate glass undercoat having a thickness of about 1 μm.
  • Columns B and C of FIG. 7 relate to films deposited in reaction chambers having ALD-based undercoats as described herein. In column B, the ALD-based undercoat was deposited to a thickness of about 1000 Å (0.1 μm, ten times thinner than the CVD-based undercoat represented in column D), and in column C the ALD-based undercoat was deposited to a thickness of about 2000 Å (0.2 μm, five times thinner than the CVD-based undercoat). Both films showed very low amounts of metal contamination. Neither film showed a detectable amount of aluminum or iron. The concentration of copper was reduced compared to the no undercoat case of column A, and was nearly as low as the CVD-based undercoat case of column D. The 2000 Å thick ALD-based undercoat of column C showed somewhat lower metal contamination than the 1000 Å thick ALD-based undercoat of column B.
  • The results of FIG. 7 suggest that the thin ALD-based undercoats can perform as well as the much thicker CVD-based undercoats in terms of preventing metal contamination.
  • FIG. 8 is a table showing the number of particles of different sizes that were detected on films deposited in a reaction chamber having a 2000 Å thick ALD-based silicon oxide undercoat, and in a reaction chamber having a 2 μm thick CVD-based USG undercoat. In each case, the film deposited on the substrate was a 250 Å thick silicon oxide film. The ALD-based undercoat was deposited under the following conditions: chamber pressure of 1.8 Torr, 0.2 second dose of BTBAS flowing between about 1-2 mL/min, followed by a 0.3 second purge, followed by a 0.25 second application of plasma at an RF power between about 500-2500 W/station while providing a dose of O2 and N2O co-flowing at about 10 SLM each, followed by a post-RF purge lasting about 0.15 second. When considering larger particles (e.g., particles larger than about 0.12 ∥m), both films showed very good (i.e., low) levels of particle formation. When considering smaller particles (e.g., particles greater than about 0.05 μm), the film deposited with a CVD-based undercoat showed somewhat better particle performance. Although the ALD-based film showed higher particle formation at small particle sizes, the results were still within acceptable levels.
  • FIG. 9 is a table similar to FIG. 8, but compares films deposited in reaction chambers having undercoats deposited according to two different ALD-based methods. In each case, the film on the substrate was silicon oxide deposited at about 50° C. to a thickness of about 250 Å. The undercoat in each case was deposited to a thickness of about 2000 Å. In Process A, the undercoat was deposited at an RF power level of about 200 W/station, while in Process B, the undercoat was deposited at an RF power level of about 125 W/station.
  • Both the films shown in FIG. 9 show good particle performance. At particle sizes greater than about 0.05 μm, the particle performance was excellent for both cases, showing lower levels of particle formation than the film deposited in a chamber having a CVD-based undercoat as shown in FIG. 8. These results suggest that thin ALD-based undercoats can result in a resistance to particle formation that is as good or better than thicker CVD-based undercoats.
  • FIGS. 10A and 10B show particle maps for the films described in relation to Process A and Process B of FIG. 9, respectively. The particle maps show the location of particles detected on the substrate surface. Only particles that are larger than 0.04 μm are shown.
  • FIG. 11 is a table showing wafer-to-wafer repeatability/uniformity for films deposited in a reaction chamber having an ALD-based undercoat. In this case, the silicon oxide undercoat was deposited to a thickness of about 2000 Å. The films were deposited on substrates to a thickness of about 260 Å, at a temperature of about 50° C. A total of 25 substrates were processed and tested. The wafer count refers to the order in which the substrates were processed. For example, wafer count 1 is the first substrate that was processed after deposition of the undercoat, and wafer count 25 was the last substrate that was processed. The thickness reported corresponds to the thickness of the particular film deposited. The range corresponds to the difference between the thickest part of the film and the thinnest part of the film. The % NU (1-sigma) and Range % (half range) relate to the degree of non-uniformity in the film as calculated by different standard methods. Overall, the films showed a wafer-to-wafer non-uniformity (half range) of 0.16%. The results in FIG. 11 show that the use of an ALD-based undercoat can result in excellent wafer-to-wafer repeatability.
  • FIG. 12 is a table comparing the performance of a 2 μm thick CVD-based undercoat to that of a 2000 Å (0.2 μm thick) ALD-based undercoat. The ALD-based undercoat was able to achieve a chamber total accumulation limit of 5 μm compared to only 3.7 μm for the CVD-based undercoat. In other words, substantially more film was deposited on the ALD-based undercoat than on the CVD-based undercoat before film flaking was apparent. While the accumulation limit is only about 35% higher in the ALD-based undercoat case, much of the accumulation contributing to the accumulation limit in the CVD-based undercoat case is deposited during formation of the thick CVD-based undercoat. In other words, while the accumulation limit increases by about 35%, the number of substrates that may be processed between subsequent cleaning cycles increases by over 200%. The ALD-based undercoat was able to process about 770 substrates while the CVD-based undercoat was only able to process about 250 substrates. Due to the N2O plasma heating effects described above, twenty dummy wafers were processed in the chamber having the CVD-based undercoat before the chamber was used to deposit on valuable substrates. This dummy wafer deposition was done to help lower the temperature of the reaction chamber to its desired, stable value. Overall, the ALD-based undercoat resulted in an increased throughput compared to the CVD-based undercoat.
  • In some embodiments, an undercoat may be formed at different plasma characteristics (e.g., RF power, RF time and/or RF frequency) as compared to those used during deposition of film on substrates after the undercoat is deposited. For instance, lower levels of RF flux may be used to deposit films (e.g., undercoats and/or film on substrates) having higher wet etch rates. FIG. 13 is a graph showing the wet etch rate ratio (WERR) to thermal oxide in 100:1 water:HF vs. the deposition temperature for low RF and high RF cases. As shown in FIG. 13, the film deposited at lower RF flux showed a higher wet etch rate. One reason that a higher wet etch rate may be desired is that it may correspond to a less compressive, more neutral stress within the film. FIG. 14 shows a graph depicting the wet etch rate ratio to thermal oxide vs. film stress. As shown, an ALD-based SiO2 film with a higher wet etch rate ratio has a substantially neutral stress, while an ALD-based SiO2 film with a lower wet etch rate ratio has a more compressive stress. RF flux may be decreased by using a lower RF power and/or a shorter RF time. Additionally, in certain embodiments a higher level of RF flux may be used to deposit a film (e.g., undercoat and/or film on a substrate) that has a lower wet etch rate and more compressive stress level, which may be desirable in certain applications.
  • In some implementations, the undercoat on the reaction chamber may be deposited at a lower RF flux (RF power and/or RF time) than that used during deposition of film on substrates in the reaction chamber. In other implementations, the undercoat on the reaction chamber may be deposited at a higher RF flux than that used during deposition of film on substrates in the reaction chamber.
  • Similarly, the RF flux may be changed during deposition of an undercoat to gradually change the wet etch rate and stress of the undercoat as it is deposited. For example, an RF flux may be decreased during deposition of the undercoat to gradually increase the wet etch rate and decrease the stress of the undercoat. This gradual decrease in stress may help prevent film flaking and peeling, thereby allowing the chamber to reach a higher chamber accumulation limit between cleaning operations.
  • Moreover, the deposition temperature may change between deposition of an undercoat and deposition of films on substrates, or during deposition of the undercoat. Returning to FIG. 13, lower deposition temperatures result in higher wet etch rate ratios and correspondingly more neutral films. Conversely, higher deposition temperatures result in lower wet etch rates and ratios, and more compressive films. In some embodiments, the undercoat is deposited in a chamber at a higher temperature than the films deposited on substrates in the chamber. In other embodiments, the undercoat may be deposited at lower temperatures than the films deposited on substrates in the chamber. In yet other embodiments, the undercoat is deposited at the same temperature as the films deposited on substrates in the reaction chamber. Also, as mentioned, the temperature may change during deposition of the undercoat. For instance, the temperature may decrease during deposition of the undercoat to form an undercoat that becomes gradually more neutral. Conversely, the temperature may increase during deposition of the undercoat to form an undercoat that becomes gradually more compressive. These gradual changes may help prevent flaking and peeling, and may help the chamber reach a higher chamber accumulation limit between cleaning processes.

Claims (19)

1. A method of treating a reaction chamber for depositing films on a substrate, the method comprising:
(a) removing a first film from interior surfaces of the reaction chamber to clean the reaction chamber;
(b) after (a), depositing an undercoat on the interior surfaces of the reaction chamber by an atomic layer deposition reaction between a first reactant and a second reactant,
wherein operation (b) occurs when there is no substrate present in the reaction chamber; and
(c) after (b), providing the substrate to the reaction chamber and depositing a second film on the substrate by reacting a third reactant and a fourth reactant with one another to form the second film.
2. The method of claim 19, wherein the undercoat is deposited to a thickness between about 0.1-0.5 μm.
3. The method of claim 19, wherein operations (b) is performed isothermally.
4. A reaction chamber ready for depositing films on substrates, comprising:
one or more inlets for introducing vapor phase reactants to the reaction chamber;
one or more outlets for removing vapor phase material from the reaction chamber;
a plasma generator for generating a plasma that is exposed to the reaction chamber; and
an undercoat on the interior surfaces of the reaction chamber, wherein the undercoat is about 0.5 μm thick or less, and wherein the undercoat conformally covers interior surfaces of the reaction chamber.
5. The method of claim 1, wherein depositing the undercoat in (b) comprises exposing the reaction chamber to plasma to drive the atomic layer deposition reaction between the first and second reactants when a flow of at least one of the first and second reactants has ceased.
6. The method of claim 5, wherein depositing the second film in (c) comprises exposing the substrate to plasma to drive a second atomic layer deposition reaction between the third and fourth reactants when a flow of at least one of the third and fourth reactants has ceased, wherein the first and second reactants are the same as the third and fourth reactants, respectively.
7. The method of claim 1, wherein depositing the undercoat in (b) comprises:
(i) introducing a flow of the first reactant in vapor phase into the reaction chamber and allowing the first reactant to adsorb onto the interior surfaces of the reaction chamber;
(ii) introducing a flow of the second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed on the interior surfaces of the reaction chamber; and
(iii) exposing the reaction chamber to plasma when the flow of at least one of the first and second reactants has ceased, in order to drive a reaction between the first and second reactants on the interior surfaces of the reaction chamber to form the undercoat, and wherein the undercoat conformally coats the interior surfaces of the reaction chamber.
8. The method of claim 7, wherein depositing the second film in (c) comprises:
(a) introducing a flow of the third reactant in vapor phase into the reaction chamber and allowing the third reactant to adsorb onto the substrate;
(b) introducing a flow of the fourth reactant in vapor phase into the reaction chamber while the third reactant is adsorbed on the substrate; and
(c) exposing the reaction chamber to plasma when the flow of at least one of the third and fourth reactants has ceased, in order to drive a reaction between the third and fourth reactants to form the second film on the substrate, wherein the first and second reactants are the same as the third and fourth reactants, respectively.
9. The method of claim 1, wherein the undercoat is a material selected from the group consisting of: a carbide material, an oxycarbide material, and a carbonitride material.
10. The method of claim 1, wherein the undercoat is a group 5 metal oxide material.
11. The reaction chamber of claim 1, wherein the undercoat is a material is a noble metal material or a lanthanide-oxide material.
12. The reaction chamber of claim 4, wherein the undercoat is a carbide material.
13. The reaction chamber of claim 4, wherein the undercoat is an oxycarbide material.
14. The reaction chamber of claim 4, wherein the undercoat is a carbonitride material.
15. The reaction chamber of claim 4, wherein the undercoat is a noble metal material.
16. The reaction chamber of claim 4, wherein the undercoat is a lanthanide-oxide material.
17. The reaction chamber of claim 4, wherein the undercoat is a group 5 metal oxide material.
18. The reaction chamber of claim 4, wherein the undercoat is a material selected from the group consisting of: boron doped silicate glass, phosphorus doped silicate glass, and boron phosphorus doped silicate glass.
19. The reaction chamber of claim 4, further comprising a controller comprising instructions for:
depositing the undercoat on the interior surfaces of the reaction chamber through an atomic layer deposition reaction between a first reactant and a second reactant;
receiving a substrate in the reaction chamber after the undercoat is deposited; and
depositing a film on the substrate through a second atomic layer deposition reaction between the first reactant and the second reactant.
US15/650,731 2013-11-25 2017-07-14 Chamber undercoat preparation method for low temperature ald films Abandoned US20170314128A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/650,731 US20170314128A1 (en) 2013-11-25 2017-07-14 Chamber undercoat preparation method for low temperature ald films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/089,653 US9745658B2 (en) 2013-11-25 2013-11-25 Chamber undercoat preparation method for low temperature ALD films
US15/650,731 US20170314128A1 (en) 2013-11-25 2017-07-14 Chamber undercoat preparation method for low temperature ald films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/089,653 Division US9745658B2 (en) 2013-11-25 2013-11-25 Chamber undercoat preparation method for low temperature ALD films

Publications (1)

Publication Number Publication Date
US20170314128A1 true US20170314128A1 (en) 2017-11-02

Family

ID=53182883

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/089,653 Active 2034-09-21 US9745658B2 (en) 2013-11-25 2013-11-25 Chamber undercoat preparation method for low temperature ALD films
US15/650,731 Abandoned US20170314128A1 (en) 2013-11-25 2017-07-14 Chamber undercoat preparation method for low temperature ald films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/089,653 Active 2034-09-21 US9745658B2 (en) 2013-11-25 2013-11-25 Chamber undercoat preparation method for low temperature ALD films

Country Status (5)

Country Link
US (2) US9745658B2 (en)
JP (2) JP2015122486A (en)
KR (2) KR20150060583A (en)
CN (1) CN104651807B (en)
TW (1) TWI644359B (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180087148A1 (en) * 2014-06-06 2018-03-29 Varian Semiconductor Equipment Associates, Inc. Method Of Improving Ion Beam Quality In A Non-Mass-Analyzed Ion Implantation System
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
WO2019118248A1 (en) * 2017-12-15 2019-06-20 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI806010B (en) * 2020-03-30 2023-06-21 日商Ckd股份有限公司 Pulse jet flow adjustment device, pulse jet flow adjustment method, and program
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
WO2015132443A1 (en) * 2014-03-03 2015-09-11 Picosun Oy Protecting an interior of a gas container with an ald coating
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6360770B2 (en) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10316408B2 (en) * 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6144300B2 (en) * 2015-07-16 2017-06-07 東京エレクトロン株式会社 Graphene production method, graphene production apparatus and graphene production system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017098323A (en) * 2015-11-19 2017-06-01 東京エレクトロン株式会社 Plasma etching method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9558939B1 (en) * 2016-01-15 2017-01-31 Atomera Incorporated Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102017100725A1 (en) * 2016-09-09 2018-03-15 Aixtron Se CVD reactor and method for cleaning a CVD reactor
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
EP3532650A4 (en) * 2016-10-25 2020-09-30 Conax Technologies Erosion/corrosion resistant barrier coating
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR102665773B1 (en) * 2016-12-09 2024-05-14 주성엔지니어링(주) Method for cleaning chamber and depositing thin film and substrate treatment apparatus
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR102117945B1 (en) * 2017-02-09 2020-06-02 주식회사 메카로에너지 Fabrication method of anti-reflection thin film by chemical vapor deposition method
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6779165B2 (en) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 Metal contamination prevention method and film forming equipment
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
CN107611000B (en) * 2017-09-21 2018-07-13 北京大学 A kind of the non high temperature diffusion doping apparatus and method of plasma excitation
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
CN108385088A (en) * 2018-04-16 2018-08-10 扬州大学 A kind of preparation method of TiSiO composite optical wave guides film
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
KR20210016476A (en) * 2018-06-29 2021-02-15 램 리써치 코포레이션 Oxidative conversion in the atomic layer deposition process
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
JP2022514171A (en) * 2018-10-19 2022-02-10 ラム リサーチ コーポレーション In situ protective coating for chamber components for semiconductor processing
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
CN109904473B (en) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 Atomic layer deposition equipment and method for preparing battery catalyst by using same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114051541A (en) * 2019-06-26 2022-02-15 朗姆研究公司 Propagation by in situ passivation chamber accumulation
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129627B (en) * 2019-06-28 2022-05-31 Beneq Oy Atomic layer deposition apparatus
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
US20220216060A1 (en) * 2019-10-08 2022-07-07 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN111172511A (en) * 2020-01-17 2020-05-19 胜科纳米(苏州)有限公司 Method for preparing metal film layer on surface of organic material
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
JP2022098040A (en) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 Method for treating substrate
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117153672B (en) * 2023-11-01 2024-01-26 粤芯半导体技术股份有限公司 Dielectric layer and manufacturing method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040023516A1 (en) * 2001-10-02 2004-02-05 Londergan Ana R. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US20050214455A1 (en) * 2004-03-26 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20080066677A1 (en) * 2006-05-23 2008-03-20 Yuichiro Morozumi Semiconductor manufacturing system

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6327623B2 (en) * 1997-05-30 2001-12-04 Texas Instruments Incorporated Computer system with environmental detection
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
KR100323874B1 (en) * 1999-12-22 2002-02-16 박종섭 Method of forming an aluminum oxide film in a semiconductor device
KR100375102B1 (en) 2000-10-18 2003-03-08 삼성전자주식회사 Method for CVD and apparatus for performing the same in semiconductor device processing
DE10130340A1 (en) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Web tension control device for corrugated cardboard plant
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
WO2004044970A1 (en) * 2002-11-11 2004-05-27 Hitachi Kokusai Electric Inc. Substrate processing device
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR100519798B1 (en) 2003-12-11 2005-10-10 삼성전자주식회사 method of forming a thin film having enhanced productavity
KR100557673B1 (en) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 Method for seasoning plasma equipment
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US8451582B2 (en) * 2004-07-23 2013-05-28 Sundew Technologies, Llc Capacitors with high energy storage density and low ESR
US7449416B2 (en) 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4492963B2 (en) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 Thin film deposition method, vapor phase growth apparatus, program
KR20080047543A (en) 2005-08-31 2008-05-29 스미또모 가가꾸 가부시끼가이샤 Transistor, organic semiconductor device, and method for manufacture of the transistor or device
EP2541176A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Fluid delivery system for an atmospheric furnace used for treating one or more articles
JP4476232B2 (en) 2006-03-10 2010-06-09 三菱重工業株式会社 Seasoning method for film forming apparatus
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
JP5201934B2 (en) * 2007-10-10 2013-06-05 東京エレクトロン株式会社 Method for reducing metal contamination of substrate processing apparatus
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5514129B2 (en) * 2010-02-15 2014-06-04 東京エレクトロン株式会社 Film forming method, film forming apparatus, and method of using film forming apparatus
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TW201210058A (en) * 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP2012216696A (en) * 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc Substrate processing apparatus and semiconductor device manufacturing method
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103243310B (en) 2012-02-14 2017-04-12 诺发***公司 Method for plasma activated conformal film deposition on substrate surface
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9123651B2 (en) * 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040023516A1 (en) * 2001-10-02 2004-02-05 Londergan Ana R. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US20050214455A1 (en) * 2004-03-26 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20080066677A1 (en) * 2006-05-23 2008-03-20 Yuichiro Morozumi Semiconductor manufacturing system

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180087148A1 (en) * 2014-06-06 2018-03-29 Varian Semiconductor Equipment Associates, Inc. Method Of Improving Ion Beam Quality In A Non-Mass-Analyzed Ion Implantation System
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
WO2019118248A1 (en) * 2017-12-15 2019-06-20 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11365479B2 (en) 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI806010B (en) * 2020-03-30 2023-06-21 日商Ckd股份有限公司 Pulse jet flow adjustment device, pulse jet flow adjustment method, and program

Also Published As

Publication number Publication date
JP2021022752A (en) 2021-02-18
KR20150060583A (en) 2015-06-03
CN104651807B (en) 2017-11-28
JP2015122486A (en) 2015-07-02
US9745658B2 (en) 2017-08-29
TWI644359B (en) 2018-12-11
CN104651807A (en) 2015-05-27
TW201526105A (en) 2015-07-01
KR20230039625A (en) 2023-03-21
US20150147482A1 (en) 2015-05-28

Similar Documents

Publication Publication Date Title
US20170314128A1 (en) Chamber undercoat preparation method for low temperature ald films
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10008428B2 (en) Methods for depositing films on sensitive substrates
US10037884B2 (en) Selective atomic layer deposition for gapfill using sacrificial underlayer
KR20230145004A (en) Method and apparatus for reduction of defectivity in vapor deposited films
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9966255B2 (en) Method of densifying films in semiconductor device
US20230002887A1 (en) In-situ pecvd cap layer
TW202340510A (en) Atomic layer deposition pulse sequence engineering for improved conformality for low temperature precursors
TW202418351A (en) Surface inhibition atomic layer deposition

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION