TWI785168B - 基板處理方法 - Google Patents

基板處理方法 Download PDF

Info

Publication number
TWI785168B
TWI785168B TW107145558A TW107145558A TWI785168B TW I785168 B TWI785168 B TW I785168B TW 107145558 A TW107145558 A TW 107145558A TW 107145558 A TW107145558 A TW 107145558A TW I785168 B TWI785168 B TW I785168B
Authority
TW
Taiwan
Prior art keywords
processing
substrate
sensor data
sensor
product
Prior art date
Application number
TW107145558A
Other languages
English (en)
Other versions
TW201935606A (zh
Inventor
望月宏朗
木下忍
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201935606A publication Critical patent/TW201935606A/zh
Application granted granted Critical
Publication of TWI785168B publication Critical patent/TWI785168B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Robotics (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本發明之課題為,令複數之處理容器的狀態差異在容許範圍內,而在複數的處理容器之間達到產品基板之處理的均一性。 為解決上述課題,本發明提供一種基板處理方法,包含: 調整步驟,參照儲存有「從在基板處理裝置所包含的複數之處理容器以同一處理條件處理第一測試用基板時於各處理容器輸出入之感測器資料所產生」的感測器資料之推定模式的儲存部,而調整各處理容器之裝置參數,以使感測器資料和感測器理想值的偏離在容許範圍內; 搬運步驟,在未事先指定搬運目的地之處理容器的情況下,將產品基板連續搬運至複數之處理容器;及 處理步驟,當產品基板被搬運至任一處理容器時,依據調整後之任一處理容器的裝置參數,而一面調整在被搬運了產品基板之處理容器輸出入的感測器資料,一面進行產品基板之處理。

Description

基板處理方法
本發明有關一種基板處理方法。
自以往,有人根據配方進行晶圓處理,並且對於晶圓處理之結果(例如CD值等)與期望值的偏離,在次一晶圓或下一批次進行前饋與回饋控制。例如,在具有複數之處理容器的基板處理系統中,各處理容器之內部的狀態不同。因此,專利文獻1揭示了下述技術:在處理產品晶圓之前後,以量測器測量產品晶圓之特性,並且為了調整量測值和目標值之差異,而一面將和目標值之差異反映在處理配方之設定值,一面進行前饋與回饋控制。
又,專利文獻2揭示:於使用同一處理配方在不同之處理容器進行處理的情形,具有「事先將用以進行預定之處理的各步驟加以程式化,並將製程條件參數化而得」的製造用處理配方,並且儲存用以「根據依調整用處理配方對調整用產品基板進行預定之處理時得到的調整用處理結果,修正每個處理容器各自之參數」的調整用參數。 [先前技術文獻] [專利文獻]
[專利文獻1]日本特開2011-3712號公報 [專利文獻2]日本特開2005-333075號公報
[發明欲解決之課題]
然而,在依據處理配方進行晶圓處理時,因為處理容器隨著時間產生變化,故內部狀態在各處理容器之間出現性能差異。在此狀況下,若只是將對應於處理過之晶圓之個別差異的配方參數或調整用參數進行修正,由於處理容器之間有性能差異,因此有時候晶圓處理之結果會不如預期。
例如,對包含於同一批次的複數片晶圓,吾人希望以批次單位得到均一的處理結果。但若是叢集型之基板處理系統,並無法指定在哪一個處理容器對批次內的複數片晶圓進行處理。因此,對於同一批次的複數片晶圓,當依據處理配方在不同的處理容器中進行同一晶圓處理時,因為處理容器之個別差異、或是隨著時間發生劣化之程度不同,以致晶圓處理之結果容易因為接受搬運之處理容器而產生差異,故有時候晶圓處理之結果會不如預期。
對於上述課題,就一個面向而言,本發明之目的為:令複數之處理容器的狀態差異在容許範圍內,而使得產品基板在複數之處理容器的處理達到均一性。 [解決課題之手段]
為解決上述課題,依本發明之一態樣提供一種基板處理方法,其使用具有進行基板處理的複數之處理容器的基板處理裝置;包含: 調整步驟,參照儲存有「從在該複數之處理容器以同一處理條件處理第一測試用基板時於各處理容器輸入或輸出之感測器資料所產生」的感測器資料之推定模式的儲存部,而調整各處理容器之裝置參數,以使該輸入或輸出之感測器資料和感測器理想值的偏離在容許範圍內; 搬運步驟,在未事先指定搬運目的地之處理容器的情況下,將產品基板連續搬運至複數之處理容器;及 處理步驟,當該產品基板在該搬運步驟中被搬運至任一處理容器時,依據在該調整步驟中被調整後之該任一處理容器的裝置參數,而調整在該被搬運了產品基板之處理容器輸入或輸出的感測器資料,並且進行該產品基板之處理。 [發明之效果]
依本發明之一面向,能夠令複數之處理容器的狀態差異在容許範圍內,而使得產品基板在複數之處理容器的處理達到均一性。
以下參照圖式,針對用以實施本發明之態樣進行說明。又,在本說明書及圖式中,對於實質上相同之構成,藉由標註同一符號以省略重複之說明。
[基板處理裝置] 首先,一面參照圖1,一面針對依本發明之一實施態樣的基板處理裝置300進行說明。圖1係顯示基板處理裝置300之一例的水平剖面圖。基板處理裝置300係包含四個處理單元CA、CB、CC、CD的多腔室型之真空處理系統。該等處理單元分別藉由閘閥G連接於平面形狀呈七角形的真空搬運室301之四個壁部。真空搬運室301內係以真空泵排気,而保持在預定之真空度。
在本實施態樣中,基板處理裝置300在未事先指定搬運目的地之處理單元的情況下進行「OR搬運」,其並列使用處理單元CA~CD,而將作為產品基板之一例的複數之產品晶圓W連續搬運至任一處理單元。然後,在搬運了產品晶圓W的複數之處理單元中,以同一處理條件進行蝕刻、成膜、灰化等之預定處理。
在真空搬運室301之其他三個壁部,則藉由閘閥G1連接有三個真空預備室302。夾隔著真空預備室302,而在真空搬運室301之相反側設有大氣搬運室303。三個真空預備室302係藉由閘閥G2連接於大氣搬運室303。在大氣搬運室303與真空搬運室301兩者之間搬運晶圓之際,真空預備室302將壓力控制在大氣壓力與真空兩者之間。
在大氣搬運室303其中和安裝有真空預備室302之壁部相反一側的壁部,設置有供收納晶圓之載具(FOUP等)C安裝的三個載具安裝埠305。又,在大氣搬運室303之側壁設有進行晶圓對準之對準腔室304。在大氣搬運室303內形成潔淨空氣之降流。
在真空搬運室301內設置搬運機構306。搬運機構306對處理單元CA~CD、真空預備室302搬運晶圓。搬運機構306具備可獨立移動之兩個搬運臂307a、307b。
在大氣搬運室303內設置搬運機構308。搬運機構308對於載具C、真空預備室302、及對準腔室304搬運晶圓。
基板處理裝置300具備整體控制部5。整體控制部5係連接於控制部1~4,並且以和控制部1~4協同之方式對於基板處理裝置300之各構成零件進行控制。就基板處理裝置300之各構成零件而言,有真空搬運室301之排氣機構、氣體供給機構及搬運機構306、真空預備室302之排氣機構及氣體供給機構、大氣搬運室303之搬運機構308、閘閥G、G1、G2之驅動系統等。
控制部1連接於處理單元CA,而對處理單元CA之各構成零件進行控制。控制部2連接於處理單元CB,而對處理單元CB之各構成零件進行控制。控制部3連接於處理單元CC,而對處理單元CC之各構成零件進行控制。控制部4連接於處理單元CD,而對處理單元CD之各構成零件進行控制。
整體控制部5具有CPU(電腦)、輸入裝置(鍵盤、滑鼠等)、輸出裝置(列印機等)、顯示裝置(顯示器等)、儲存裝置(儲存媒體)。整體控制部5係依據例如內建於儲存裝置之儲存媒體、或設定於儲存裝置之儲存媒體所儲存的處理配方,而使得基板處理裝置300執行預定之動作。又,整體控制部5為各處理單元之控制部1~4的上位之控制部亦可。
接著,針對如上構成之基板處理裝置300的動作進行說明。以下之處理動作係由整體控制部5之CPU依據處理配方所設定的順序而執行。首先,以搬運機構308從連接於大氣搬運室303之載具C取出晶圓,並且經由對準腔室304之後,打開任一真空預備室302之閘閥G2,而將該晶圓搬入至該真空預備室302之內。關閉閘閥G2之後,將真空預備室302內進行真空排氣。
在該真空預備室302達到預定之真空度的時間點打開閘閥G1,而藉由搬運機構306之搬運臂307a或307b從真空預備室302取出晶圓。
然後,整體控制部5在未事先指定搬運目的地之處理單元的情況下,將取出後之晶圓連續搬運至處理單元CA~CD當中空下來的處理單元而進行OR搬運。藉此,基板處理裝置300將複數之晶圓搬運至複數之處理單元,而以同一條件同時並行地進行同一處理。
當OR搬運的搬運目的地之處理單元CA~CD決定時,便打開該處理單元之閘閥G,而將由搬運機構306之任一搬運臂所固持的晶圓搬入至該處理單元,並使得空下來之搬運臂回到真空搬運室301。隨之關閉閘閥G,而在處理單元內進行預定處理。
在晶圓的預定處理結束之後,便打開該處理單元的閘閥G,並且以搬運機構306之搬運臂307a或307b搬出其中的晶圓。其後,打開任一真空預備室302之閘閥G1,並且將搬運臂上之晶圓搬入至該真空預備室302內。然後,使該真空預備室302內回到大氣壓力,並打開閘閥G2,而藉由搬運機構308將真空預備室302內之晶圓送回到載具C。藉由對複數之晶圓同時並行地進行如上述的處理,而結束預定片數之晶圓的處理。
[處理單元] 接著,一面參照圖2,一面對處理單元CA~CD之構成進行說明。圖2係顯示依一實施態樣的處理單元之一例的圖式。在本實施態樣中,由於處理單元CA~CD及控制部1~4之構成為同一構成,因此說明處理單元CA及控制部1之構成及動作,而省略對於其他處理單元CB~CD及控制部2~4之構成及動作的說明。又,作為處理單元之一例,舉電容耦合型之電漿蝕刻裝置為例進行說明。
作為處理單元CA之一例的電漿蝕刻裝置具有圓筒形之處理容器10。處理容器10由例如表面經過滲鋁處理(陽極氧化處理)之後的鋁構成,並且處理容器10接地。
在處理容器10之內部設有載置台20。載置台20具有例如鋁或鈦之基座。在載置台20之基座上設有靜電夾頭21,靜電夾頭21係在絕緣片之間夾入由導電膜構成之吸附電極22而成。藉由從直流電源14施加直流電壓到吸附電極22以產生庫侖力,並且以該庫侖力將晶圓W吸附固持在靜電夾頭21上。在靜電夾頭21之外周部上且晶圓W之周緣部,配置例如由矽構成之對焦環23,藉以提高蝕刻之面內均一性。
載置台20經由匹配器11連接了用以激發電漿之高頻電源12。載置台20經由匹配器而連接用以將電漿中之離子引入至晶圓的第二高頻電源亦可。例如,高頻電源12將適合用以在處理容器10內產生電漿之頻率例如60MHz的射頻功率施加到載置台20。又,第二高頻電源將適合用以把電漿中之離子引入至晶圓之頻率例如13.56MHz的射頻功率施加到載置台20亦可。以此方式,載置台20載置晶圓,並且發揮作為下部電極之功能。
於處理容器10之頂棚部,在環狀的絕緣構件40之內周側,以閉塞住頂棚部之方式設有氣體噴淋頭25作為接地電位之上部電極。藉此,把來自高頻電源12之射頻功率電容性施加到載置台20與氣體噴淋頭25兩者之間。
在氣體噴淋頭25形成有氣體擴散室50、氣體供給管55及其前端的複數之氣體通氣孔28。氣體供給源15係藉由MFC16(質量流量控制器),而將一定流量之處理氣體經由氣體供給配管45供給到氣體噴淋頭25內。氣體係在氣體擴散室50內擴散開來,並且通過氣體供給管55而從複數之氣體通氣孔28被導入至處理容器10內。
在處理容器10之底部設有形成排氣口的排氣管60,排氣管60連接於排氣裝置61。排氣裝置61由渦輪分子泵或乾式泵等之真空泵構成,其將處理容器10內之處理空間減壓到預定之真空度,並且將處理容器10內之氣體引導至排氣口而排氣。在真空泵之排氣方向上游側設置自動壓力控制(APC:Adaptive Pressure Control)閥,其藉由對排氣路徑之氣導值進行自動調節,以調節處理容器10內之壓力。例如,於電漿蝕刻裝置中,在處理時,一面以MFC16將一定流量之處理氣體供給到處理容器10內,一面以APC閥調節排氣路徑之氣導值,並且控制在所希望之處理壓力。
在靜電夾頭21之基座形成有冷媒流路24。藉由使得從急冷單元輸出的預定溫度之冷媒在形成於基座之冷媒流路24循環,可將晶圓W之溫度控制在預定之溫度。又,藉由在靜電夾頭21埋設加熱器,並且從交流電源將交流電壓施加到加熱器,以將晶圓W之溫度調整到預定之溫度亦可。進而,藉由將傳熱氣體供給到靜電夾頭21的頂面與晶圓W的背面兩者之間,以將晶圓W之溫度調整到所希望之溫度亦可。
載置台20係藉由升降機構13進行升降。藉此,可依製程而調整載置台20與氣體噴淋頭25之間的間隙g。
控制部1具有未圖示之CPU、ROM(Read Only Memory)、RAM(Random Access Memory),依照由儲存於RAM等之處理配方所設定的順序,而控制對於晶圓W進行之蝕刻處理。又,控制部1對處理單元CA之裝置參數進行管理,並控制對於處理單元內之各構成零件的輸出入資料(輸出入信號)。
在具有此種構成之處理單元進行蝕刻等預定處理之際,首先使升降機構13升降,並且依製程而對載置台20與氣體噴淋頭25之間的間隙g進行調整。
接著,打開處理單元之閘閥G,並且令搬運機構306之搬運臂307a或307b從開口62進入到處理單元CA內,而將晶圓W搬入至處理單元。
晶圓W係以未圖示的推桿銷固持在靜電夾頭21之上方,並藉由使推桿銷下降而載置到靜電夾頭21上。閘閥G在搬入晶圓W之後便關閉。處理容器10內之壓力係藉由排氣裝置61減壓至設定值。氣體係從氣體噴淋頭25呈噴淋狀地被導入至處理容器10內。又,將預定之射頻功率施加到載置台20。另外,從直流電源14對於靜電夾頭21之吸附電極22施加直流電壓。藉此,晶圓W因為靜電而吸附於靜電夾頭21上。
導入後之氣體係藉由射頻功率而電漿化,並以該電漿對晶圓W進行蝕刻等之預定處理。在電漿蝕刻結束之後,晶圓W被搬運臂307a或307b固持,而搬出到處理容器10之外部。藉由在處理單元CA~CD並行地重複進行預定處理,以連續處理晶圓W。
控制部1係依據裝置參數之設定,而對處理單元CA之輸出入資料進行控制。裝置參數係射頻功率之控制裝置、壓力控制裝置、氣體控制裝置、溫度控制裝置、直流電壓控制裝置、位置控制裝置其中至少一個控制裝置之參數。
作為射頻功率之控制裝置的一例,可舉例如高頻電源12及匹配器11。作為壓力控制裝置的一例,可舉例如排氣裝置61(例如APC)。作為氣體控制裝置的一例,可舉例如MFC16。作為溫度控制裝置的一例,可舉例如急冷單元、加熱器及傳熱氣體供給機構。
作為直流電壓控制裝置的一例,可舉例如直流電源46。作為位置控制裝置的一例,可舉例如升降機構13。又,在設有可使對焦環23升降之升降機構時,該升降機構為位置控制裝置的一例。
裝置參數係每個處理單元各自具有之處理單元內的構成零件之參數,控制部1~4對裝置參數之設定值進行調整。藉此,可藉由裝置參數之調整,而將每個處理單元各自的性能差異或隨著時間發生劣化之情形加以吸收。藉此,使用者將無需改變處理配方之設定,而減輕使用者之負擔。
例如,處理單元CA~CD由於每個處理單元各自的處理時間或輸入功率不同,因此處理容器10內隨著時間產生變化的程度係每個處理單元各不相同。又,由於基本上每個處理單元各自的設備存在個別差異,因此處理單元CA~CD之內部狀態不同。
另一方面,在OR搬運中,由於並行使用複數之處理單元,因此使得「在內部狀態不同之搬運目的地的處理單元中以同一處理條件進行的晶圓處理之結果」成為大致相同的特性,係屬重要。
因此,整體控制部5在記憶體儲存了感測器資料之推定模式,該推定模式係從「整體控制部5和控制部1~4協同,而在複數之處理單元CA~CD以同一處理條件處理第一擋片晶圓時在各處理單元進行輸出入之感測器資料」產生。又,整體控制部5參照所儲存的感測器資料之推定模式,而將各處理單元之裝置參數調整成使得各處理單元之感測器資料和感測器理想值的差異在容許範圍內。另外,感測器資料之推定模式可儲存於整體控制部5內之記憶體(儲存部),亦可儲存於和整體控制部5連接的雲端電腦內之儲存裝置。
然後,整體控制部5依調整後之裝置參數及處理配方,對產品晶圓W執行預定處理。亦即,整體控制部5在被搬入產品晶圓W之任一處理單元中,一面依據該任一處理單元用之裝置參數而調整輸入或輸出的感測器資料,一面按照處理配方之順序而處理產品晶圓W。藉此,即使在未事先指定搬運目的地之處理單元而將產品晶圓W連續搬運至複數之處理單元的OR搬運中,仍可依照每個處理單元各自的裝置參數而調整輸出入資料。因此,不論產品晶圓W被搬運至哪一個處理單元,均可將對於產品晶圓W的預定處理之執行結果控制成包含在容許範圍內,而無關乎每個處理單元各自的性能差異。
感測器資料包含由配置在各處理單元之各感測器檢測出來而由控制部1~4輸入的資料。又,作為控制部1~4輸出入的資料,感測器資料包含光學上的資料、電性上的資料、實體上的資料其中至少任一者。
作為光學上的資料之一例,可舉例如發光強度之資料。例如,在處理容器10安裝有發光感測器72,該發光感測器72可通過石英窗64而測定處理容器10內之電漿中的各波長之發光強度。發光感測器72檢測得到之電漿中的各波長之發光強度的資料儲存在控制部1之記憶體(儲存部)。
作為電性上的資料之一例,可舉例如高頻電源12輸出之射頻功率、Vpp電壓、Vdc電壓、匹配器之控制資料等。
作為實體上的資料之一例,可舉例如溫度感測器70檢測得到之溫度資料、壓力感測器71檢測得到之壓力資料、以及MFC16控制之氣體流量。又,作為實體上的資料之其他例子,可舉例如藉由升降機構13調整的載置台20之高度資料(間隙g之控制資料)等。
[裝置參數調整處理] 接著,一面參照顯示出裝置參數調整處理之一例的圖3之流程圖,一面針對依一實施態樣的裝置參數調整處理之一例進行說明。本處理係以預定之間隔重複進行。作為預定之間隔,較佳係以例如一週一次等之方式定期進行。但不限於此,不定期地進行複數次亦可。本處理係以控制部1~4或整體控制部5執行。在本實施態樣中,舉出以整體控制部5執行之例子來進行說明。
當開始本處理時,整體控制部5判斷是否已將第一擋片晶圓搬入(步驟S10)。整體控制部5在判斷為尚未將第一擋片晶圓搬入時,便結束本處理。另一方面,整體控制部5在判斷為已將第一擋片晶圓搬入時,則從已被搬入第一擋片晶圓的處理單元之感測器取得感測器資料(步驟S12)。又,本實施態樣中之第一擋片晶圓係在複數之處理單元以同一處理條件處理的第一測試用基板之一例。
接下來,整體控制部5將所取得之感測器資料、測定時刻、感測器種類及處理單元名稱加以儲存在記憶體內之表格(步驟S14)。接著,整體控制部5計算出所取得之感測器資料與感測器理想值兩者的差異量Δ(步驟S16)。然後,整體控制部5依差異量Δ而調整裝置參數(步驟S18),再結束本處理。
[表1]
Figure 107145558-A0304-0001
在此,將以預定之間隔重複進行本處理的結果,亦即儲存於記憶體(儲存部)之表格的資訊之一例顯示於前述的表1。表1顯示依一實施態樣之感測器資料的推定模式之表格的一例。另外,將感測器資料的推定模式之一例顯示於圖4。表格中儲存了測定時刻、感測器資料、感測器種類、處理單元名稱之各種資訊。感測器種類之A、B係以例如處理單元CA之溫度感測器70及處理單元CB之溫度感測器70的方式,就每個感測器逐一儲存。感測器資料則顯示儲存了以各處理單元CA、CB之溫度感測器70檢測得到之溫度資料的例子。處理單元名稱儲存了設置有溫度感測器70或壓力感測器71之處理單元名稱。至於測定時刻,設定以感測器測定得到之時刻亦可,設定取得感測器資料之時刻或儲存感測器資料之時刻亦可。
將表1所示表格之感測器資料的推定模式之資訊加以圖表化而得者即為圖4。如圖4所示,顯示每個感測器種類各自的感測特性之時間性推移的模式(感測器資料之推定模式)的圖表得以產生。在表1及圖4之例子中,處理單元CA及處理單元CB係從感測器A及感測器B所檢測得到的感測器資料(測定值)之時間性推移,產生感測器A的感測器資料之推定模式、及感測器B的感測器資料之推定模式。
但是,圖4之圖表只是一例,並不限於處理單元CA、CB。例如,將第一擋片晶圓搬入到其他處理單元CC、CD,並且從以同一條件及同一順序對產品晶圓W進行預定處理之後的感測器資料產生推定圖表亦可。
就產生之推定圖表,計算出各感測器A、B之測定值相對於感測器理想值的差異量。例如,對於感測器A,計算出測定值A1、A2、A3、A4各者與感測器理想值的差異量Δ1、Δ3、Δ5、Δ7。對於感測器B,計算出測定值B1、B2、B3、B4各者與感測器理想值的差異量Δ2、Δ4、Δ6、Δ8。計算出來之差異量Δ1~Δ8顯示,來自各感測器之測定值和所希望之理想值的距離有多少。
例如,在處理單元或各構成零件為新品時,將感測器A、B之裝置參數設定為「100」,此時感測器A、B之感測器資料係輸出了「100」。此時,感測器資料之測定值和感測器理想值一致。
然後,依處理單元本身及處理單元內之各構成零件隨著處理單元之使用時間而發生劣化,在裝置參數為「100」時,感測器A、B之感測器資料變成了輸出「99」。此時,當把裝置參數調整為「101」時,感測器A、B之感測器資料可輸出「100」。以此方式,在不變更處理配方之設定的情況下,依處理單元或各構成零件之劣化,而對裝置參數之設定值進行調整。
一面參照圖5,一面針對使用了以此方式所調整之裝置參數、及處理配方的產品晶圓W之蝕刻等的基板處理方法進行說明。圖5係顯示依本實施態樣之基板處理之一例的流程圖。以下,舉控制部1執行本處理之情形為例進行說明,但不限於此,可由各個控制部1~4並行而執行本基板處理。又,本處理係由整體控制部5執行亦可。
當開始本處理時,首先,控制部1判斷是否已搬入產品晶圓W(步驟S20)。控制部1在判斷為尚未將產品晶圓W搬入時,便結束本處理。另一方面,控制部1在判斷為已將產品晶圓W搬入時,則依據處理配方及調整後之裝置參數,而將處理單元對於產品晶圓W之預定處理進行控制(步驟S22),並且結束本處理。
若僅僅依產品晶圓W之個別差異而對於處理配方之設定值進行修正,則依處理單元之間的性能差異或劣化程度的差異,有時候產品晶圓W之處理結果不會如同所希望之精度。
相對於此,依本實施態樣,使用調整後之裝置參數而控制對於各感測器之輸出入資料。例如,可藉由裝置參數之設定值的調整,而將從高頻電源12輸出之射頻功率控制在射頻功率的理想值之容許範圍。至於對於配置在其他處理單元各者之各構成零件的輸出入資料,同樣可控制在各自的理想值之容許範圍。
藉此,在產品晶圓W被搬入到複數之處理單元的任一而進行OR搬運時,可依調整後之裝置參數,而將對於各感測器或控制裝置之輸出入資料設定成距離感測器理想值在容許範圍內的值。其結果,即使被搬運至任一處理單元,仍可藉由裝置參數之設定值的調整來吸收處理單元等之性能的差異,並且大致均一地執行產品晶圓W在各處理單元中的處理。又,由於不變更處理配方而僅調整裝置參數,因此可消除使用者變更處理配方時的負擔。
圖6顯示依本實施態樣之感測器資料的處理單元及感測器各自之性能調整結果的一例。圖6(a)顯示,以往不調整裝置參數而在處理單元執行預定處理之後的處理單元CA、CB各自的感測器A~E之感測器資料取得的範圍之一例。圖6(b)顯示,如本實施態樣般地調整裝置參數之後的處理單元CA、CB各自的感測器A~E之感測器資料取得的範圍之一例。在本例中,處理單元CA、CB之任一者均配置有感測器A~E,但是配置於處理單元CA、CB之感測器並非全部相同亦可。
如此一來,藉由依感測器A~E之測定值而調整裝置參數,可吸收感測器A~E之差異,並且使複數之處理單元的特性一致。但是,以裝置參數進行之調整並不限於此。可藉由調整全部之處理單元CA~CD及全部之感測器的裝置參數,而使得全部之處理單元的特性一致。藉此,在OR搬運中,即使是將產品晶圓W搬運至任一處理單元的情形,仍可藉由各處理單元之裝置參數而調整輸出入資料,以使得複數之處理單元CA~CD之狀態差異在容許範圍內,而達到產品晶圓W在複數之處理單元CA~CD中的處理達到均一性。
[裝置參數調整處理] 接著,一面參照顯示出裝置參數調整處理之變形例一例的圖7之流程圖,一面針對依本實施態樣之變形例的裝置參數調整處理之一例進行說明。本處理係在任一時間點進行皆可。本處理係藉由控制部1~4或整體控制部5來執行。在本實施態樣中,以藉由整體控制部5來執行的情形為例而進行說明。
當開始本處理時,整體控制部5判斷是否已將緊接於搬入產品晶圓W之前的第二擋片晶圓搬入(步驟S30)。整體控制部5在判斷為尚未將緊接於搬入產品晶圓W之前的第二擋片晶圓搬入時,便結束本處理。另一方面,整體控制部5在判斷為已將緊接於搬入產品晶圓W之前的第二擋片晶圓搬入時,則從已被搬入該第二擋片晶圓之處理單元的感測器取得感測器資料(步驟S32)。又,第二擋片晶圓係在複數之處理單元以同一處理條件進行處理的第二測試用基板之一例。
接下來,整體控制部5參照感測器資料的推定模式之表格,而計算出取得之感測器資料與感測器理想值兩者的差異量Δ(步驟S34)。例如,參照圖8之從測定值A1及A5求得的感測器資料之推定模式而取得的感測器資料是預測值a1~a12其中任一者而非測定值時,計算出和取得之感測器資料對應的a1~a12其中任一預測值與感測器理想值兩者的差異量Δ。
接著,整體控制部5依差異量Δ而進行裝置參數之調整(步驟S36),並且結束本處理。藉此,可使用第二擋片晶圓,將在緊接於產品晶圓W的處理之前所測定到之感測器資料、與感測器理想值兩者的差異量加以求出,並且從差異量之推定值進行裝置參數之調整。又,此時之差異量並非實測值,而是推定值。在本變形例中,同樣以圖5所示之基板處理方法對產品晶圓W執行預定處理。
以上,依本變形例,在複數之處理單元以同一處理條件對第二擋片晶圓進行了處理時,從在各處理單元輸入或輸出之感測器資料、與各處理單元的感測器資料之推定模式,調整各處理單元之裝置參數。尤其,相對於在上述實施態樣之第一擋片晶圓之處理所得到的實測值(測定值)之感測器資料的推定模式之直線資料,在本變形例中,取得之感測器資料並非測定值而是其間之預測值的情形,同樣可推定感測器資料與感測器理想值兩者之差異量,並且可從差異量之推定值即時地進行裝置參數之調整。
藉此,在產品晶圓W被搬入至複數之處理單元的任一而進行OR搬運時,可依調整後之裝置參數,而將各感測器之輸出入資料設定成距離感測器理想值在容許範圍之內。其結果,即使被搬運至任一處理單元時,仍可藉由裝置參數之調整來吸收處理單元及構成零件之性能的差異,可防止產品晶圓W在各處理單元之處理的結果產生差異,而達到處理之均一化。又,由於不變更處理配方而僅調整裝置參數,因此可消除使用者變更處理配方時的負擔。
又,依圖7之變形例的裝置參數調整處理,係緊接於將產品晶圓W連續搬運至複數的處理單元之前,先處理第二擋片晶圓,並且將各處理單元之裝置參數進行調整。然而,依變形例之裝置參數調整處理的第二擋片晶圓,並不限於緊接於將產品晶圓W連續搬運至複數的處理單元之前的擋片晶圓。例如,第二擋片晶圓係緊接於將產品晶圓W連續搬運至複數的處理單元之前距離預定片數之前(例如數片之前)的擋片晶圓亦可。
又,依本實施態樣及本變形例的裝置參數調整處理,係在基板處理裝置300啟動之後且產品晶圓W之處理開始時、各處理單元維修之後且產品晶圓W之處理開始時、以及於各處理單元處理預定片數的產品晶圓W之後或者於各處理單元對產品晶圓W處理預定時間之後的至少任一時間點執行亦可。
如以上說明,依本實施態樣及變形例的基板處理方法,可令複數之處理容器的狀態差異在容許範圍內,而使得產品基板在複數之處理容器的處理達到均一性。
以上,藉由上述實施態樣對基板處理方法進行了說明,但是依本發明之基板處理方法並不限於上述實施態樣,可在本發明之範圍內進行各種變形及改良。上述複數之實施態樣所記載的事項,可在不產生矛盾之範圍內進行組合。
依本發明之基板處理裝置,在Capacitively Coupled Plasma(CCP,電容耦合式電漿)、Inductively Coupled Plasma(ICP,感應耦合式電漿)、Radial Line Slot Antenna(RLSA,輻射線槽孔天線)、Electron Cyclotron Resonance Plasma(ECR,電子迴旋共振電漿)、Helicon Wave Plasma(HWP,螺旋微波電漿)其中任一類型均可適用。
在本說明書中,以產品晶圓為產品基板之一例而進行了說明。但是,產品基板並不限於此,為使用於LCD(Liquid Crystal Display)、FPD(Flat Panel Display)之各種基板、CD基板、印刷電路板等亦可。
1~4‧‧‧控制部 5‧‧‧整體控制部 10‧‧‧處理容器 11‧‧‧匹配器 12‧‧‧高頻電源 13‧‧‧升降機構 14‧‧‧直流電源 15‧‧‧氣體供給源 16‧‧‧MFC 20‧‧‧載置台 21‧‧‧靜電夾頭 22‧‧‧吸附電極 23‧‧‧對焦環 24‧‧‧冷媒流路 25‧‧‧氣體噴淋頭 28‧‧‧氣體通氣孔 40‧‧‧絕緣構件 45‧‧‧氣體供給配管 46‧‧‧直流電源 50‧‧‧氣體擴散室 55‧‧‧氣體供給管 60‧‧‧排氣管 61‧‧‧排氣裝置 62‧‧‧開口 64‧‧‧石英窗 70‧‧‧溫度感測器 71‧‧‧壓力感測器 72‧‧‧發光感測器 300‧‧‧基板處理裝置 301‧‧‧真空搬運室 302‧‧‧真空預備室 303‧‧‧大氣搬運室 304‧‧‧對準腔室 305‧‧‧載具安裝埠 306‧‧‧搬運機構 307a、307b‧‧‧搬運臂 308‧‧‧搬運機構 A1~A5‧‧‧測定值 a1~a12‧‧‧預測值 B1~B4‧‧‧測定值 C‧‧‧載具 CA、CB、CC、CD‧‧‧處理單元 G、G1、G2‧‧‧閘閥 g‧‧‧間隙 S10、S12、S14、S16、S18、S20、S22、S30、S32、S34、S36‧‧‧步驟 0、t1~t8‧‧‧測定時刻 W‧‧‧晶圓(產品晶圓) Δ1~Δ8、Δ10~Δ22‧‧‧差異量
【圖1】係顯示依一實施態樣的基板處理裝置之一例的圖式。 【圖2】係顯示依一實施態樣的處理單元之一例的圖式。 【圖3】係顯示依一實施態樣的裝置參數調整處理之一例的流程圖。 【圖4】係用以說明依一實施態樣的裝置參數之調整的圖式。 【圖5】係顯示依一實施態樣的基板處理之一例的流程圖。 【圖6】(a)、(b)係顯示依一實施態樣的感測器資料之處理單元、感測器各自的性能調整結果之一例的圖式。 【圖7】係顯示依一實施態樣之變形例的裝置參數調整處理之一例的流程圖。 【圖8】係用以說明依一實施態樣之變形例的裝置參數之調整的圖式。
A1~A4‧‧‧測定值
B1~B4‧‧‧測定值
Δ1~Δ8‧‧‧差異量
0、t1~t8‧‧‧測定時刻

Claims (6)

  1. 一種基板處理方法,使用具有進行基板處理的複數之處理容器的基板處理裝置;該基板處理方法包含:參照步驟,參照儲存有從在該複數之處理容器以同一處理條件處理第一測試用基板時於各處理容器輸入或輸出之感測器資料所產生的感測器資料之推定模式的儲存部,該推定模式係針對包含在各處理容器之中的每個感測器而指示感測特性之時間性推移的一模式;計算步驟,計算出從該推定模式所取得的該感測器資料的數值與感測器理想值之間的差異量;調整步驟,基於該差異量,調整各處理容器之裝置參數;搬運步驟,在未事先指定搬運目的地之處理容器的情況下,將產品基板連續搬運至複數之處理容器;及處理步驟,當該產品基板在該搬運步驟中被搬運至任一處理容器時,依據在該調整步驟中被調整後之該任一處理容器的裝置參數,而調整在該被搬運了產品基板之處理容器輸入或輸出的感測器資料,並且進行該產品基板之處理。
  2. 如申請專利範圍第1項之基板處理方法,其中,在該調整步驟中,從在該複數之處理容器以同一處理條件處理第二測試用基板時於各處理容器輸入或輸出之感測器資料、與該各處理容器的感測器資料之推定模式,調整各處理容器之裝置參數。
  3. 如申請專利範圍第1或2項之基板處理方法,其中, 該感測器資料為在各處理容器輸入或輸出之光學上的資料、電性上的資料、實體上的資料其中至少任一者。
  4. 如申請專利範圍第1或2項之基板處理方法,其中,該裝置參數為射頻功率之控制裝置、壓力控制裝置、氣體控制裝置、溫度控制裝置、直流電壓控制裝置、位置控制裝置其中至少一個控制裝置之參數。
  5. 如申請專利範圍第1或2項之基板處理方法,其中,該調整步驟,係在該基板處理裝置啟動之後的產品基板之處理開始時、各處理容器維修之後的產品基板之處理開始時、以及於各處理容器處理預定片數的產品基板之後或者於各處理容器對產品基板處理預定時間之後的其中至少任一時間點執行。
  6. 如申請專利範圍第2項之基板處理方法,其中,該調整步驟,係緊接於將該產品基板搬運至任一處理容器之前,先在該複數之處理容器以同一處理條件處理第二測試用基板,並且將各處理容器之裝置參數進行調整。
TW107145558A 2017-12-25 2018-12-18 基板處理方法 TWI785168B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017247972A JP6999410B2 (ja) 2017-12-25 2017-12-25 基板処理方法
JP2017-247972 2017-12-25

Publications (2)

Publication Number Publication Date
TW201935606A TW201935606A (zh) 2019-09-01
TWI785168B true TWI785168B (zh) 2022-12-01

Family

ID=66949578

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107145558A TWI785168B (zh) 2017-12-25 2018-12-18 基板處理方法

Country Status (5)

Country Link
US (1) US10748779B2 (zh)
JP (1) JP6999410B2 (zh)
KR (1) KR102650773B1 (zh)
CN (1) CN110010524B (zh)
TW (1) TWI785168B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7091227B2 (ja) * 2018-11-05 2022-06-27 株式会社Screenホールディングス 熱処理方法および熱処理装置
US11551954B2 (en) * 2019-11-21 2023-01-10 Nanya Technology Corporation Advanced process control system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005333075A (ja) * 2004-05-21 2005-12-02 Tokyo Electron Ltd 被処理体の処理方法及びその処理システム装置
WO2016147980A1 (ja) * 2015-03-19 2016-09-22 シンフォニアテクノロジー株式会社 ドア開閉装置、搬送装置、ソータ装置、収納容器のドッキング方法
US20170133285A1 (en) * 2015-11-06 2017-05-11 Tokyo Electron Limited Heat treatment system, heat treatment method, and program

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003142374A (ja) 2001-11-02 2003-05-16 Tokyo Electron Ltd レジストパターン形成装置及びその方法
JP4076762B2 (ja) * 2001-11-29 2008-04-16 東京エレクトロン株式会社 半導体ウエハ処理装置
JP2005033075A (ja) * 2003-07-09 2005-02-03 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
WO2006021251A1 (en) * 2004-08-26 2006-03-02 Scientific Systems Research Limited A method for transferring process control models between plasma procesing chambers
CN101483138B (zh) * 2005-09-30 2011-06-22 东京毅力科创株式会社 等离子体处理方法
JP2006074067A (ja) * 2005-11-08 2006-03-16 Hitachi Ltd プラズマ処理装置および処理方法
JP5442705B2 (ja) * 2008-03-17 2014-03-12 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 半導体ワークピースを処理する溶液調製装置及び方法
US8575521B2 (en) * 2008-04-01 2013-11-05 Mattson Technology, Inc. Monitoring witness structures for temperature control in RTP systems
JP2009295658A (ja) 2008-06-03 2009-12-17 Renesas Technology Corp 半導体製造装置の校正方法、ならびに半導体装置の製造システムおよび製造方法
JP5279627B2 (ja) * 2009-06-18 2013-09-04 東京エレクトロン株式会社 基板処理方法及び記憶媒体
DE102010037870A1 (de) * 2010-09-30 2012-04-05 Roth & Rau Ag Verfahren und Vorrichtung zur Laststabilisierung
JP2013135044A (ja) * 2011-12-26 2013-07-08 Hitachi High-Technologies Corp 半導体製造装置
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2014022695A (ja) 2012-07-24 2014-02-03 Hitachi High-Technologies Corp プラズマ処理装置及びその校正方法
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
CN105321845A (zh) * 2014-07-28 2016-02-10 Psk有限公司 基板处理装置及基板处理装置监控方法
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005333075A (ja) * 2004-05-21 2005-12-02 Tokyo Electron Ltd 被処理体の処理方法及びその処理システム装置
WO2016147980A1 (ja) * 2015-03-19 2016-09-22 シンフォニアテクノロジー株式会社 ドア開閉装置、搬送装置、ソータ装置、収納容器のドッキング方法
US20170133285A1 (en) * 2015-11-06 2017-05-11 Tokyo Electron Limited Heat treatment system, heat treatment method, and program

Also Published As

Publication number Publication date
CN110010524A (zh) 2019-07-12
JP6999410B2 (ja) 2022-01-18
KR20190077233A (ko) 2019-07-03
US20190198335A1 (en) 2019-06-27
JP2019114695A (ja) 2019-07-11
KR102650773B1 (ko) 2024-03-22
CN110010524B (zh) 2023-06-16
US10748779B2 (en) 2020-08-18
TW201935606A (zh) 2019-09-01

Similar Documents

Publication Publication Date Title
JP5732941B2 (ja) プラズマエッチング装置及びプラズマエッチング方法
US8409995B2 (en) Substrate processing apparatus, positioning method and focus ring installation method
JP5208800B2 (ja) 基板処理システム及び基板搬送方法
KR101971391B1 (ko) 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
CN111430207A (zh) 等离子体处理方法和等离子体处理装置
US10950452B2 (en) Seasoning method and etching method
US11018033B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
TW201633360A (zh) 測定系統及測定方法
KR101760982B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR20090091667A (ko) 기판의 처리방법, 컴퓨터 기억매체 및 기판처리 시스템
US10964513B2 (en) Plasma processing apparatus
US10410874B2 (en) Plasma processing apparatus and method, and method of manufacturing semiconductor device using the same
JP2019176031A (ja) プラズマ処理装置、及び被処理体の搬送方法
TWI785168B (zh) 基板處理方法
JP4541931B2 (ja) 半導体装置の製造方法及び半導体製造装置
JP2007335500A (ja) 基板処理装置の温度制御方法
TW202042304A (zh) 處理方法及電漿處理裝置
WO2018179496A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7493362B2 (ja) 基板の処理方法及び基板処理装置
JP4722416B2 (ja) 半導体製造装置及び基板搬送方法並びに半導体装置の製造方法
JP7366952B2 (ja) プラズマ処理装置の検査方法
US11600475B2 (en) Plasma processing apparatus and control method